Merge branch 'mpw-4' of https://github.com/sajjadahmed677/Azadi_II into mpw-3
diff --git a/gds/azadi_soc_top_caravel.gds.gz b/gds/azadi_soc_top_caravel.gds.gz
new file mode 100644
index 0000000..0886b85
--- /dev/null
+++ b/gds/azadi_soc_top_caravel.gds.gz
Binary files differ
diff --git a/gds/user_proj_example.gds.gz b/gds/user_proj_example.gds.gz
new file mode 100644
index 0000000..267a7b6
--- /dev/null
+++ b/gds/user_proj_example.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
new file mode 100644
index 0000000..3a46b40
--- /dev/null
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/azadi_soc_top_caravel.lef b/lef/azadi_soc_top_caravel.lef
new file mode 100644
index 0000000..fbf1f5d
--- /dev/null
+++ b/lef/azadi_soc_top_caravel.lef
@@ -0,0 +1,5945 @@
+##
+## LEF for PtnCells ;
+## created by Innovus v20.10-p004_1 on Fri Dec 17 18:10:46 2021
+##
+
+VERSION 5.8 ;
+
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+
+MACRO azadi_soc_top_caravel
+  CLASS BLOCK ;
+  SIZE 1720.400000 BY 1469.820000 ;
+  FOREIGN azadi_soc_top_caravel 0.000000 0.000000 ;
+  ORIGIN 0 0 ;
+  SYMMETRY X Y R90 ;
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 3.420000 0.000000 3.560000 0.490000 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1.540000 0.000000 1.680000 0.490000 ;
+    END
+  END wb_rst_i
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 362.785000 0.000000 362.925000 0.490000 ;
+    END
+  END wbs_stb_i
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 122.045000 0.000000 122.185000 0.490000 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 366.275000 0.000000 366.415000 0.490000 ;
+    END
+  END wbs_we_i
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 359.295000 0.000000 359.435000 0.490000 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 355.810000 0.000000 355.950000 0.490000 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 352.320000 0.000000 352.460000 0.490000 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 348.830000 0.000000 348.970000 0.490000 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 233.695000 0.000000 233.835000 0.490000 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 230.205000 0.000000 230.345000 0.490000 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 226.715000 0.000000 226.855000 0.490000 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 223.225000 0.000000 223.365000 0.490000 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 219.735000 0.000000 219.875000 0.490000 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 216.250000 0.000000 216.390000 0.490000 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 212.760000 0.000000 212.900000 0.490000 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 209.270000 0.000000 209.410000 0.490000 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 205.780000 0.000000 205.920000 0.490000 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 202.290000 0.000000 202.430000 0.490000 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 198.805000 0.000000 198.945000 0.490000 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 195.315000 0.000000 195.455000 0.490000 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 191.825000 0.000000 191.965000 0.490000 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 188.335000 0.000000 188.475000 0.490000 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 184.845000 0.000000 184.985000 0.490000 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 181.360000 0.000000 181.500000 0.490000 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 177.870000 0.000000 178.010000 0.490000 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 174.380000 0.000000 174.520000 0.490000 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 170.890000 0.000000 171.030000 0.490000 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 167.400000 0.000000 167.540000 0.490000 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 163.915000 0.000000 164.055000 0.490000 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 160.425000 0.000000 160.565000 0.490000 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 156.935000 0.000000 157.075000 0.490000 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 153.445000 0.000000 153.585000 0.490000 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 149.955000 0.000000 150.095000 0.490000 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 146.470000 0.000000 146.610000 0.490000 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 142.980000 0.000000 143.120000 0.490000 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 139.490000 0.000000 139.630000 0.490000 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 136.000000 0.000000 136.140000 0.490000 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 132.510000 0.000000 132.650000 0.490000 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 129.025000 0.000000 129.165000 0.490000 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 125.535000 0.000000 125.675000 0.490000 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 118.555000 0.000000 118.695000 0.490000 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 115.065000 0.000000 115.205000 0.490000 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 111.580000 0.000000 111.720000 0.490000 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 108.090000 0.000000 108.230000 0.490000 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 104.600000 0.000000 104.740000 0.490000 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 101.110000 0.000000 101.250000 0.490000 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 97.620000 0.000000 97.760000 0.490000 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 94.135000 0.000000 94.275000 0.490000 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 90.645000 0.000000 90.785000 0.490000 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 87.155000 0.000000 87.295000 0.490000 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 83.665000 0.000000 83.805000 0.490000 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 80.175000 0.000000 80.315000 0.490000 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 76.690000 0.000000 76.830000 0.490000 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 73.200000 0.000000 73.340000 0.490000 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 69.710000 0.000000 69.850000 0.490000 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 66.220000 0.000000 66.360000 0.490000 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 62.730000 0.000000 62.870000 0.490000 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 59.245000 0.000000 59.385000 0.490000 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 55.755000 0.000000 55.895000 0.490000 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 52.265000 0.000000 52.405000 0.490000 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 48.775000 0.000000 48.915000 0.490000 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 45.285000 0.000000 45.425000 0.490000 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 41.800000 0.000000 41.940000 0.490000 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 38.310000 0.000000 38.450000 0.490000 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 34.820000 0.000000 34.960000 0.490000 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 31.330000 0.000000 31.470000 0.490000 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 27.840000 0.000000 27.980000 0.490000 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 24.355000 0.000000 24.495000 0.490000 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 20.865000 0.000000 21.005000 0.490000 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 17.375000 0.000000 17.515000 0.490000 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 13.885000 0.000000 14.025000 0.490000 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 10.395000 0.000000 10.535000 0.490000 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_ack_o
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 6.910000 0.000000 7.050000 0.490000 ;
+    END
+  END wbs_ack_o
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 345.340000 0.000000 345.480000 0.490000 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 341.850000 0.000000 341.990000 0.490000 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 338.365000 0.000000 338.505000 0.490000 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 334.875000 0.000000 335.015000 0.490000 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 331.385000 0.000000 331.525000 0.490000 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 327.895000 0.000000 328.035000 0.490000 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 324.405000 0.000000 324.545000 0.490000 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 320.920000 0.000000 321.060000 0.490000 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 317.430000 0.000000 317.570000 0.490000 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 313.940000 0.000000 314.080000 0.490000 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 310.450000 0.000000 310.590000 0.490000 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 306.960000 0.000000 307.100000 0.490000 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 303.475000 0.000000 303.615000 0.490000 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 299.985000 0.000000 300.125000 0.490000 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 296.495000 0.000000 296.635000 0.490000 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 293.005000 0.000000 293.145000 0.490000 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 289.515000 0.000000 289.655000 0.490000 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 286.030000 0.000000 286.170000 0.490000 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 282.540000 0.000000 282.680000 0.490000 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 279.050000 0.000000 279.190000 0.490000 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 275.560000 0.000000 275.700000 0.490000 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 272.070000 0.000000 272.210000 0.490000 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 268.585000 0.000000 268.725000 0.490000 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 265.095000 0.000000 265.235000 0.490000 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 261.605000 0.000000 261.745000 0.490000 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 258.115000 0.000000 258.255000 0.490000 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 254.625000 0.000000 254.765000 0.490000 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 251.140000 0.000000 251.280000 0.490000 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 247.650000 0.000000 247.790000 0.490000 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 244.160000 0.000000 244.300000 0.490000 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 240.670000 0.000000 240.810000 0.490000 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 237.180000 0.000000 237.320000 0.490000 ;
+    END
+  END wbs_dat_o[0]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 812.865000 0.000000 813.005000 0.490000 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 809.380000 0.000000 809.520000 0.490000 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 805.890000 0.000000 806.030000 0.490000 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 802.400000 0.000000 802.540000 0.490000 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 798.910000 0.000000 799.050000 0.490000 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 795.420000 0.000000 795.560000 0.490000 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 791.935000 0.000000 792.075000 0.490000 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 788.445000 0.000000 788.585000 0.490000 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 784.955000 0.000000 785.095000 0.490000 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 781.465000 0.000000 781.605000 0.490000 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 777.975000 0.000000 778.115000 0.490000 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 774.490000 0.000000 774.630000 0.490000 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 771.000000 0.000000 771.140000 0.490000 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 767.510000 0.000000 767.650000 0.490000 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 764.020000 0.000000 764.160000 0.490000 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 760.530000 0.000000 760.670000 0.490000 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 757.045000 0.000000 757.185000 0.490000 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 753.555000 0.000000 753.695000 0.490000 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 750.065000 0.000000 750.205000 0.490000 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 746.575000 0.000000 746.715000 0.490000 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 743.085000 0.000000 743.225000 0.490000 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 739.600000 0.000000 739.740000 0.490000 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 736.110000 0.000000 736.250000 0.490000 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 732.620000 0.000000 732.760000 0.490000 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 729.130000 0.000000 729.270000 0.490000 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 725.640000 0.000000 725.780000 0.490000 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 722.155000 0.000000 722.295000 0.490000 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 718.665000 0.000000 718.805000 0.490000 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 715.175000 0.000000 715.315000 0.490000 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 711.685000 0.000000 711.825000 0.490000 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 708.195000 0.000000 708.335000 0.490000 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 704.710000 0.000000 704.850000 0.490000 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 701.220000 0.000000 701.360000 0.490000 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 697.730000 0.000000 697.870000 0.490000 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 694.240000 0.000000 694.380000 0.490000 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 690.750000 0.000000 690.890000 0.490000 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 687.265000 0.000000 687.405000 0.490000 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 683.775000 0.000000 683.915000 0.490000 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 680.285000 0.000000 680.425000 0.490000 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 676.795000 0.000000 676.935000 0.490000 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 673.305000 0.000000 673.445000 0.490000 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 669.820000 0.000000 669.960000 0.490000 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 666.330000 0.000000 666.470000 0.490000 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 662.840000 0.000000 662.980000 0.490000 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 659.350000 0.000000 659.490000 0.490000 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 655.860000 0.000000 656.000000 0.490000 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 652.375000 0.000000 652.515000 0.490000 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 648.885000 0.000000 649.025000 0.490000 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 645.395000 0.000000 645.535000 0.490000 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 641.905000 0.000000 642.045000 0.490000 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 638.415000 0.000000 638.555000 0.490000 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 634.930000 0.000000 635.070000 0.490000 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 631.440000 0.000000 631.580000 0.490000 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 627.950000 0.000000 628.090000 0.490000 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 624.460000 0.000000 624.600000 0.490000 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 620.970000 0.000000 621.110000 0.490000 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 617.485000 0.000000 617.625000 0.490000 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 613.995000 0.000000 614.135000 0.490000 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 610.505000 0.000000 610.645000 0.490000 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 607.015000 0.000000 607.155000 0.490000 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 603.525000 0.000000 603.665000 0.490000 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 600.040000 0.000000 600.180000 0.490000 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 596.550000 0.000000 596.690000 0.490000 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 593.060000 0.000000 593.200000 0.490000 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 589.570000 0.000000 589.710000 0.490000 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 586.080000 0.000000 586.220000 0.490000 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 582.595000 0.000000 582.735000 0.490000 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 579.105000 0.000000 579.245000 0.490000 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 575.615000 0.000000 575.755000 0.490000 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 572.125000 0.000000 572.265000 0.490000 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 568.635000 0.000000 568.775000 0.490000 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 565.150000 0.000000 565.290000 0.490000 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 561.660000 0.000000 561.800000 0.490000 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 558.170000 0.000000 558.310000 0.490000 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 554.680000 0.000000 554.820000 0.490000 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 551.190000 0.000000 551.330000 0.490000 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 547.705000 0.000000 547.845000 0.490000 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 544.215000 0.000000 544.355000 0.490000 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 540.725000 0.000000 540.865000 0.490000 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 537.235000 0.000000 537.375000 0.490000 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 533.745000 0.000000 533.885000 0.490000 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 530.260000 0.000000 530.400000 0.490000 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 526.770000 0.000000 526.910000 0.490000 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 523.280000 0.000000 523.420000 0.490000 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 519.790000 0.000000 519.930000 0.490000 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 516.300000 0.000000 516.440000 0.490000 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 512.815000 0.000000 512.955000 0.490000 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 509.325000 0.000000 509.465000 0.490000 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 505.835000 0.000000 505.975000 0.490000 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 502.345000 0.000000 502.485000 0.490000 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 498.855000 0.000000 498.995000 0.490000 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 495.370000 0.000000 495.510000 0.490000 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 491.880000 0.000000 492.020000 0.490000 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 488.390000 0.000000 488.530000 0.490000 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 484.900000 0.000000 485.040000 0.490000 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 481.410000 0.000000 481.550000 0.490000 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 477.925000 0.000000 478.065000 0.490000 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 474.435000 0.000000 474.575000 0.490000 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 470.945000 0.000000 471.085000 0.490000 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 467.455000 0.000000 467.595000 0.490000 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 463.965000 0.000000 464.105000 0.490000 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 460.480000 0.000000 460.620000 0.490000 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 456.990000 0.000000 457.130000 0.490000 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 453.500000 0.000000 453.640000 0.490000 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 450.010000 0.000000 450.150000 0.490000 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 446.520000 0.000000 446.660000 0.490000 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 443.035000 0.000000 443.175000 0.490000 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 439.545000 0.000000 439.685000 0.490000 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 436.055000 0.000000 436.195000 0.490000 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 432.565000 0.000000 432.705000 0.490000 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 429.075000 0.000000 429.215000 0.490000 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 425.590000 0.000000 425.730000 0.490000 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 422.100000 0.000000 422.240000 0.490000 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 418.610000 0.000000 418.750000 0.490000 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 415.120000 0.000000 415.260000 0.490000 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 411.630000 0.000000 411.770000 0.490000 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 408.145000 0.000000 408.285000 0.490000 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 404.655000 0.000000 404.795000 0.490000 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 401.165000 0.000000 401.305000 0.490000 ;
+    END
+  END la_data_in[9]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 397.675000 0.000000 397.815000 0.490000 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 394.185000 0.000000 394.325000 0.490000 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 390.700000 0.000000 390.840000 0.490000 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 387.210000 0.000000 387.350000 0.490000 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 383.720000 0.000000 383.860000 0.490000 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 380.230000 0.000000 380.370000 0.490000 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 376.740000 0.000000 376.880000 0.490000 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 373.255000 0.000000 373.395000 0.490000 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 369.765000 0.000000 369.905000 0.490000 ;
+    END
+  END la_data_in[0]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1259.460000 0.000000 1259.600000 0.490000 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1255.970000 0.000000 1256.110000 0.490000 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1252.480000 0.000000 1252.620000 0.490000 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1248.990000 0.000000 1249.130000 0.490000 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1245.505000 0.000000 1245.645000 0.490000 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1242.015000 0.000000 1242.155000 0.490000 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1238.525000 0.000000 1238.665000 0.490000 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1235.035000 0.000000 1235.175000 0.490000 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1231.545000 0.000000 1231.685000 0.490000 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1228.060000 0.000000 1228.200000 0.490000 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1224.570000 0.000000 1224.710000 0.490000 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1221.080000 0.000000 1221.220000 0.490000 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1217.590000 0.000000 1217.730000 0.490000 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1214.100000 0.000000 1214.240000 0.490000 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1210.615000 0.000000 1210.755000 0.490000 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1207.125000 0.000000 1207.265000 0.490000 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1203.635000 0.000000 1203.775000 0.490000 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1200.145000 0.000000 1200.285000 0.490000 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1196.655000 0.000000 1196.795000 0.490000 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1193.170000 0.000000 1193.310000 0.490000 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1189.680000 0.000000 1189.820000 0.490000 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1186.190000 0.000000 1186.330000 0.490000 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1182.700000 0.000000 1182.840000 0.490000 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1179.210000 0.000000 1179.350000 0.490000 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1175.725000 0.000000 1175.865000 0.490000 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1172.235000 0.000000 1172.375000 0.490000 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1168.745000 0.000000 1168.885000 0.490000 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1165.255000 0.000000 1165.395000 0.490000 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1161.765000 0.000000 1161.905000 0.490000 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1158.280000 0.000000 1158.420000 0.490000 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1154.790000 0.000000 1154.930000 0.490000 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1151.300000 0.000000 1151.440000 0.490000 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1147.810000 0.000000 1147.950000 0.490000 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1144.320000 0.000000 1144.460000 0.490000 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1140.835000 0.000000 1140.975000 0.490000 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1137.345000 0.000000 1137.485000 0.490000 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1133.855000 0.000000 1133.995000 0.490000 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1130.365000 0.000000 1130.505000 0.490000 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1126.875000 0.000000 1127.015000 0.490000 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1123.390000 0.000000 1123.530000 0.490000 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1119.900000 0.000000 1120.040000 0.490000 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1116.410000 0.000000 1116.550000 0.490000 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1112.920000 0.000000 1113.060000 0.490000 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1109.430000 0.000000 1109.570000 0.490000 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1105.945000 0.000000 1106.085000 0.490000 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1102.455000 0.000000 1102.595000 0.490000 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1098.965000 0.000000 1099.105000 0.490000 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1095.475000 0.000000 1095.615000 0.490000 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1091.985000 0.000000 1092.125000 0.490000 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1088.500000 0.000000 1088.640000 0.490000 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1085.010000 0.000000 1085.150000 0.490000 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1081.520000 0.000000 1081.660000 0.490000 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1078.030000 0.000000 1078.170000 0.490000 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1074.540000 0.000000 1074.680000 0.490000 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1071.055000 0.000000 1071.195000 0.490000 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1067.565000 0.000000 1067.705000 0.490000 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1064.075000 0.000000 1064.215000 0.490000 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1060.585000 0.000000 1060.725000 0.490000 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1057.095000 0.000000 1057.235000 0.490000 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1053.610000 0.000000 1053.750000 0.490000 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1050.120000 0.000000 1050.260000 0.490000 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1046.630000 0.000000 1046.770000 0.490000 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1043.140000 0.000000 1043.280000 0.490000 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1039.650000 0.000000 1039.790000 0.490000 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1036.165000 0.000000 1036.305000 0.490000 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1032.675000 0.000000 1032.815000 0.490000 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1029.185000 0.000000 1029.325000 0.490000 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1025.695000 0.000000 1025.835000 0.490000 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1022.205000 0.000000 1022.345000 0.490000 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1018.720000 0.000000 1018.860000 0.490000 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1015.230000 0.000000 1015.370000 0.490000 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1011.740000 0.000000 1011.880000 0.490000 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1008.250000 0.000000 1008.390000 0.490000 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1004.760000 0.000000 1004.900000 0.490000 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1001.275000 0.000000 1001.415000 0.490000 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 997.785000 0.000000 997.925000 0.490000 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 994.295000 0.000000 994.435000 0.490000 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 990.805000 0.000000 990.945000 0.490000 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 987.315000 0.000000 987.455000 0.490000 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 983.830000 0.000000 983.970000 0.490000 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 980.340000 0.000000 980.480000 0.490000 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 976.850000 0.000000 976.990000 0.490000 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 973.360000 0.000000 973.500000 0.490000 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 969.870000 0.000000 970.010000 0.490000 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 966.385000 0.000000 966.525000 0.490000 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 962.895000 0.000000 963.035000 0.490000 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 959.405000 0.000000 959.545000 0.490000 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 955.915000 0.000000 956.055000 0.490000 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 952.425000 0.000000 952.565000 0.490000 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 948.940000 0.000000 949.080000 0.490000 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 945.450000 0.000000 945.590000 0.490000 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 941.960000 0.000000 942.100000 0.490000 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 938.470000 0.000000 938.610000 0.490000 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 934.980000 0.000000 935.120000 0.490000 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 931.495000 0.000000 931.635000 0.490000 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 928.005000 0.000000 928.145000 0.490000 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 924.515000 0.000000 924.655000 0.490000 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 921.025000 0.000000 921.165000 0.490000 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 917.535000 0.000000 917.675000 0.490000 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 914.050000 0.000000 914.190000 0.490000 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 910.560000 0.000000 910.700000 0.490000 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 907.070000 0.000000 907.210000 0.490000 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 903.580000 0.000000 903.720000 0.490000 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 900.090000 0.000000 900.230000 0.490000 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 896.605000 0.000000 896.745000 0.490000 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 893.115000 0.000000 893.255000 0.490000 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 889.625000 0.000000 889.765000 0.490000 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 886.135000 0.000000 886.275000 0.490000 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 882.645000 0.000000 882.785000 0.490000 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 879.160000 0.000000 879.300000 0.490000 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 875.670000 0.000000 875.810000 0.490000 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 872.180000 0.000000 872.320000 0.490000 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 868.690000 0.000000 868.830000 0.490000 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 865.200000 0.000000 865.340000 0.490000 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 861.715000 0.000000 861.855000 0.490000 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 858.225000 0.000000 858.365000 0.490000 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 854.735000 0.000000 854.875000 0.490000 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 851.245000 0.000000 851.385000 0.490000 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 847.755000 0.000000 847.895000 0.490000 ;
+    END
+  END la_data_out[9]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 844.270000 0.000000 844.410000 0.490000 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 840.780000 0.000000 840.920000 0.490000 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 837.290000 0.000000 837.430000 0.490000 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 833.800000 0.000000 833.940000 0.490000 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 830.310000 0.000000 830.450000 0.490000 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 826.825000 0.000000 826.965000 0.490000 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 823.335000 0.000000 823.475000 0.490000 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 819.845000 0.000000 819.985000 0.490000 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 816.355000 0.000000 816.495000 0.490000 ;
+    END
+  END la_data_out[0]
+  PIN la_oenb[127]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1706.050000 0.000000 1706.190000 0.490000 ;
+    END
+  END la_oenb[127]
+  PIN la_oenb[126]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1702.560000 0.000000 1702.700000 0.490000 ;
+    END
+  END la_oenb[126]
+  PIN la_oenb[125]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1699.075000 0.000000 1699.215000 0.490000 ;
+    END
+  END la_oenb[125]
+  PIN la_oenb[124]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1695.585000 0.000000 1695.725000 0.490000 ;
+    END
+  END la_oenb[124]
+  PIN la_oenb[123]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1692.095000 0.000000 1692.235000 0.490000 ;
+    END
+  END la_oenb[123]
+  PIN la_oenb[122]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1688.605000 0.000000 1688.745000 0.490000 ;
+    END
+  END la_oenb[122]
+  PIN la_oenb[121]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1685.115000 0.000000 1685.255000 0.490000 ;
+    END
+  END la_oenb[121]
+  PIN la_oenb[120]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1681.630000 0.000000 1681.770000 0.490000 ;
+    END
+  END la_oenb[120]
+  PIN la_oenb[119]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1678.140000 0.000000 1678.280000 0.490000 ;
+    END
+  END la_oenb[119]
+  PIN la_oenb[118]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1674.650000 0.000000 1674.790000 0.490000 ;
+    END
+  END la_oenb[118]
+  PIN la_oenb[117]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1671.160000 0.000000 1671.300000 0.490000 ;
+    END
+  END la_oenb[117]
+  PIN la_oenb[116]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1667.670000 0.000000 1667.810000 0.490000 ;
+    END
+  END la_oenb[116]
+  PIN la_oenb[115]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1664.185000 0.000000 1664.325000 0.490000 ;
+    END
+  END la_oenb[115]
+  PIN la_oenb[114]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1660.695000 0.000000 1660.835000 0.490000 ;
+    END
+  END la_oenb[114]
+  PIN la_oenb[113]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1657.205000 0.000000 1657.345000 0.490000 ;
+    END
+  END la_oenb[113]
+  PIN la_oenb[112]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1653.715000 0.000000 1653.855000 0.490000 ;
+    END
+  END la_oenb[112]
+  PIN la_oenb[111]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1650.225000 0.000000 1650.365000 0.490000 ;
+    END
+  END la_oenb[111]
+  PIN la_oenb[110]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1646.740000 0.000000 1646.880000 0.490000 ;
+    END
+  END la_oenb[110]
+  PIN la_oenb[109]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1643.250000 0.000000 1643.390000 0.490000 ;
+    END
+  END la_oenb[109]
+  PIN la_oenb[108]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1639.760000 0.000000 1639.900000 0.490000 ;
+    END
+  END la_oenb[108]
+  PIN la_oenb[107]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1636.270000 0.000000 1636.410000 0.490000 ;
+    END
+  END la_oenb[107]
+  PIN la_oenb[106]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1632.780000 0.000000 1632.920000 0.490000 ;
+    END
+  END la_oenb[106]
+  PIN la_oenb[105]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1629.295000 0.000000 1629.435000 0.490000 ;
+    END
+  END la_oenb[105]
+  PIN la_oenb[104]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1625.805000 0.000000 1625.945000 0.490000 ;
+    END
+  END la_oenb[104]
+  PIN la_oenb[103]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1622.315000 0.000000 1622.455000 0.490000 ;
+    END
+  END la_oenb[103]
+  PIN la_oenb[102]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1618.825000 0.000000 1618.965000 0.490000 ;
+    END
+  END la_oenb[102]
+  PIN la_oenb[101]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1615.335000 0.000000 1615.475000 0.490000 ;
+    END
+  END la_oenb[101]
+  PIN la_oenb[100]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1611.850000 0.000000 1611.990000 0.490000 ;
+    END
+  END la_oenb[100]
+  PIN la_oenb[99]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1608.360000 0.000000 1608.500000 0.490000 ;
+    END
+  END la_oenb[99]
+  PIN la_oenb[98]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1604.870000 0.000000 1605.010000 0.490000 ;
+    END
+  END la_oenb[98]
+  PIN la_oenb[97]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1601.380000 0.000000 1601.520000 0.490000 ;
+    END
+  END la_oenb[97]
+  PIN la_oenb[96]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1597.890000 0.000000 1598.030000 0.490000 ;
+    END
+  END la_oenb[96]
+  PIN la_oenb[95]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1594.405000 0.000000 1594.545000 0.490000 ;
+    END
+  END la_oenb[95]
+  PIN la_oenb[94]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1590.915000 0.000000 1591.055000 0.490000 ;
+    END
+  END la_oenb[94]
+  PIN la_oenb[93]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1587.425000 0.000000 1587.565000 0.490000 ;
+    END
+  END la_oenb[93]
+  PIN la_oenb[92]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1583.935000 0.000000 1584.075000 0.490000 ;
+    END
+  END la_oenb[92]
+  PIN la_oenb[91]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1580.445000 0.000000 1580.585000 0.490000 ;
+    END
+  END la_oenb[91]
+  PIN la_oenb[90]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1576.960000 0.000000 1577.100000 0.490000 ;
+    END
+  END la_oenb[90]
+  PIN la_oenb[89]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1573.470000 0.000000 1573.610000 0.490000 ;
+    END
+  END la_oenb[89]
+  PIN la_oenb[88]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1569.980000 0.000000 1570.120000 0.490000 ;
+    END
+  END la_oenb[88]
+  PIN la_oenb[87]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1566.490000 0.000000 1566.630000 0.490000 ;
+    END
+  END la_oenb[87]
+  PIN la_oenb[86]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1563.000000 0.000000 1563.140000 0.490000 ;
+    END
+  END la_oenb[86]
+  PIN la_oenb[85]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1559.515000 0.000000 1559.655000 0.490000 ;
+    END
+  END la_oenb[85]
+  PIN la_oenb[84]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1556.025000 0.000000 1556.165000 0.490000 ;
+    END
+  END la_oenb[84]
+  PIN la_oenb[83]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1552.535000 0.000000 1552.675000 0.490000 ;
+    END
+  END la_oenb[83]
+  PIN la_oenb[82]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1549.045000 0.000000 1549.185000 0.490000 ;
+    END
+  END la_oenb[82]
+  PIN la_oenb[81]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1545.555000 0.000000 1545.695000 0.490000 ;
+    END
+  END la_oenb[81]
+  PIN la_oenb[80]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1542.070000 0.000000 1542.210000 0.490000 ;
+    END
+  END la_oenb[80]
+  PIN la_oenb[79]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1538.580000 0.000000 1538.720000 0.490000 ;
+    END
+  END la_oenb[79]
+  PIN la_oenb[78]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1535.090000 0.000000 1535.230000 0.490000 ;
+    END
+  END la_oenb[78]
+  PIN la_oenb[77]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1531.600000 0.000000 1531.740000 0.490000 ;
+    END
+  END la_oenb[77]
+  PIN la_oenb[76]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1528.110000 0.000000 1528.250000 0.490000 ;
+    END
+  END la_oenb[76]
+  PIN la_oenb[75]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1524.625000 0.000000 1524.765000 0.490000 ;
+    END
+  END la_oenb[75]
+  PIN la_oenb[74]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1521.135000 0.000000 1521.275000 0.490000 ;
+    END
+  END la_oenb[74]
+  PIN la_oenb[73]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1517.645000 0.000000 1517.785000 0.490000 ;
+    END
+  END la_oenb[73]
+  PIN la_oenb[72]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1514.155000 0.000000 1514.295000 0.490000 ;
+    END
+  END la_oenb[72]
+  PIN la_oenb[71]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1510.665000 0.000000 1510.805000 0.490000 ;
+    END
+  END la_oenb[71]
+  PIN la_oenb[70]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1507.180000 0.000000 1507.320000 0.490000 ;
+    END
+  END la_oenb[70]
+  PIN la_oenb[69]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1503.690000 0.000000 1503.830000 0.490000 ;
+    END
+  END la_oenb[69]
+  PIN la_oenb[68]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1500.200000 0.000000 1500.340000 0.490000 ;
+    END
+  END la_oenb[68]
+  PIN la_oenb[67]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1496.710000 0.000000 1496.850000 0.490000 ;
+    END
+  END la_oenb[67]
+  PIN la_oenb[66]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1493.220000 0.000000 1493.360000 0.490000 ;
+    END
+  END la_oenb[66]
+  PIN la_oenb[65]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1489.735000 0.000000 1489.875000 0.490000 ;
+    END
+  END la_oenb[65]
+  PIN la_oenb[64]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1486.245000 0.000000 1486.385000 0.490000 ;
+    END
+  END la_oenb[64]
+  PIN la_oenb[63]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1482.755000 0.000000 1482.895000 0.490000 ;
+    END
+  END la_oenb[63]
+  PIN la_oenb[62]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1479.265000 0.000000 1479.405000 0.490000 ;
+    END
+  END la_oenb[62]
+  PIN la_oenb[61]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1475.775000 0.000000 1475.915000 0.490000 ;
+    END
+  END la_oenb[61]
+  PIN la_oenb[60]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1472.290000 0.000000 1472.430000 0.490000 ;
+    END
+  END la_oenb[60]
+  PIN la_oenb[59]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1468.800000 0.000000 1468.940000 0.490000 ;
+    END
+  END la_oenb[59]
+  PIN la_oenb[58]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1465.310000 0.000000 1465.450000 0.490000 ;
+    END
+  END la_oenb[58]
+  PIN la_oenb[57]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1461.820000 0.000000 1461.960000 0.490000 ;
+    END
+  END la_oenb[57]
+  PIN la_oenb[56]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1458.330000 0.000000 1458.470000 0.490000 ;
+    END
+  END la_oenb[56]
+  PIN la_oenb[55]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1454.845000 0.000000 1454.985000 0.490000 ;
+    END
+  END la_oenb[55]
+  PIN la_oenb[54]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1451.355000 0.000000 1451.495000 0.490000 ;
+    END
+  END la_oenb[54]
+  PIN la_oenb[53]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1447.865000 0.000000 1448.005000 0.490000 ;
+    END
+  END la_oenb[53]
+  PIN la_oenb[52]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1444.375000 0.000000 1444.515000 0.490000 ;
+    END
+  END la_oenb[52]
+  PIN la_oenb[51]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1440.885000 0.000000 1441.025000 0.490000 ;
+    END
+  END la_oenb[51]
+  PIN la_oenb[50]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1437.400000 0.000000 1437.540000 0.490000 ;
+    END
+  END la_oenb[50]
+  PIN la_oenb[49]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1433.910000 0.000000 1434.050000 0.490000 ;
+    END
+  END la_oenb[49]
+  PIN la_oenb[48]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1430.420000 0.000000 1430.560000 0.490000 ;
+    END
+  END la_oenb[48]
+  PIN la_oenb[47]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1426.930000 0.000000 1427.070000 0.490000 ;
+    END
+  END la_oenb[47]
+  PIN la_oenb[46]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1423.440000 0.000000 1423.580000 0.490000 ;
+    END
+  END la_oenb[46]
+  PIN la_oenb[45]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1419.955000 0.000000 1420.095000 0.490000 ;
+    END
+  END la_oenb[45]
+  PIN la_oenb[44]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1416.465000 0.000000 1416.605000 0.490000 ;
+    END
+  END la_oenb[44]
+  PIN la_oenb[43]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1412.975000 0.000000 1413.115000 0.490000 ;
+    END
+  END la_oenb[43]
+  PIN la_oenb[42]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1409.485000 0.000000 1409.625000 0.490000 ;
+    END
+  END la_oenb[42]
+  PIN la_oenb[41]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1405.995000 0.000000 1406.135000 0.490000 ;
+    END
+  END la_oenb[41]
+  PIN la_oenb[40]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1402.510000 0.000000 1402.650000 0.490000 ;
+    END
+  END la_oenb[40]
+  PIN la_oenb[39]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1399.020000 0.000000 1399.160000 0.490000 ;
+    END
+  END la_oenb[39]
+  PIN la_oenb[38]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1395.530000 0.000000 1395.670000 0.490000 ;
+    END
+  END la_oenb[38]
+  PIN la_oenb[37]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1392.040000 0.000000 1392.180000 0.490000 ;
+    END
+  END la_oenb[37]
+  PIN la_oenb[36]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1388.550000 0.000000 1388.690000 0.490000 ;
+    END
+  END la_oenb[36]
+  PIN la_oenb[35]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1385.065000 0.000000 1385.205000 0.490000 ;
+    END
+  END la_oenb[35]
+  PIN la_oenb[34]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1381.575000 0.000000 1381.715000 0.490000 ;
+    END
+  END la_oenb[34]
+  PIN la_oenb[33]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1378.085000 0.000000 1378.225000 0.490000 ;
+    END
+  END la_oenb[33]
+  PIN la_oenb[32]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1374.595000 0.000000 1374.735000 0.490000 ;
+    END
+  END la_oenb[32]
+  PIN la_oenb[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1371.105000 0.000000 1371.245000 0.490000 ;
+    END
+  END la_oenb[31]
+  PIN la_oenb[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1367.620000 0.000000 1367.760000 0.490000 ;
+    END
+  END la_oenb[30]
+  PIN la_oenb[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1364.130000 0.000000 1364.270000 0.490000 ;
+    END
+  END la_oenb[29]
+  PIN la_oenb[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1360.640000 0.000000 1360.780000 0.490000 ;
+    END
+  END la_oenb[28]
+  PIN la_oenb[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1357.150000 0.000000 1357.290000 0.490000 ;
+    END
+  END la_oenb[27]
+  PIN la_oenb[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1353.660000 0.000000 1353.800000 0.490000 ;
+    END
+  END la_oenb[26]
+  PIN la_oenb[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1350.175000 0.000000 1350.315000 0.490000 ;
+    END
+  END la_oenb[25]
+  PIN la_oenb[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1346.685000 0.000000 1346.825000 0.490000 ;
+    END
+  END la_oenb[24]
+  PIN la_oenb[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1343.195000 0.000000 1343.335000 0.490000 ;
+    END
+  END la_oenb[23]
+  PIN la_oenb[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1339.705000 0.000000 1339.845000 0.490000 ;
+    END
+  END la_oenb[22]
+  PIN la_oenb[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1336.215000 0.000000 1336.355000 0.490000 ;
+    END
+  END la_oenb[21]
+  PIN la_oenb[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1332.730000 0.000000 1332.870000 0.490000 ;
+    END
+  END la_oenb[20]
+  PIN la_oenb[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1329.240000 0.000000 1329.380000 0.490000 ;
+    END
+  END la_oenb[19]
+  PIN la_oenb[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1325.750000 0.000000 1325.890000 0.490000 ;
+    END
+  END la_oenb[18]
+  PIN la_oenb[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1322.260000 0.000000 1322.400000 0.490000 ;
+    END
+  END la_oenb[17]
+  PIN la_oenb[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1318.770000 0.000000 1318.910000 0.490000 ;
+    END
+  END la_oenb[16]
+  PIN la_oenb[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1315.285000 0.000000 1315.425000 0.490000 ;
+    END
+  END la_oenb[15]
+  PIN la_oenb[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1311.795000 0.000000 1311.935000 0.490000 ;
+    END
+  END la_oenb[14]
+  PIN la_oenb[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1308.305000 0.000000 1308.445000 0.490000 ;
+    END
+  END la_oenb[13]
+  PIN la_oenb[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1304.815000 0.000000 1304.955000 0.490000 ;
+    END
+  END la_oenb[12]
+  PIN la_oenb[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1301.325000 0.000000 1301.465000 0.490000 ;
+    END
+  END la_oenb[11]
+  PIN la_oenb[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1297.840000 0.000000 1297.980000 0.490000 ;
+    END
+  END la_oenb[10]
+  PIN la_oenb[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1294.350000 0.000000 1294.490000 0.490000 ;
+    END
+  END la_oenb[9]
+  PIN la_oenb[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1290.860000 0.000000 1291.000000 0.490000 ;
+    END
+  END la_oenb[8]
+  PIN la_oenb[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1287.370000 0.000000 1287.510000 0.490000 ;
+    END
+  END la_oenb[7]
+  PIN la_oenb[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1283.880000 0.000000 1284.020000 0.490000 ;
+    END
+  END la_oenb[6]
+  PIN la_oenb[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1280.395000 0.000000 1280.535000 0.490000 ;
+    END
+  END la_oenb[5]
+  PIN la_oenb[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1276.905000 0.000000 1277.045000 0.490000 ;
+    END
+  END la_oenb[4]
+  PIN la_oenb[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1273.415000 0.000000 1273.555000 0.490000 ;
+    END
+  END la_oenb[3]
+  PIN la_oenb[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1269.925000 0.000000 1270.065000 0.490000 ;
+    END
+  END la_oenb[2]
+  PIN la_oenb[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1266.435000 0.000000 1266.575000 0.490000 ;
+    END
+  END la_oenb[1]
+  PIN la_oenb[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1262.950000 0.000000 1263.090000 0.490000 ;
+    END
+  END la_oenb[0]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 55.315000 0.800000 55.615000 ;
+    END
+  END io_in[37]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 138.510000 0.800000 138.810000 ;
+    END
+  END io_in[36]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 221.705000 0.800000 222.005000 ;
+    END
+  END io_in[35]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 332.635000 0.800000 332.935000 ;
+    END
+  END io_in[34]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 443.560000 0.800000 443.860000 ;
+    END
+  END io_in[33]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 554.490000 0.800000 554.790000 ;
+    END
+  END io_in[32]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 665.420000 0.800000 665.720000 ;
+    END
+  END io_in[31]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 776.345000 0.800000 776.645000 ;
+    END
+  END io_in[30]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 887.275000 0.800000 887.575000 ;
+    END
+  END io_in[29]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 998.200000 0.800000 998.500000 ;
+    END
+  END io_in[28]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1109.130000 0.800000 1109.430000 ;
+    END
+  END io_in[27]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1220.060000 0.800000 1220.360000 ;
+    END
+  END io_in[26]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1330.985000 0.800000 1331.285000 ;
+    END
+  END io_in[25]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1441.915000 0.800000 1442.215000 ;
+    END
+  END io_in[24]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 147.390000 1469.330000 147.530000 1469.820000 ;
+    END
+  END io_in[23]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 344.010000 1469.330000 344.150000 1469.820000 ;
+    END
+  END io_in[22]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 540.625000 1469.330000 540.765000 1469.820000 ;
+    END
+  END io_in[21]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 737.240000 1469.330000 737.380000 1469.820000 ;
+    END
+  END io_in[20]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 933.855000 1469.330000 933.995000 1469.820000 ;
+    END
+  END io_in[19]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1130.470000 1469.330000 1130.610000 1469.820000 ;
+    END
+  END io_in[18]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1327.090000 1469.330000 1327.230000 1469.820000 ;
+    END
+  END io_in[17]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1523.705000 1469.330000 1523.845000 1469.820000 ;
+    END
+  END io_in[16]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1715.040000 1469.330000 1715.180000 1469.820000 ;
+    END
+  END io_in[15]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1413.100000 1720.400000 1413.400000 ;
+    END
+  END io_in[14]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1300.040000 1720.400000 1300.340000 ;
+    END
+  END io_in[13]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1186.980000 1720.400000 1187.280000 ;
+    END
+  END io_in[12]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1073.920000 1720.400000 1074.220000 ;
+    END
+  END io_in[11]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 960.860000 1720.400000 961.160000 ;
+    END
+  END io_in[10]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 847.800000 1720.400000 848.100000 ;
+    END
+  END io_in[9]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 734.740000 1720.400000 735.040000 ;
+    END
+  END io_in[8]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 621.680000 1720.400000 621.980000 ;
+    END
+  END io_in[7]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 508.620000 1720.400000 508.920000 ;
+    END
+  END io_in[6]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 423.825000 1720.400000 424.125000 ;
+    END
+  END io_in[5]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 339.030000 1720.400000 339.330000 ;
+    END
+  END io_in[4]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 254.235000 1720.400000 254.535000 ;
+    END
+  END io_in[3]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 169.440000 1720.400000 169.740000 ;
+    END
+  END io_in[2]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 84.645000 1720.400000 84.945000 ;
+    END
+  END io_in[1]
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 3.780000 1720.400000 4.080000 ;
+    END
+  END io_in[0]
+  PIN io_out[37]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 27.580000 0.800000 27.880000 ;
+    END
+  END io_out[37]
+  PIN io_out[36]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 110.780000 0.800000 111.080000 ;
+    END
+  END io_out[36]
+  PIN io_out[35]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 193.975000 0.800000 194.275000 ;
+    END
+  END io_out[35]
+  PIN io_out[34]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 304.900000 0.800000 305.200000 ;
+    END
+  END io_out[34]
+  PIN io_out[33]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 415.830000 0.800000 416.130000 ;
+    END
+  END io_out[33]
+  PIN io_out[32]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 526.760000 0.800000 527.060000 ;
+    END
+  END io_out[32]
+  PIN io_out[31]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 637.685000 0.800000 637.985000 ;
+    END
+  END io_out[31]
+  PIN io_out[30]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 748.615000 0.800000 748.915000 ;
+    END
+  END io_out[30]
+  PIN io_out[29]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 859.540000 0.800000 859.840000 ;
+    END
+  END io_out[29]
+  PIN io_out[28]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 970.470000 0.800000 970.770000 ;
+    END
+  END io_out[28]
+  PIN io_out[27]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1081.400000 0.800000 1081.700000 ;
+    END
+  END io_out[27]
+  PIN io_out[26]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1192.325000 0.800000 1192.625000 ;
+    END
+  END io_out[26]
+  PIN io_out[25]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1303.255000 0.800000 1303.555000 ;
+    END
+  END io_out[25]
+  PIN io_out[24]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1414.180000 0.800000 1414.480000 ;
+    END
+  END io_out[24]
+  PIN io_out[23]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 98.240000 1469.330000 98.380000 1469.820000 ;
+    END
+  END io_out[23]
+  PIN io_out[22]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 294.855000 1469.330000 294.995000 1469.820000 ;
+    END
+  END io_out[22]
+  PIN io_out[21]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 491.470000 1469.330000 491.610000 1469.820000 ;
+    END
+  END io_out[21]
+  PIN io_out[20]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 688.085000 1469.330000 688.225000 1469.820000 ;
+    END
+  END io_out[20]
+  PIN io_out[19]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 884.700000 1469.330000 884.840000 1469.820000 ;
+    END
+  END io_out[19]
+  PIN io_out[18]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1081.320000 1469.330000 1081.460000 1469.820000 ;
+    END
+  END io_out[18]
+  PIN io_out[17]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1277.935000 1469.330000 1278.075000 1469.820000 ;
+    END
+  END io_out[17]
+  PIN io_out[16]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1474.550000 1469.330000 1474.690000 1469.820000 ;
+    END
+  END io_out[16]
+  PIN io_out[15]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1671.165000 1469.330000 1671.305000 1469.820000 ;
+    END
+  END io_out[15]
+  PIN io_out[14]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1441.365000 1720.400000 1441.665000 ;
+    END
+  END io_out[14]
+  PIN io_out[13]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1328.305000 1720.400000 1328.605000 ;
+    END
+  END io_out[13]
+  PIN io_out[12]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1215.245000 1720.400000 1215.545000 ;
+    END
+  END io_out[12]
+  PIN io_out[11]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1102.185000 1720.400000 1102.485000 ;
+    END
+  END io_out[11]
+  PIN io_out[10]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 989.125000 1720.400000 989.425000 ;
+    END
+  END io_out[10]
+  PIN io_out[9]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 876.065000 1720.400000 876.365000 ;
+    END
+  END io_out[9]
+  PIN io_out[8]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 763.005000 1720.400000 763.305000 ;
+    END
+  END io_out[8]
+  PIN io_out[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 649.945000 1720.400000 650.245000 ;
+    END
+  END io_out[7]
+  PIN io_out[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 536.885000 1720.400000 537.185000 ;
+    END
+  END io_out[6]
+  PIN io_out[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 452.090000 1720.400000 452.390000 ;
+    END
+  END io_out[5]
+  PIN io_out[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 367.295000 1720.400000 367.595000 ;
+    END
+  END io_out[4]
+  PIN io_out[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 282.500000 1720.400000 282.800000 ;
+    END
+  END io_out[3]
+  PIN io_out[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 197.705000 1720.400000 198.005000 ;
+    END
+  END io_out[2]
+  PIN io_out[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 112.910000 1720.400000 113.210000 ;
+    END
+  END io_out[1]
+  PIN io_out[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 28.115000 1720.400000 28.415000 ;
+    END
+  END io_out[0]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 4.390000 0.800000 4.690000 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 83.045000 0.800000 83.345000 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 166.240000 0.800000 166.540000 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 277.170000 0.800000 277.470000 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 388.100000 0.800000 388.400000 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 499.025000 0.800000 499.325000 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 609.955000 0.800000 610.255000 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 720.880000 0.800000 721.180000 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 831.810000 0.800000 832.110000 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 942.740000 0.800000 943.040000 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1053.665000 0.800000 1053.965000 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1164.595000 0.800000 1164.895000 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1275.520000 0.800000 1275.820000 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1386.450000 0.800000 1386.750000 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 49.085000 1469.330000 49.225000 1469.820000 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 245.700000 1469.330000 245.840000 1469.820000 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 442.315000 1469.330000 442.455000 1469.820000 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 638.930000 1469.330000 639.070000 1469.820000 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 835.550000 1469.330000 835.690000 1469.820000 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1032.165000 1469.330000 1032.305000 1469.820000 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1228.780000 1469.330000 1228.920000 1469.820000 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1425.395000 1469.330000 1425.535000 1469.820000 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1622.010000 1469.330000 1622.150000 1469.820000 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1464.730000 1720.400000 1465.030000 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1356.570000 1720.400000 1356.870000 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1243.510000 1720.400000 1243.810000 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1130.450000 1720.400000 1130.750000 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1017.390000 1720.400000 1017.690000 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 904.330000 1720.400000 904.630000 ;
+    END
+  END io_oeb[9]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 791.270000 1720.400000 791.570000 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 678.210000 1720.400000 678.510000 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 565.150000 1720.400000 565.450000 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 480.355000 1720.400000 480.655000 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 395.560000 1720.400000 395.860000 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 310.765000 1720.400000 311.065000 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 225.970000 1720.400000 226.270000 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 141.175000 1720.400000 141.475000 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 56.380000 1720.400000 56.680000 ;
+    END
+  END io_oeb[0]
+  PIN analog_io[28]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 249.440000 0.800000 249.740000 ;
+    END
+  END analog_io[28]
+  PIN analog_io[27]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 360.365000 0.800000 360.665000 ;
+    END
+  END analog_io[27]
+  PIN analog_io[26]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 471.295000 0.800000 471.595000 ;
+    END
+  END analog_io[26]
+  PIN analog_io[25]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 582.220000 0.800000 582.520000 ;
+    END
+  END analog_io[25]
+  PIN analog_io[24]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 693.150000 0.800000 693.450000 ;
+    END
+  END analog_io[24]
+  PIN analog_io[23]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 804.080000 0.800000 804.380000 ;
+    END
+  END analog_io[23]
+  PIN analog_io[22]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 915.005000 0.800000 915.305000 ;
+    END
+  END analog_io[22]
+  PIN analog_io[21]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1025.935000 0.800000 1026.235000 ;
+    END
+  END analog_io[21]
+  PIN analog_io[20]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1136.860000 0.800000 1137.160000 ;
+    END
+  END analog_io[20]
+  PIN analog_io[19]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1247.790000 0.800000 1248.090000 ;
+    END
+  END analog_io[19]
+  PIN analog_io[18]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1358.720000 0.800000 1359.020000 ;
+    END
+  END analog_io[18]
+  PIN analog_io[17]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000000 1465.340000 0.800000 1465.640000 ;
+    END
+  END analog_io[17]
+  PIN analog_io[16]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 196.545000 1469.330000 196.685000 1469.820000 ;
+    END
+  END analog_io[16]
+  PIN analog_io[15]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 393.160000 1469.330000 393.300000 1469.820000 ;
+    END
+  END analog_io[15]
+  PIN analog_io[14]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 589.780000 1469.330000 589.920000 1469.820000 ;
+    END
+  END analog_io[14]
+  PIN analog_io[13]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 786.395000 1469.330000 786.535000 1469.820000 ;
+    END
+  END analog_io[13]
+  PIN analog_io[12]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 983.010000 1469.330000 983.150000 1469.820000 ;
+    END
+  END analog_io[12]
+  PIN analog_io[11]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1179.625000 1469.330000 1179.765000 1469.820000 ;
+    END
+  END analog_io[11]
+  PIN analog_io[10]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1376.240000 1469.330000 1376.380000 1469.820000 ;
+    END
+  END analog_io[10]
+  PIN analog_io[9]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1572.860000 1469.330000 1573.000000 1469.820000 ;
+    END
+  END analog_io[9]
+  PIN analog_io[8]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 4.300000 1469.330000 4.440000 1469.820000 ;
+    END
+  END analog_io[8]
+  PIN analog_io[7]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1384.835000 1720.400000 1385.135000 ;
+    END
+  END analog_io[7]
+  PIN analog_io[6]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1271.775000 1720.400000 1272.075000 ;
+    END
+  END analog_io[6]
+  PIN analog_io[5]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1158.715000 1720.400000 1159.015000 ;
+    END
+  END analog_io[5]
+  PIN analog_io[4]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 1045.655000 1720.400000 1045.955000 ;
+    END
+  END analog_io[4]
+  PIN analog_io[3]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 932.595000 1720.400000 932.895000 ;
+    END
+  END analog_io[3]
+  PIN analog_io[2]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 819.535000 1720.400000 819.835000 ;
+    END
+  END analog_io[2]
+  PIN analog_io[1]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 706.475000 1720.400000 706.775000 ;
+    END
+  END analog_io[1]
+  PIN analog_io[0]
+    DIRECTION INOUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met3 ;
+        RECT 1719.600000 593.415000 1720.400000 593.715000 ;
+    END
+  END analog_io[0]
+  PIN user_clock2
+    DIRECTION INPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1709.540000 0.000000 1709.680000 0.490000 ;
+    END
+  END user_clock2
+  PIN user_irq[2]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1714.580000 0.000000 1714.720000 0.490000 ;
+    END
+  END user_irq[2]
+  PIN user_irq[1]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1716.520000 0.000000 1716.660000 0.490000 ;
+    END
+  END user_irq[1]
+  PIN user_irq[0]
+    DIRECTION OUTPUT ;
+    USE SIGNAL ;
+    PORT
+      LAYER met2 ;
+        RECT 1713.030000 0.000000 1713.170000 0.490000 ;
+    END
+  END user_irq[0]
+  PIN VPWR
+    DIRECTION INOUT ;
+    USE POWER ;
+    PORT
+      LAYER met4 ;
+        RECT 1716.340000 1.930000 1718.340000 1467.720000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2.060000 1.930000 4.060000 1467.720000 ;
+    END
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1123.005000 632.850000 1124.745000 1020.830000 ;
+      LAYER met4 ;
+        RECT 1591.525000 632.850000 1593.265000 1020.830000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 556.985000 648.195000 558.725000 1036.175000 ;
+      LAYER met4 ;
+        RECT 88.465000 648.195000 90.205000 1036.175000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+  END VPWR
+  PIN VGND
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT 1712.340000 5.930000 1714.340000 1463.720000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 6.060000 5.930000 8.060000 1463.720000 ;
+    END
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 1594.925000 629.450000 1596.665000 1024.230000 ;
+      LAYER met4 ;
+        RECT 1119.605000 629.450000 1121.345000 1024.230000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+
+# P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+    PORT
+      LAYER met4 ;
+        RECT 85.065000 644.795000 86.805000 1039.575000 ;
+      LAYER met4 ;
+        RECT 560.385000 644.795000 562.125000 1039.575000 ;
+    END
+# end of P/G pin shape extracted from block 'sky130_sram_1kbyte_1rw1r_32x256_8'
+
+  END VGND
+  OBS
+    LAYER li1 ;
+      RECT 0.000000 0.000000 1720.400000 1469.820000 ;
+    LAYER met1 ;
+      RECT 0.000000 0.000000 1720.400000 1469.820000 ;
+    LAYER met2 ;
+      RECT 1715.320000 1469.190000 1720.400000 1469.820000 ;
+      RECT 1671.445000 1469.190000 1714.900000 1469.820000 ;
+      RECT 1622.290000 1469.190000 1671.025000 1469.820000 ;
+      RECT 1573.140000 1469.190000 1621.870000 1469.820000 ;
+      RECT 1523.985000 1469.190000 1572.720000 1469.820000 ;
+      RECT 1474.830000 1469.190000 1523.565000 1469.820000 ;
+      RECT 1425.675000 1469.190000 1474.410000 1469.820000 ;
+      RECT 1376.520000 1469.190000 1425.255000 1469.820000 ;
+      RECT 1327.370000 1469.190000 1376.100000 1469.820000 ;
+      RECT 1278.215000 1469.190000 1326.950000 1469.820000 ;
+      RECT 1229.060000 1469.190000 1277.795000 1469.820000 ;
+      RECT 1179.905000 1469.190000 1228.640000 1469.820000 ;
+      RECT 1130.750000 1469.190000 1179.485000 1469.820000 ;
+      RECT 1081.600000 1469.190000 1130.330000 1469.820000 ;
+      RECT 1032.445000 1469.190000 1081.180000 1469.820000 ;
+      RECT 983.290000 1469.190000 1032.025000 1469.820000 ;
+      RECT 934.135000 1469.190000 982.870000 1469.820000 ;
+      RECT 884.980000 1469.190000 933.715000 1469.820000 ;
+      RECT 835.830000 1469.190000 884.560000 1469.820000 ;
+      RECT 786.675000 1469.190000 835.410000 1469.820000 ;
+      RECT 737.520000 1469.190000 786.255000 1469.820000 ;
+      RECT 688.365000 1469.190000 737.100000 1469.820000 ;
+      RECT 639.210000 1469.190000 687.945000 1469.820000 ;
+      RECT 590.060000 1469.190000 638.790000 1469.820000 ;
+      RECT 540.905000 1469.190000 589.640000 1469.820000 ;
+      RECT 491.750000 1469.190000 540.485000 1469.820000 ;
+      RECT 442.595000 1469.190000 491.330000 1469.820000 ;
+      RECT 393.440000 1469.190000 442.175000 1469.820000 ;
+      RECT 344.290000 1469.190000 393.020000 1469.820000 ;
+      RECT 295.135000 1469.190000 343.870000 1469.820000 ;
+      RECT 245.980000 1469.190000 294.715000 1469.820000 ;
+      RECT 196.825000 1469.190000 245.560000 1469.820000 ;
+      RECT 147.670000 1469.190000 196.405000 1469.820000 ;
+      RECT 98.520000 1469.190000 147.250000 1469.820000 ;
+      RECT 49.365000 1469.190000 98.100000 1469.820000 ;
+      RECT 4.580000 1469.190000 48.945000 1469.820000 ;
+      RECT 0.000000 1469.190000 4.160000 1469.820000 ;
+      RECT 0.000000 0.630000 1720.400000 1469.190000 ;
+      RECT 1716.800000 0.000000 1720.400000 0.630000 ;
+      RECT 1714.860000 0.000000 1716.380000 0.630000 ;
+      RECT 1713.310000 0.000000 1714.440000 0.630000 ;
+      RECT 1709.820000 0.000000 1712.890000 0.630000 ;
+      RECT 1706.330000 0.000000 1709.400000 0.630000 ;
+      RECT 1702.840000 0.000000 1705.910000 0.630000 ;
+      RECT 1699.355000 0.000000 1702.420000 0.630000 ;
+      RECT 1695.865000 0.000000 1698.935000 0.630000 ;
+      RECT 1692.375000 0.000000 1695.445000 0.630000 ;
+      RECT 1688.885000 0.000000 1691.955000 0.630000 ;
+      RECT 1685.395000 0.000000 1688.465000 0.630000 ;
+      RECT 1681.910000 0.000000 1684.975000 0.630000 ;
+      RECT 1678.420000 0.000000 1681.490000 0.630000 ;
+      RECT 1674.930000 0.000000 1678.000000 0.630000 ;
+      RECT 1671.440000 0.000000 1674.510000 0.630000 ;
+      RECT 1667.950000 0.000000 1671.020000 0.630000 ;
+      RECT 1664.465000 0.000000 1667.530000 0.630000 ;
+      RECT 1660.975000 0.000000 1664.045000 0.630000 ;
+      RECT 1657.485000 0.000000 1660.555000 0.630000 ;
+      RECT 1653.995000 0.000000 1657.065000 0.630000 ;
+      RECT 1650.505000 0.000000 1653.575000 0.630000 ;
+      RECT 1647.020000 0.000000 1650.085000 0.630000 ;
+      RECT 1643.530000 0.000000 1646.600000 0.630000 ;
+      RECT 1640.040000 0.000000 1643.110000 0.630000 ;
+      RECT 1636.550000 0.000000 1639.620000 0.630000 ;
+      RECT 1633.060000 0.000000 1636.130000 0.630000 ;
+      RECT 1629.575000 0.000000 1632.640000 0.630000 ;
+      RECT 1626.085000 0.000000 1629.155000 0.630000 ;
+      RECT 1622.595000 0.000000 1625.665000 0.630000 ;
+      RECT 1619.105000 0.000000 1622.175000 0.630000 ;
+      RECT 1615.615000 0.000000 1618.685000 0.630000 ;
+      RECT 1612.130000 0.000000 1615.195000 0.630000 ;
+      RECT 1608.640000 0.000000 1611.710000 0.630000 ;
+      RECT 1605.150000 0.000000 1608.220000 0.630000 ;
+      RECT 1601.660000 0.000000 1604.730000 0.630000 ;
+      RECT 1598.170000 0.000000 1601.240000 0.630000 ;
+      RECT 1594.685000 0.000000 1597.750000 0.630000 ;
+      RECT 1591.195000 0.000000 1594.265000 0.630000 ;
+      RECT 1587.705000 0.000000 1590.775000 0.630000 ;
+      RECT 1584.215000 0.000000 1587.285000 0.630000 ;
+      RECT 1580.725000 0.000000 1583.795000 0.630000 ;
+      RECT 1577.240000 0.000000 1580.305000 0.630000 ;
+      RECT 1573.750000 0.000000 1576.820000 0.630000 ;
+      RECT 1570.260000 0.000000 1573.330000 0.630000 ;
+      RECT 1566.770000 0.000000 1569.840000 0.630000 ;
+      RECT 1563.280000 0.000000 1566.350000 0.630000 ;
+      RECT 1559.795000 0.000000 1562.860000 0.630000 ;
+      RECT 1556.305000 0.000000 1559.375000 0.630000 ;
+      RECT 1552.815000 0.000000 1555.885000 0.630000 ;
+      RECT 1549.325000 0.000000 1552.395000 0.630000 ;
+      RECT 1545.835000 0.000000 1548.905000 0.630000 ;
+      RECT 1542.350000 0.000000 1545.415000 0.630000 ;
+      RECT 1538.860000 0.000000 1541.930000 0.630000 ;
+      RECT 1535.370000 0.000000 1538.440000 0.630000 ;
+      RECT 1531.880000 0.000000 1534.950000 0.630000 ;
+      RECT 1528.390000 0.000000 1531.460000 0.630000 ;
+      RECT 1524.905000 0.000000 1527.970000 0.630000 ;
+      RECT 1521.415000 0.000000 1524.485000 0.630000 ;
+      RECT 1517.925000 0.000000 1520.995000 0.630000 ;
+      RECT 1514.435000 0.000000 1517.505000 0.630000 ;
+      RECT 1510.945000 0.000000 1514.015000 0.630000 ;
+      RECT 1507.460000 0.000000 1510.525000 0.630000 ;
+      RECT 1503.970000 0.000000 1507.040000 0.630000 ;
+      RECT 1500.480000 0.000000 1503.550000 0.630000 ;
+      RECT 1496.990000 0.000000 1500.060000 0.630000 ;
+      RECT 1493.500000 0.000000 1496.570000 0.630000 ;
+      RECT 1490.015000 0.000000 1493.080000 0.630000 ;
+      RECT 1486.525000 0.000000 1489.595000 0.630000 ;
+      RECT 1483.035000 0.000000 1486.105000 0.630000 ;
+      RECT 1479.545000 0.000000 1482.615000 0.630000 ;
+      RECT 1476.055000 0.000000 1479.125000 0.630000 ;
+      RECT 1472.570000 0.000000 1475.635000 0.630000 ;
+      RECT 1469.080000 0.000000 1472.150000 0.630000 ;
+      RECT 1465.590000 0.000000 1468.660000 0.630000 ;
+      RECT 1462.100000 0.000000 1465.170000 0.630000 ;
+      RECT 1458.610000 0.000000 1461.680000 0.630000 ;
+      RECT 1455.125000 0.000000 1458.190000 0.630000 ;
+      RECT 1451.635000 0.000000 1454.705000 0.630000 ;
+      RECT 1448.145000 0.000000 1451.215000 0.630000 ;
+      RECT 1444.655000 0.000000 1447.725000 0.630000 ;
+      RECT 1441.165000 0.000000 1444.235000 0.630000 ;
+      RECT 1437.680000 0.000000 1440.745000 0.630000 ;
+      RECT 1434.190000 0.000000 1437.260000 0.630000 ;
+      RECT 1430.700000 0.000000 1433.770000 0.630000 ;
+      RECT 1427.210000 0.000000 1430.280000 0.630000 ;
+      RECT 1423.720000 0.000000 1426.790000 0.630000 ;
+      RECT 1420.235000 0.000000 1423.300000 0.630000 ;
+      RECT 1416.745000 0.000000 1419.815000 0.630000 ;
+      RECT 1413.255000 0.000000 1416.325000 0.630000 ;
+      RECT 1409.765000 0.000000 1412.835000 0.630000 ;
+      RECT 1406.275000 0.000000 1409.345000 0.630000 ;
+      RECT 1402.790000 0.000000 1405.855000 0.630000 ;
+      RECT 1399.300000 0.000000 1402.370000 0.630000 ;
+      RECT 1395.810000 0.000000 1398.880000 0.630000 ;
+      RECT 1392.320000 0.000000 1395.390000 0.630000 ;
+      RECT 1388.830000 0.000000 1391.900000 0.630000 ;
+      RECT 1385.345000 0.000000 1388.410000 0.630000 ;
+      RECT 1381.855000 0.000000 1384.925000 0.630000 ;
+      RECT 1378.365000 0.000000 1381.435000 0.630000 ;
+      RECT 1374.875000 0.000000 1377.945000 0.630000 ;
+      RECT 1371.385000 0.000000 1374.455000 0.630000 ;
+      RECT 1367.900000 0.000000 1370.965000 0.630000 ;
+      RECT 1364.410000 0.000000 1367.480000 0.630000 ;
+      RECT 1360.920000 0.000000 1363.990000 0.630000 ;
+      RECT 1357.430000 0.000000 1360.500000 0.630000 ;
+      RECT 1353.940000 0.000000 1357.010000 0.630000 ;
+      RECT 1350.455000 0.000000 1353.520000 0.630000 ;
+      RECT 1346.965000 0.000000 1350.035000 0.630000 ;
+      RECT 1343.475000 0.000000 1346.545000 0.630000 ;
+      RECT 1339.985000 0.000000 1343.055000 0.630000 ;
+      RECT 1336.495000 0.000000 1339.565000 0.630000 ;
+      RECT 1333.010000 0.000000 1336.075000 0.630000 ;
+      RECT 1329.520000 0.000000 1332.590000 0.630000 ;
+      RECT 1326.030000 0.000000 1329.100000 0.630000 ;
+      RECT 1322.540000 0.000000 1325.610000 0.630000 ;
+      RECT 1319.050000 0.000000 1322.120000 0.630000 ;
+      RECT 1315.565000 0.000000 1318.630000 0.630000 ;
+      RECT 1312.075000 0.000000 1315.145000 0.630000 ;
+      RECT 1308.585000 0.000000 1311.655000 0.630000 ;
+      RECT 1305.095000 0.000000 1308.165000 0.630000 ;
+      RECT 1301.605000 0.000000 1304.675000 0.630000 ;
+      RECT 1298.120000 0.000000 1301.185000 0.630000 ;
+      RECT 1294.630000 0.000000 1297.700000 0.630000 ;
+      RECT 1291.140000 0.000000 1294.210000 0.630000 ;
+      RECT 1287.650000 0.000000 1290.720000 0.630000 ;
+      RECT 1284.160000 0.000000 1287.230000 0.630000 ;
+      RECT 1280.675000 0.000000 1283.740000 0.630000 ;
+      RECT 1277.185000 0.000000 1280.255000 0.630000 ;
+      RECT 1273.695000 0.000000 1276.765000 0.630000 ;
+      RECT 1270.205000 0.000000 1273.275000 0.630000 ;
+      RECT 1266.715000 0.000000 1269.785000 0.630000 ;
+      RECT 1263.230000 0.000000 1266.295000 0.630000 ;
+      RECT 1259.740000 0.000000 1262.810000 0.630000 ;
+      RECT 1256.250000 0.000000 1259.320000 0.630000 ;
+      RECT 1252.760000 0.000000 1255.830000 0.630000 ;
+      RECT 1249.270000 0.000000 1252.340000 0.630000 ;
+      RECT 1245.785000 0.000000 1248.850000 0.630000 ;
+      RECT 1242.295000 0.000000 1245.365000 0.630000 ;
+      RECT 1238.805000 0.000000 1241.875000 0.630000 ;
+      RECT 1235.315000 0.000000 1238.385000 0.630000 ;
+      RECT 1231.825000 0.000000 1234.895000 0.630000 ;
+      RECT 1228.340000 0.000000 1231.405000 0.630000 ;
+      RECT 1224.850000 0.000000 1227.920000 0.630000 ;
+      RECT 1221.360000 0.000000 1224.430000 0.630000 ;
+      RECT 1217.870000 0.000000 1220.940000 0.630000 ;
+      RECT 1214.380000 0.000000 1217.450000 0.630000 ;
+      RECT 1210.895000 0.000000 1213.960000 0.630000 ;
+      RECT 1207.405000 0.000000 1210.475000 0.630000 ;
+      RECT 1203.915000 0.000000 1206.985000 0.630000 ;
+      RECT 1200.425000 0.000000 1203.495000 0.630000 ;
+      RECT 1196.935000 0.000000 1200.005000 0.630000 ;
+      RECT 1193.450000 0.000000 1196.515000 0.630000 ;
+      RECT 1189.960000 0.000000 1193.030000 0.630000 ;
+      RECT 1186.470000 0.000000 1189.540000 0.630000 ;
+      RECT 1182.980000 0.000000 1186.050000 0.630000 ;
+      RECT 1179.490000 0.000000 1182.560000 0.630000 ;
+      RECT 1176.005000 0.000000 1179.070000 0.630000 ;
+      RECT 1172.515000 0.000000 1175.585000 0.630000 ;
+      RECT 1169.025000 0.000000 1172.095000 0.630000 ;
+      RECT 1165.535000 0.000000 1168.605000 0.630000 ;
+      RECT 1162.045000 0.000000 1165.115000 0.630000 ;
+      RECT 1158.560000 0.000000 1161.625000 0.630000 ;
+      RECT 1155.070000 0.000000 1158.140000 0.630000 ;
+      RECT 1151.580000 0.000000 1154.650000 0.630000 ;
+      RECT 1148.090000 0.000000 1151.160000 0.630000 ;
+      RECT 1144.600000 0.000000 1147.670000 0.630000 ;
+      RECT 1141.115000 0.000000 1144.180000 0.630000 ;
+      RECT 1137.625000 0.000000 1140.695000 0.630000 ;
+      RECT 1134.135000 0.000000 1137.205000 0.630000 ;
+      RECT 1130.645000 0.000000 1133.715000 0.630000 ;
+      RECT 1127.155000 0.000000 1130.225000 0.630000 ;
+      RECT 1123.670000 0.000000 1126.735000 0.630000 ;
+      RECT 1120.180000 0.000000 1123.250000 0.630000 ;
+      RECT 1116.690000 0.000000 1119.760000 0.630000 ;
+      RECT 1113.200000 0.000000 1116.270000 0.630000 ;
+      RECT 1109.710000 0.000000 1112.780000 0.630000 ;
+      RECT 1106.225000 0.000000 1109.290000 0.630000 ;
+      RECT 1102.735000 0.000000 1105.805000 0.630000 ;
+      RECT 1099.245000 0.000000 1102.315000 0.630000 ;
+      RECT 1095.755000 0.000000 1098.825000 0.630000 ;
+      RECT 1092.265000 0.000000 1095.335000 0.630000 ;
+      RECT 1088.780000 0.000000 1091.845000 0.630000 ;
+      RECT 1085.290000 0.000000 1088.360000 0.630000 ;
+      RECT 1081.800000 0.000000 1084.870000 0.630000 ;
+      RECT 1078.310000 0.000000 1081.380000 0.630000 ;
+      RECT 1074.820000 0.000000 1077.890000 0.630000 ;
+      RECT 1071.335000 0.000000 1074.400000 0.630000 ;
+      RECT 1067.845000 0.000000 1070.915000 0.630000 ;
+      RECT 1064.355000 0.000000 1067.425000 0.630000 ;
+      RECT 1060.865000 0.000000 1063.935000 0.630000 ;
+      RECT 1057.375000 0.000000 1060.445000 0.630000 ;
+      RECT 1053.890000 0.000000 1056.955000 0.630000 ;
+      RECT 1050.400000 0.000000 1053.470000 0.630000 ;
+      RECT 1046.910000 0.000000 1049.980000 0.630000 ;
+      RECT 1043.420000 0.000000 1046.490000 0.630000 ;
+      RECT 1039.930000 0.000000 1043.000000 0.630000 ;
+      RECT 1036.445000 0.000000 1039.510000 0.630000 ;
+      RECT 1032.955000 0.000000 1036.025000 0.630000 ;
+      RECT 1029.465000 0.000000 1032.535000 0.630000 ;
+      RECT 1025.975000 0.000000 1029.045000 0.630000 ;
+      RECT 1022.485000 0.000000 1025.555000 0.630000 ;
+      RECT 1019.000000 0.000000 1022.065000 0.630000 ;
+      RECT 1015.510000 0.000000 1018.580000 0.630000 ;
+      RECT 1012.020000 0.000000 1015.090000 0.630000 ;
+      RECT 1008.530000 0.000000 1011.600000 0.630000 ;
+      RECT 1005.040000 0.000000 1008.110000 0.630000 ;
+      RECT 1001.555000 0.000000 1004.620000 0.630000 ;
+      RECT 998.065000 0.000000 1001.135000 0.630000 ;
+      RECT 994.575000 0.000000 997.645000 0.630000 ;
+      RECT 991.085000 0.000000 994.155000 0.630000 ;
+      RECT 987.595000 0.000000 990.665000 0.630000 ;
+      RECT 984.110000 0.000000 987.175000 0.630000 ;
+      RECT 980.620000 0.000000 983.690000 0.630000 ;
+      RECT 977.130000 0.000000 980.200000 0.630000 ;
+      RECT 973.640000 0.000000 976.710000 0.630000 ;
+      RECT 970.150000 0.000000 973.220000 0.630000 ;
+      RECT 966.665000 0.000000 969.730000 0.630000 ;
+      RECT 963.175000 0.000000 966.245000 0.630000 ;
+      RECT 959.685000 0.000000 962.755000 0.630000 ;
+      RECT 956.195000 0.000000 959.265000 0.630000 ;
+      RECT 952.705000 0.000000 955.775000 0.630000 ;
+      RECT 949.220000 0.000000 952.285000 0.630000 ;
+      RECT 945.730000 0.000000 948.800000 0.630000 ;
+      RECT 942.240000 0.000000 945.310000 0.630000 ;
+      RECT 938.750000 0.000000 941.820000 0.630000 ;
+      RECT 935.260000 0.000000 938.330000 0.630000 ;
+      RECT 931.775000 0.000000 934.840000 0.630000 ;
+      RECT 928.285000 0.000000 931.355000 0.630000 ;
+      RECT 924.795000 0.000000 927.865000 0.630000 ;
+      RECT 921.305000 0.000000 924.375000 0.630000 ;
+      RECT 917.815000 0.000000 920.885000 0.630000 ;
+      RECT 914.330000 0.000000 917.395000 0.630000 ;
+      RECT 910.840000 0.000000 913.910000 0.630000 ;
+      RECT 907.350000 0.000000 910.420000 0.630000 ;
+      RECT 903.860000 0.000000 906.930000 0.630000 ;
+      RECT 900.370000 0.000000 903.440000 0.630000 ;
+      RECT 896.885000 0.000000 899.950000 0.630000 ;
+      RECT 893.395000 0.000000 896.465000 0.630000 ;
+      RECT 889.905000 0.000000 892.975000 0.630000 ;
+      RECT 886.415000 0.000000 889.485000 0.630000 ;
+      RECT 882.925000 0.000000 885.995000 0.630000 ;
+      RECT 879.440000 0.000000 882.505000 0.630000 ;
+      RECT 875.950000 0.000000 879.020000 0.630000 ;
+      RECT 872.460000 0.000000 875.530000 0.630000 ;
+      RECT 868.970000 0.000000 872.040000 0.630000 ;
+      RECT 865.480000 0.000000 868.550000 0.630000 ;
+      RECT 861.995000 0.000000 865.060000 0.630000 ;
+      RECT 858.505000 0.000000 861.575000 0.630000 ;
+      RECT 855.015000 0.000000 858.085000 0.630000 ;
+      RECT 851.525000 0.000000 854.595000 0.630000 ;
+      RECT 848.035000 0.000000 851.105000 0.630000 ;
+      RECT 844.550000 0.000000 847.615000 0.630000 ;
+      RECT 841.060000 0.000000 844.130000 0.630000 ;
+      RECT 837.570000 0.000000 840.640000 0.630000 ;
+      RECT 834.080000 0.000000 837.150000 0.630000 ;
+      RECT 830.590000 0.000000 833.660000 0.630000 ;
+      RECT 827.105000 0.000000 830.170000 0.630000 ;
+      RECT 823.615000 0.000000 826.685000 0.630000 ;
+      RECT 820.125000 0.000000 823.195000 0.630000 ;
+      RECT 816.635000 0.000000 819.705000 0.630000 ;
+      RECT 813.145000 0.000000 816.215000 0.630000 ;
+      RECT 809.660000 0.000000 812.725000 0.630000 ;
+      RECT 806.170000 0.000000 809.240000 0.630000 ;
+      RECT 802.680000 0.000000 805.750000 0.630000 ;
+      RECT 799.190000 0.000000 802.260000 0.630000 ;
+      RECT 795.700000 0.000000 798.770000 0.630000 ;
+      RECT 792.215000 0.000000 795.280000 0.630000 ;
+      RECT 788.725000 0.000000 791.795000 0.630000 ;
+      RECT 785.235000 0.000000 788.305000 0.630000 ;
+      RECT 781.745000 0.000000 784.815000 0.630000 ;
+      RECT 778.255000 0.000000 781.325000 0.630000 ;
+      RECT 774.770000 0.000000 777.835000 0.630000 ;
+      RECT 771.280000 0.000000 774.350000 0.630000 ;
+      RECT 767.790000 0.000000 770.860000 0.630000 ;
+      RECT 764.300000 0.000000 767.370000 0.630000 ;
+      RECT 760.810000 0.000000 763.880000 0.630000 ;
+      RECT 757.325000 0.000000 760.390000 0.630000 ;
+      RECT 753.835000 0.000000 756.905000 0.630000 ;
+      RECT 750.345000 0.000000 753.415000 0.630000 ;
+      RECT 746.855000 0.000000 749.925000 0.630000 ;
+      RECT 743.365000 0.000000 746.435000 0.630000 ;
+      RECT 739.880000 0.000000 742.945000 0.630000 ;
+      RECT 736.390000 0.000000 739.460000 0.630000 ;
+      RECT 732.900000 0.000000 735.970000 0.630000 ;
+      RECT 729.410000 0.000000 732.480000 0.630000 ;
+      RECT 725.920000 0.000000 728.990000 0.630000 ;
+      RECT 722.435000 0.000000 725.500000 0.630000 ;
+      RECT 718.945000 0.000000 722.015000 0.630000 ;
+      RECT 715.455000 0.000000 718.525000 0.630000 ;
+      RECT 711.965000 0.000000 715.035000 0.630000 ;
+      RECT 708.475000 0.000000 711.545000 0.630000 ;
+      RECT 704.990000 0.000000 708.055000 0.630000 ;
+      RECT 701.500000 0.000000 704.570000 0.630000 ;
+      RECT 698.010000 0.000000 701.080000 0.630000 ;
+      RECT 694.520000 0.000000 697.590000 0.630000 ;
+      RECT 691.030000 0.000000 694.100000 0.630000 ;
+      RECT 687.545000 0.000000 690.610000 0.630000 ;
+      RECT 684.055000 0.000000 687.125000 0.630000 ;
+      RECT 680.565000 0.000000 683.635000 0.630000 ;
+      RECT 677.075000 0.000000 680.145000 0.630000 ;
+      RECT 673.585000 0.000000 676.655000 0.630000 ;
+      RECT 670.100000 0.000000 673.165000 0.630000 ;
+      RECT 666.610000 0.000000 669.680000 0.630000 ;
+      RECT 663.120000 0.000000 666.190000 0.630000 ;
+      RECT 659.630000 0.000000 662.700000 0.630000 ;
+      RECT 656.140000 0.000000 659.210000 0.630000 ;
+      RECT 652.655000 0.000000 655.720000 0.630000 ;
+      RECT 649.165000 0.000000 652.235000 0.630000 ;
+      RECT 645.675000 0.000000 648.745000 0.630000 ;
+      RECT 642.185000 0.000000 645.255000 0.630000 ;
+      RECT 638.695000 0.000000 641.765000 0.630000 ;
+      RECT 635.210000 0.000000 638.275000 0.630000 ;
+      RECT 631.720000 0.000000 634.790000 0.630000 ;
+      RECT 628.230000 0.000000 631.300000 0.630000 ;
+      RECT 624.740000 0.000000 627.810000 0.630000 ;
+      RECT 621.250000 0.000000 624.320000 0.630000 ;
+      RECT 617.765000 0.000000 620.830000 0.630000 ;
+      RECT 614.275000 0.000000 617.345000 0.630000 ;
+      RECT 610.785000 0.000000 613.855000 0.630000 ;
+      RECT 607.295000 0.000000 610.365000 0.630000 ;
+      RECT 603.805000 0.000000 606.875000 0.630000 ;
+      RECT 600.320000 0.000000 603.385000 0.630000 ;
+      RECT 596.830000 0.000000 599.900000 0.630000 ;
+      RECT 593.340000 0.000000 596.410000 0.630000 ;
+      RECT 589.850000 0.000000 592.920000 0.630000 ;
+      RECT 586.360000 0.000000 589.430000 0.630000 ;
+      RECT 582.875000 0.000000 585.940000 0.630000 ;
+      RECT 579.385000 0.000000 582.455000 0.630000 ;
+      RECT 575.895000 0.000000 578.965000 0.630000 ;
+      RECT 572.405000 0.000000 575.475000 0.630000 ;
+      RECT 568.915000 0.000000 571.985000 0.630000 ;
+      RECT 565.430000 0.000000 568.495000 0.630000 ;
+      RECT 561.940000 0.000000 565.010000 0.630000 ;
+      RECT 558.450000 0.000000 561.520000 0.630000 ;
+      RECT 554.960000 0.000000 558.030000 0.630000 ;
+      RECT 551.470000 0.000000 554.540000 0.630000 ;
+      RECT 547.985000 0.000000 551.050000 0.630000 ;
+      RECT 544.495000 0.000000 547.565000 0.630000 ;
+      RECT 541.005000 0.000000 544.075000 0.630000 ;
+      RECT 537.515000 0.000000 540.585000 0.630000 ;
+      RECT 534.025000 0.000000 537.095000 0.630000 ;
+      RECT 530.540000 0.000000 533.605000 0.630000 ;
+      RECT 527.050000 0.000000 530.120000 0.630000 ;
+      RECT 523.560000 0.000000 526.630000 0.630000 ;
+      RECT 520.070000 0.000000 523.140000 0.630000 ;
+      RECT 516.580000 0.000000 519.650000 0.630000 ;
+      RECT 513.095000 0.000000 516.160000 0.630000 ;
+      RECT 509.605000 0.000000 512.675000 0.630000 ;
+      RECT 506.115000 0.000000 509.185000 0.630000 ;
+      RECT 502.625000 0.000000 505.695000 0.630000 ;
+      RECT 499.135000 0.000000 502.205000 0.630000 ;
+      RECT 495.650000 0.000000 498.715000 0.630000 ;
+      RECT 492.160000 0.000000 495.230000 0.630000 ;
+      RECT 488.670000 0.000000 491.740000 0.630000 ;
+      RECT 485.180000 0.000000 488.250000 0.630000 ;
+      RECT 481.690000 0.000000 484.760000 0.630000 ;
+      RECT 478.205000 0.000000 481.270000 0.630000 ;
+      RECT 474.715000 0.000000 477.785000 0.630000 ;
+      RECT 471.225000 0.000000 474.295000 0.630000 ;
+      RECT 467.735000 0.000000 470.805000 0.630000 ;
+      RECT 464.245000 0.000000 467.315000 0.630000 ;
+      RECT 460.760000 0.000000 463.825000 0.630000 ;
+      RECT 457.270000 0.000000 460.340000 0.630000 ;
+      RECT 453.780000 0.000000 456.850000 0.630000 ;
+      RECT 450.290000 0.000000 453.360000 0.630000 ;
+      RECT 446.800000 0.000000 449.870000 0.630000 ;
+      RECT 443.315000 0.000000 446.380000 0.630000 ;
+      RECT 439.825000 0.000000 442.895000 0.630000 ;
+      RECT 436.335000 0.000000 439.405000 0.630000 ;
+      RECT 432.845000 0.000000 435.915000 0.630000 ;
+      RECT 429.355000 0.000000 432.425000 0.630000 ;
+      RECT 425.870000 0.000000 428.935000 0.630000 ;
+      RECT 422.380000 0.000000 425.450000 0.630000 ;
+      RECT 418.890000 0.000000 421.960000 0.630000 ;
+      RECT 415.400000 0.000000 418.470000 0.630000 ;
+      RECT 411.910000 0.000000 414.980000 0.630000 ;
+      RECT 408.425000 0.000000 411.490000 0.630000 ;
+      RECT 404.935000 0.000000 408.005000 0.630000 ;
+      RECT 401.445000 0.000000 404.515000 0.630000 ;
+      RECT 397.955000 0.000000 401.025000 0.630000 ;
+      RECT 394.465000 0.000000 397.535000 0.630000 ;
+      RECT 390.980000 0.000000 394.045000 0.630000 ;
+      RECT 387.490000 0.000000 390.560000 0.630000 ;
+      RECT 384.000000 0.000000 387.070000 0.630000 ;
+      RECT 380.510000 0.000000 383.580000 0.630000 ;
+      RECT 377.020000 0.000000 380.090000 0.630000 ;
+      RECT 373.535000 0.000000 376.600000 0.630000 ;
+      RECT 370.045000 0.000000 373.115000 0.630000 ;
+      RECT 366.555000 0.000000 369.625000 0.630000 ;
+      RECT 363.065000 0.000000 366.135000 0.630000 ;
+      RECT 359.575000 0.000000 362.645000 0.630000 ;
+      RECT 356.090000 0.000000 359.155000 0.630000 ;
+      RECT 352.600000 0.000000 355.670000 0.630000 ;
+      RECT 349.110000 0.000000 352.180000 0.630000 ;
+      RECT 345.620000 0.000000 348.690000 0.630000 ;
+      RECT 342.130000 0.000000 345.200000 0.630000 ;
+      RECT 338.645000 0.000000 341.710000 0.630000 ;
+      RECT 335.155000 0.000000 338.225000 0.630000 ;
+      RECT 331.665000 0.000000 334.735000 0.630000 ;
+      RECT 328.175000 0.000000 331.245000 0.630000 ;
+      RECT 324.685000 0.000000 327.755000 0.630000 ;
+      RECT 321.200000 0.000000 324.265000 0.630000 ;
+      RECT 317.710000 0.000000 320.780000 0.630000 ;
+      RECT 314.220000 0.000000 317.290000 0.630000 ;
+      RECT 310.730000 0.000000 313.800000 0.630000 ;
+      RECT 307.240000 0.000000 310.310000 0.630000 ;
+      RECT 303.755000 0.000000 306.820000 0.630000 ;
+      RECT 300.265000 0.000000 303.335000 0.630000 ;
+      RECT 296.775000 0.000000 299.845000 0.630000 ;
+      RECT 293.285000 0.000000 296.355000 0.630000 ;
+      RECT 289.795000 0.000000 292.865000 0.630000 ;
+      RECT 286.310000 0.000000 289.375000 0.630000 ;
+      RECT 282.820000 0.000000 285.890000 0.630000 ;
+      RECT 279.330000 0.000000 282.400000 0.630000 ;
+      RECT 275.840000 0.000000 278.910000 0.630000 ;
+      RECT 272.350000 0.000000 275.420000 0.630000 ;
+      RECT 268.865000 0.000000 271.930000 0.630000 ;
+      RECT 265.375000 0.000000 268.445000 0.630000 ;
+      RECT 261.885000 0.000000 264.955000 0.630000 ;
+      RECT 258.395000 0.000000 261.465000 0.630000 ;
+      RECT 254.905000 0.000000 257.975000 0.630000 ;
+      RECT 251.420000 0.000000 254.485000 0.630000 ;
+      RECT 247.930000 0.000000 251.000000 0.630000 ;
+      RECT 244.440000 0.000000 247.510000 0.630000 ;
+      RECT 240.950000 0.000000 244.020000 0.630000 ;
+      RECT 237.460000 0.000000 240.530000 0.630000 ;
+      RECT 233.975000 0.000000 237.040000 0.630000 ;
+      RECT 230.485000 0.000000 233.555000 0.630000 ;
+      RECT 226.995000 0.000000 230.065000 0.630000 ;
+      RECT 223.505000 0.000000 226.575000 0.630000 ;
+      RECT 220.015000 0.000000 223.085000 0.630000 ;
+      RECT 216.530000 0.000000 219.595000 0.630000 ;
+      RECT 213.040000 0.000000 216.110000 0.630000 ;
+      RECT 209.550000 0.000000 212.620000 0.630000 ;
+      RECT 206.060000 0.000000 209.130000 0.630000 ;
+      RECT 202.570000 0.000000 205.640000 0.630000 ;
+      RECT 199.085000 0.000000 202.150000 0.630000 ;
+      RECT 195.595000 0.000000 198.665000 0.630000 ;
+      RECT 192.105000 0.000000 195.175000 0.630000 ;
+      RECT 188.615000 0.000000 191.685000 0.630000 ;
+      RECT 185.125000 0.000000 188.195000 0.630000 ;
+      RECT 181.640000 0.000000 184.705000 0.630000 ;
+      RECT 178.150000 0.000000 181.220000 0.630000 ;
+      RECT 174.660000 0.000000 177.730000 0.630000 ;
+      RECT 171.170000 0.000000 174.240000 0.630000 ;
+      RECT 167.680000 0.000000 170.750000 0.630000 ;
+      RECT 164.195000 0.000000 167.260000 0.630000 ;
+      RECT 160.705000 0.000000 163.775000 0.630000 ;
+      RECT 157.215000 0.000000 160.285000 0.630000 ;
+      RECT 153.725000 0.000000 156.795000 0.630000 ;
+      RECT 150.235000 0.000000 153.305000 0.630000 ;
+      RECT 146.750000 0.000000 149.815000 0.630000 ;
+      RECT 143.260000 0.000000 146.330000 0.630000 ;
+      RECT 139.770000 0.000000 142.840000 0.630000 ;
+      RECT 136.280000 0.000000 139.350000 0.630000 ;
+      RECT 132.790000 0.000000 135.860000 0.630000 ;
+      RECT 129.305000 0.000000 132.370000 0.630000 ;
+      RECT 125.815000 0.000000 128.885000 0.630000 ;
+      RECT 122.325000 0.000000 125.395000 0.630000 ;
+      RECT 118.835000 0.000000 121.905000 0.630000 ;
+      RECT 115.345000 0.000000 118.415000 0.630000 ;
+      RECT 111.860000 0.000000 114.925000 0.630000 ;
+      RECT 108.370000 0.000000 111.440000 0.630000 ;
+      RECT 104.880000 0.000000 107.950000 0.630000 ;
+      RECT 101.390000 0.000000 104.460000 0.630000 ;
+      RECT 97.900000 0.000000 100.970000 0.630000 ;
+      RECT 94.415000 0.000000 97.480000 0.630000 ;
+      RECT 90.925000 0.000000 93.995000 0.630000 ;
+      RECT 87.435000 0.000000 90.505000 0.630000 ;
+      RECT 83.945000 0.000000 87.015000 0.630000 ;
+      RECT 80.455000 0.000000 83.525000 0.630000 ;
+      RECT 76.970000 0.000000 80.035000 0.630000 ;
+      RECT 73.480000 0.000000 76.550000 0.630000 ;
+      RECT 69.990000 0.000000 73.060000 0.630000 ;
+      RECT 66.500000 0.000000 69.570000 0.630000 ;
+      RECT 63.010000 0.000000 66.080000 0.630000 ;
+      RECT 59.525000 0.000000 62.590000 0.630000 ;
+      RECT 56.035000 0.000000 59.105000 0.630000 ;
+      RECT 52.545000 0.000000 55.615000 0.630000 ;
+      RECT 49.055000 0.000000 52.125000 0.630000 ;
+      RECT 45.565000 0.000000 48.635000 0.630000 ;
+      RECT 42.080000 0.000000 45.145000 0.630000 ;
+      RECT 38.590000 0.000000 41.660000 0.630000 ;
+      RECT 35.100000 0.000000 38.170000 0.630000 ;
+      RECT 31.610000 0.000000 34.680000 0.630000 ;
+      RECT 28.120000 0.000000 31.190000 0.630000 ;
+      RECT 24.635000 0.000000 27.700000 0.630000 ;
+      RECT 21.145000 0.000000 24.215000 0.630000 ;
+      RECT 17.655000 0.000000 20.725000 0.630000 ;
+      RECT 14.165000 0.000000 17.235000 0.630000 ;
+      RECT 10.675000 0.000000 13.745000 0.630000 ;
+      RECT 7.190000 0.000000 10.255000 0.630000 ;
+      RECT 3.700000 0.000000 6.770000 0.630000 ;
+      RECT 1.820000 0.000000 3.280000 0.630000 ;
+      RECT 0.000000 0.000000 1.400000 0.630000 ;
+    LAYER met3 ;
+      RECT 0.000000 1465.940000 1720.400000 1469.820000 ;
+      RECT 1.100000 1465.330000 1720.400000 1465.940000 ;
+      RECT 1.100000 1465.040000 1719.300000 1465.330000 ;
+      RECT 0.000000 1464.430000 1719.300000 1465.040000 ;
+      RECT 0.000000 1442.515000 1720.400000 1464.430000 ;
+      RECT 1.100000 1441.965000 1720.400000 1442.515000 ;
+      RECT 1.100000 1441.615000 1719.300000 1441.965000 ;
+      RECT 0.000000 1441.065000 1719.300000 1441.615000 ;
+      RECT 0.000000 1414.780000 1720.400000 1441.065000 ;
+      RECT 1.100000 1413.880000 1720.400000 1414.780000 ;
+      RECT 0.000000 1413.700000 1720.400000 1413.880000 ;
+      RECT 0.000000 1412.800000 1719.300000 1413.700000 ;
+      RECT 0.000000 1387.050000 1720.400000 1412.800000 ;
+      RECT 1.100000 1386.150000 1720.400000 1387.050000 ;
+      RECT 0.000000 1385.435000 1720.400000 1386.150000 ;
+      RECT 0.000000 1384.535000 1719.300000 1385.435000 ;
+      RECT 0.000000 1359.320000 1720.400000 1384.535000 ;
+      RECT 1.100000 1358.420000 1720.400000 1359.320000 ;
+      RECT 0.000000 1357.170000 1720.400000 1358.420000 ;
+      RECT 0.000000 1356.270000 1719.300000 1357.170000 ;
+      RECT 0.000000 1331.585000 1720.400000 1356.270000 ;
+      RECT 1.100000 1330.685000 1720.400000 1331.585000 ;
+      RECT 0.000000 1328.905000 1720.400000 1330.685000 ;
+      RECT 0.000000 1328.005000 1719.300000 1328.905000 ;
+      RECT 0.000000 1303.855000 1720.400000 1328.005000 ;
+      RECT 1.100000 1302.955000 1720.400000 1303.855000 ;
+      RECT 0.000000 1300.640000 1720.400000 1302.955000 ;
+      RECT 0.000000 1299.740000 1719.300000 1300.640000 ;
+      RECT 0.000000 1276.120000 1720.400000 1299.740000 ;
+      RECT 1.100000 1275.220000 1720.400000 1276.120000 ;
+      RECT 0.000000 1272.375000 1720.400000 1275.220000 ;
+      RECT 0.000000 1271.475000 1719.300000 1272.375000 ;
+      RECT 0.000000 1248.390000 1720.400000 1271.475000 ;
+      RECT 1.100000 1247.490000 1720.400000 1248.390000 ;
+      RECT 0.000000 1244.110000 1720.400000 1247.490000 ;
+      RECT 0.000000 1243.210000 1719.300000 1244.110000 ;
+      RECT 0.000000 1220.660000 1720.400000 1243.210000 ;
+      RECT 1.100000 1219.760000 1720.400000 1220.660000 ;
+      RECT 0.000000 1215.845000 1720.400000 1219.760000 ;
+      RECT 0.000000 1214.945000 1719.300000 1215.845000 ;
+      RECT 0.000000 1192.925000 1720.400000 1214.945000 ;
+      RECT 1.100000 1192.025000 1720.400000 1192.925000 ;
+      RECT 0.000000 1187.580000 1720.400000 1192.025000 ;
+      RECT 0.000000 1186.680000 1719.300000 1187.580000 ;
+      RECT 0.000000 1165.195000 1720.400000 1186.680000 ;
+      RECT 1.100000 1164.295000 1720.400000 1165.195000 ;
+      RECT 0.000000 1159.315000 1720.400000 1164.295000 ;
+      RECT 0.000000 1158.415000 1719.300000 1159.315000 ;
+      RECT 0.000000 1137.460000 1720.400000 1158.415000 ;
+      RECT 1.100000 1136.560000 1720.400000 1137.460000 ;
+      RECT 0.000000 1131.050000 1720.400000 1136.560000 ;
+      RECT 0.000000 1130.150000 1719.300000 1131.050000 ;
+      RECT 0.000000 1109.730000 1720.400000 1130.150000 ;
+      RECT 1.100000 1108.830000 1720.400000 1109.730000 ;
+      RECT 0.000000 1102.785000 1720.400000 1108.830000 ;
+      RECT 0.000000 1101.885000 1719.300000 1102.785000 ;
+      RECT 0.000000 1082.000000 1720.400000 1101.885000 ;
+      RECT 1.100000 1081.100000 1720.400000 1082.000000 ;
+      RECT 0.000000 1074.520000 1720.400000 1081.100000 ;
+      RECT 0.000000 1073.620000 1719.300000 1074.520000 ;
+      RECT 0.000000 1054.265000 1720.400000 1073.620000 ;
+      RECT 1.100000 1053.365000 1720.400000 1054.265000 ;
+      RECT 0.000000 1046.255000 1720.400000 1053.365000 ;
+      RECT 0.000000 1045.355000 1719.300000 1046.255000 ;
+      RECT 0.000000 1026.535000 1720.400000 1045.355000 ;
+      RECT 1.100000 1025.635000 1720.400000 1026.535000 ;
+      RECT 0.000000 1017.990000 1720.400000 1025.635000 ;
+      RECT 0.000000 1017.090000 1719.300000 1017.990000 ;
+      RECT 0.000000 998.800000 1720.400000 1017.090000 ;
+      RECT 1.100000 997.900000 1720.400000 998.800000 ;
+      RECT 0.000000 989.725000 1720.400000 997.900000 ;
+      RECT 0.000000 988.825000 1719.300000 989.725000 ;
+      RECT 0.000000 971.070000 1720.400000 988.825000 ;
+      RECT 1.100000 970.170000 1720.400000 971.070000 ;
+      RECT 0.000000 961.460000 1720.400000 970.170000 ;
+      RECT 0.000000 960.560000 1719.300000 961.460000 ;
+      RECT 0.000000 943.340000 1720.400000 960.560000 ;
+      RECT 1.100000 942.440000 1720.400000 943.340000 ;
+      RECT 0.000000 933.195000 1720.400000 942.440000 ;
+      RECT 0.000000 932.295000 1719.300000 933.195000 ;
+      RECT 0.000000 915.605000 1720.400000 932.295000 ;
+      RECT 1.100000 914.705000 1720.400000 915.605000 ;
+      RECT 0.000000 904.930000 1720.400000 914.705000 ;
+      RECT 0.000000 904.030000 1719.300000 904.930000 ;
+      RECT 0.000000 887.875000 1720.400000 904.030000 ;
+      RECT 1.100000 886.975000 1720.400000 887.875000 ;
+      RECT 0.000000 876.665000 1720.400000 886.975000 ;
+      RECT 0.000000 875.765000 1719.300000 876.665000 ;
+      RECT 0.000000 860.140000 1720.400000 875.765000 ;
+      RECT 1.100000 859.240000 1720.400000 860.140000 ;
+      RECT 0.000000 848.400000 1720.400000 859.240000 ;
+      RECT 0.000000 847.500000 1719.300000 848.400000 ;
+      RECT 0.000000 832.410000 1720.400000 847.500000 ;
+      RECT 1.100000 831.510000 1720.400000 832.410000 ;
+      RECT 0.000000 820.135000 1720.400000 831.510000 ;
+      RECT 0.000000 819.235000 1719.300000 820.135000 ;
+      RECT 0.000000 804.680000 1720.400000 819.235000 ;
+      RECT 1.100000 803.780000 1720.400000 804.680000 ;
+      RECT 0.000000 791.870000 1720.400000 803.780000 ;
+      RECT 0.000000 790.970000 1719.300000 791.870000 ;
+      RECT 0.000000 776.945000 1720.400000 790.970000 ;
+      RECT 1.100000 776.045000 1720.400000 776.945000 ;
+      RECT 0.000000 763.605000 1720.400000 776.045000 ;
+      RECT 0.000000 762.705000 1719.300000 763.605000 ;
+      RECT 0.000000 749.215000 1720.400000 762.705000 ;
+      RECT 1.100000 748.315000 1720.400000 749.215000 ;
+      RECT 0.000000 735.340000 1720.400000 748.315000 ;
+      RECT 0.000000 734.440000 1719.300000 735.340000 ;
+      RECT 0.000000 721.480000 1720.400000 734.440000 ;
+      RECT 1.100000 720.580000 1720.400000 721.480000 ;
+      RECT 0.000000 707.075000 1720.400000 720.580000 ;
+      RECT 0.000000 706.175000 1719.300000 707.075000 ;
+      RECT 0.000000 693.750000 1720.400000 706.175000 ;
+      RECT 1.100000 692.850000 1720.400000 693.750000 ;
+      RECT 0.000000 678.810000 1720.400000 692.850000 ;
+      RECT 0.000000 677.910000 1719.300000 678.810000 ;
+      RECT 0.000000 666.020000 1720.400000 677.910000 ;
+      RECT 1.100000 665.120000 1720.400000 666.020000 ;
+      RECT 0.000000 650.545000 1720.400000 665.120000 ;
+      RECT 0.000000 649.645000 1719.300000 650.545000 ;
+      RECT 0.000000 638.285000 1720.400000 649.645000 ;
+      RECT 1.100000 637.385000 1720.400000 638.285000 ;
+      RECT 0.000000 622.280000 1720.400000 637.385000 ;
+      RECT 0.000000 621.380000 1719.300000 622.280000 ;
+      RECT 0.000000 610.555000 1720.400000 621.380000 ;
+      RECT 1.100000 609.655000 1720.400000 610.555000 ;
+      RECT 0.000000 594.015000 1720.400000 609.655000 ;
+      RECT 0.000000 593.115000 1719.300000 594.015000 ;
+      RECT 0.000000 582.820000 1720.400000 593.115000 ;
+      RECT 1.100000 581.920000 1720.400000 582.820000 ;
+      RECT 0.000000 565.750000 1720.400000 581.920000 ;
+      RECT 0.000000 564.850000 1719.300000 565.750000 ;
+      RECT 0.000000 555.090000 1720.400000 564.850000 ;
+      RECT 1.100000 554.190000 1720.400000 555.090000 ;
+      RECT 0.000000 537.485000 1720.400000 554.190000 ;
+      RECT 0.000000 536.585000 1719.300000 537.485000 ;
+      RECT 0.000000 527.360000 1720.400000 536.585000 ;
+      RECT 1.100000 526.460000 1720.400000 527.360000 ;
+      RECT 0.000000 509.220000 1720.400000 526.460000 ;
+      RECT 0.000000 508.320000 1719.300000 509.220000 ;
+      RECT 0.000000 499.625000 1720.400000 508.320000 ;
+      RECT 1.100000 498.725000 1720.400000 499.625000 ;
+      RECT 0.000000 480.955000 1720.400000 498.725000 ;
+      RECT 0.000000 480.055000 1719.300000 480.955000 ;
+      RECT 0.000000 471.895000 1720.400000 480.055000 ;
+      RECT 1.100000 470.995000 1720.400000 471.895000 ;
+      RECT 0.000000 452.690000 1720.400000 470.995000 ;
+      RECT 0.000000 451.790000 1719.300000 452.690000 ;
+      RECT 0.000000 444.160000 1720.400000 451.790000 ;
+      RECT 1.100000 443.260000 1720.400000 444.160000 ;
+      RECT 0.000000 424.425000 1720.400000 443.260000 ;
+      RECT 0.000000 423.525000 1719.300000 424.425000 ;
+      RECT 0.000000 416.430000 1720.400000 423.525000 ;
+      RECT 1.100000 415.530000 1720.400000 416.430000 ;
+      RECT 0.000000 396.160000 1720.400000 415.530000 ;
+      RECT 0.000000 395.260000 1719.300000 396.160000 ;
+      RECT 0.000000 388.700000 1720.400000 395.260000 ;
+      RECT 1.100000 387.800000 1720.400000 388.700000 ;
+      RECT 0.000000 367.895000 1720.400000 387.800000 ;
+      RECT 0.000000 366.995000 1719.300000 367.895000 ;
+      RECT 0.000000 360.965000 1720.400000 366.995000 ;
+      RECT 1.100000 360.065000 1720.400000 360.965000 ;
+      RECT 0.000000 339.630000 1720.400000 360.065000 ;
+      RECT 0.000000 338.730000 1719.300000 339.630000 ;
+      RECT 0.000000 333.235000 1720.400000 338.730000 ;
+      RECT 1.100000 332.335000 1720.400000 333.235000 ;
+      RECT 0.000000 311.365000 1720.400000 332.335000 ;
+      RECT 0.000000 310.465000 1719.300000 311.365000 ;
+      RECT 0.000000 305.500000 1720.400000 310.465000 ;
+      RECT 1.100000 304.600000 1720.400000 305.500000 ;
+      RECT 0.000000 283.100000 1720.400000 304.600000 ;
+      RECT 0.000000 282.200000 1719.300000 283.100000 ;
+      RECT 0.000000 277.770000 1720.400000 282.200000 ;
+      RECT 1.100000 276.870000 1720.400000 277.770000 ;
+      RECT 0.000000 254.835000 1720.400000 276.870000 ;
+      RECT 0.000000 253.935000 1719.300000 254.835000 ;
+      RECT 0.000000 250.040000 1720.400000 253.935000 ;
+      RECT 1.100000 249.140000 1720.400000 250.040000 ;
+      RECT 0.000000 226.570000 1720.400000 249.140000 ;
+      RECT 0.000000 225.670000 1719.300000 226.570000 ;
+      RECT 0.000000 222.305000 1720.400000 225.670000 ;
+      RECT 1.100000 221.405000 1720.400000 222.305000 ;
+      RECT 0.000000 198.305000 1720.400000 221.405000 ;
+      RECT 0.000000 197.405000 1719.300000 198.305000 ;
+      RECT 0.000000 194.575000 1720.400000 197.405000 ;
+      RECT 1.100000 193.675000 1720.400000 194.575000 ;
+      RECT 0.000000 170.040000 1720.400000 193.675000 ;
+      RECT 0.000000 169.140000 1719.300000 170.040000 ;
+      RECT 0.000000 166.840000 1720.400000 169.140000 ;
+      RECT 1.100000 165.940000 1720.400000 166.840000 ;
+      RECT 0.000000 141.775000 1720.400000 165.940000 ;
+      RECT 0.000000 140.875000 1719.300000 141.775000 ;
+      RECT 0.000000 139.110000 1720.400000 140.875000 ;
+      RECT 1.100000 138.210000 1720.400000 139.110000 ;
+      RECT 0.000000 113.510000 1720.400000 138.210000 ;
+      RECT 0.000000 112.610000 1719.300000 113.510000 ;
+      RECT 0.000000 111.380000 1720.400000 112.610000 ;
+      RECT 1.100000 110.480000 1720.400000 111.380000 ;
+      RECT 0.000000 85.245000 1720.400000 110.480000 ;
+      RECT 0.000000 84.345000 1719.300000 85.245000 ;
+      RECT 0.000000 83.645000 1720.400000 84.345000 ;
+      RECT 1.100000 82.745000 1720.400000 83.645000 ;
+      RECT 0.000000 56.980000 1720.400000 82.745000 ;
+      RECT 0.000000 56.080000 1719.300000 56.980000 ;
+      RECT 0.000000 55.915000 1720.400000 56.080000 ;
+      RECT 1.100000 55.015000 1720.400000 55.915000 ;
+      RECT 0.000000 28.715000 1720.400000 55.015000 ;
+      RECT 0.000000 28.180000 1719.300000 28.715000 ;
+      RECT 1.100000 27.815000 1719.300000 28.180000 ;
+      RECT 1.100000 27.280000 1720.400000 27.815000 ;
+      RECT 0.000000 4.990000 1720.400000 27.280000 ;
+      RECT 1.100000 4.380000 1720.400000 4.990000 ;
+      RECT 1.100000 4.090000 1719.300000 4.380000 ;
+      RECT 0.000000 3.480000 1719.300000 4.090000 ;
+      RECT 0.000000 0.000000 1720.400000 3.480000 ;
+    LAYER met4 ;
+      RECT 0.000000 1468.020000 1720.400000 1469.820000 ;
+      RECT 4.360000 1464.020000 1716.040000 1468.020000 ;
+      RECT 1714.640000 5.630000 1716.040000 1464.020000 ;
+      RECT 8.360000 5.630000 1712.040000 1464.020000 ;
+      RECT 4.360000 5.630000 5.760000 1464.020000 ;
+      RECT 1718.640000 1.630000 1720.400000 1468.020000 ;
+      RECT 4.360000 1.630000 1716.040000 5.630000 ;
+      RECT 0.000000 1.630000 1.760000 1468.020000 ;
+      RECT 0.000000 0.000000 1720.400000 1.630000 ;
+  END
+END azadi_soc_top_caravel
+
+END LIBRARY
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index f5854c3..29f7591 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -4262,6 +4262,206 @@
       LAYER met5 ;
         RECT -10.030 -4.670 2929.650 -1.570 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 14.330 2934.450 17.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 194.330 2934.450 197.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 374.330 2934.450 377.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 554.330 2934.450 557.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 734.330 2934.450 737.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 914.330 2934.450 917.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1094.330 2934.450 1097.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1274.330 2934.450 1277.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1454.330 2934.450 1457.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1634.330 2934.450 1637.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1814.330 2934.450 1817.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1994.330 2934.450 1997.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2174.330 2934.450 2177.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2354.330 2934.450 2357.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2534.330 2934.450 2537.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2714.330 2934.450 2717.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2894.330 2934.450 2897.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3074.330 2934.450 3077.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3254.330 2934.450 3257.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3434.330 2934.450 3437.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -10.030 3521.250 2929.650 3524.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 368.970 -9.470 372.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 548.970 -9.470 552.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 728.970 -9.470 732.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 908.970 -9.470 912.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1088.970 -9.470 1092.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1268.970 -9.470 1272.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1448.970 -9.470 1452.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1628.970 -9.470 1632.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1808.970 -9.470 1812.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1988.970 -9.470 1992.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -10.030 -4.670 -6.930 3524.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2926.550 -4.670 2929.650 3524.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 8.970 -9.470 12.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 188.970 -9.470 192.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 368.970 1839.820 372.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 548.970 1839.820 552.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 728.970 1839.820 732.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 908.970 1839.820 912.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1088.970 1839.820 1092.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1268.970 1839.820 1272.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1448.970 1839.820 1452.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1628.970 1839.820 1632.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1808.970 1839.820 1812.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1988.970 1839.820 1992.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2168.970 -9.470 2172.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2348.970 -9.470 2352.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2528.970 -9.470 2532.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2708.970 -9.470 2712.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2888.970 -9.470 2892.070 3529.150 ;
+    END
   END vccd1
   PIN vccd2
     DIRECTION INPUT ;
@@ -4270,6 +4470,206 @@
       LAYER met5 ;
         RECT -19.630 -14.270 2939.250 -11.170 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 32.930 2944.050 36.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 212.930 2944.050 216.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 392.930 2944.050 396.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 572.930 2944.050 576.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 752.930 2944.050 756.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 932.930 2944.050 936.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1112.930 2944.050 1116.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1292.930 2944.050 1296.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1472.930 2944.050 1476.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1652.930 2944.050 1656.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1832.930 2944.050 1836.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2012.930 2944.050 2016.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2192.930 2944.050 2196.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2372.930 2944.050 2376.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2552.930 2944.050 2556.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2732.930 2944.050 2736.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2912.930 2944.050 2916.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3092.930 2944.050 3096.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3272.930 2944.050 3276.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3452.930 2944.050 3456.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -19.630 3530.850 2939.250 3533.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 387.570 -19.070 390.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 567.570 -19.070 570.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 747.570 -19.070 750.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 927.570 -19.070 930.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1107.570 -19.070 1110.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1287.570 -19.070 1290.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1467.570 -19.070 1470.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1647.570 -19.070 1650.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1827.570 -19.070 1830.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2007.570 -19.070 2010.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -19.630 -14.270 -16.530 3533.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2936.150 -14.270 2939.250 3533.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 27.570 -19.070 30.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 207.570 -19.070 210.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 387.570 1839.820 390.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 567.570 1839.820 570.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 747.570 1839.820 750.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 927.570 1839.820 930.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1107.570 1839.820 1110.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1287.570 1839.820 1290.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1467.570 1839.820 1470.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1647.570 1839.820 1650.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1827.570 1839.820 1830.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2007.570 1839.820 2010.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2187.570 -19.070 2190.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2367.570 -19.070 2370.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2547.570 -19.070 2550.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2727.570 -19.070 2730.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2907.570 -19.070 2910.670 3538.750 ;
+    END
   END vccd2
   PIN vdda1
     DIRECTION INPUT ;
@@ -4278,6 +4678,202 @@
       LAYER met5 ;
         RECT -29.230 -23.870 2948.850 -20.770 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 51.530 2953.650 54.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 231.530 2953.650 234.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 411.530 2953.650 414.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 591.530 2953.650 594.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 771.530 2953.650 774.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 951.530 2953.650 954.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1131.530 2953.650 1134.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1311.530 2953.650 1314.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1491.530 2953.650 1494.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1671.530 2953.650 1674.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1851.530 2953.650 1854.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2031.530 2953.650 2034.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2211.530 2953.650 2214.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2391.530 2953.650 2394.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2571.530 2953.650 2574.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2751.530 2953.650 2754.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2931.530 2953.650 2934.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3111.530 2953.650 3114.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3291.530 2953.650 3294.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3471.530 2953.650 3474.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -29.230 3540.450 2948.850 3543.550 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 406.170 -28.670 409.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 586.170 -28.670 589.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 766.170 -28.670 769.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 946.170 -28.670 949.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1126.170 -28.670 1129.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1306.170 -28.670 1309.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1486.170 -28.670 1489.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1666.170 -28.670 1669.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1846.170 -28.670 1849.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2026.170 -28.670 2029.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -29.230 -23.870 -26.130 3543.550 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2945.750 -23.870 2948.850 3543.550 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 46.170 -28.670 49.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 226.170 -28.670 229.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 406.170 1839.820 409.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 586.170 1839.820 589.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 766.170 1839.820 769.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 946.170 1839.820 949.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1126.170 1839.820 1129.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1306.170 1839.820 1309.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1486.170 1839.820 1489.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1666.170 1839.820 1669.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1846.170 1839.820 1849.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2026.170 1839.820 2029.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2206.170 -28.670 2209.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2386.170 -28.670 2389.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2566.170 -28.670 2569.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2746.170 -28.670 2749.270 3548.350 ;
+    END
   END vdda1
   PIN vdda2
     DIRECTION INPUT ;
@@ -4286,6 +4882,198 @@
       LAYER met5 ;
         RECT -38.830 -33.470 2958.450 -30.370 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 70.130 2963.250 73.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 250.130 2963.250 253.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 430.130 2963.250 433.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 610.130 2963.250 613.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 790.130 2963.250 793.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 970.130 2963.250 973.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1150.130 2963.250 1153.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1330.130 2963.250 1333.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1510.130 2963.250 1513.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1690.130 2963.250 1693.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1870.130 2963.250 1873.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2050.130 2963.250 2053.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2230.130 2963.250 2233.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2410.130 2963.250 2413.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2590.130 2963.250 2593.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2770.130 2963.250 2773.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2950.130 2963.250 2953.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3130.130 2963.250 3133.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3310.130 2963.250 3313.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3490.130 2963.250 3493.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -38.830 3550.050 2958.450 3553.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 424.770 -38.270 427.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 604.770 -38.270 607.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 784.770 -38.270 787.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 964.770 -38.270 967.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1144.770 -38.270 1147.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1324.770 -38.270 1327.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1504.770 -38.270 1507.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1684.770 -38.270 1687.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1864.770 -38.270 1867.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -38.830 -33.470 -35.730 3553.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2955.350 -33.470 2958.450 3553.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 64.770 -38.270 67.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 244.770 -38.270 247.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 424.770 1839.820 427.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 604.770 1839.820 607.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 784.770 1839.820 787.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 964.770 1839.820 967.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1144.770 1839.820 1147.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1324.770 1839.820 1327.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1504.770 1839.820 1507.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1684.770 1839.820 1687.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1864.770 1839.820 1867.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2044.770 -38.270 2047.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2224.770 -38.270 2227.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2404.770 -38.270 2407.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2584.770 -38.270 2587.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2764.770 -38.270 2767.870 3557.950 ;
+    END
   END vdda2
   PIN vssa1
     DIRECTION INPUT ;
@@ -4294,6 +5082,198 @@
       LAYER met5 ;
         RECT -34.030 -28.670 2953.650 -25.570 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 141.530 2953.650 144.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 321.530 2953.650 324.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 501.530 2953.650 504.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 681.530 2953.650 684.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 861.530 2953.650 864.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1041.530 2953.650 1044.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1221.530 2953.650 1224.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1401.530 2953.650 1404.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1581.530 2953.650 1584.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1761.530 2953.650 1764.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 1941.530 2953.650 1944.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2121.530 2953.650 2124.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2301.530 2953.650 2304.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2481.530 2953.650 2484.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2661.530 2953.650 2664.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 2841.530 2953.650 2844.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3021.530 2953.650 3024.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3201.530 2953.650 3204.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3381.530 2953.650 3384.630 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -34.030 3545.250 2953.650 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 316.170 -28.670 319.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 496.170 -28.670 499.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 676.170 -28.670 679.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 856.170 -28.670 859.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1036.170 -28.670 1039.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1216.170 -28.670 1219.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1396.170 -28.670 1399.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1576.170 -28.670 1579.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1756.170 -28.670 1759.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1936.170 -28.670 1939.270 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -34.030 -28.670 -30.930 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 136.170 -28.670 139.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 316.170 1839.820 319.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 496.170 1839.820 499.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 676.170 1839.820 679.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 856.170 1839.820 859.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1036.170 1839.820 1039.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1216.170 1839.820 1219.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1396.170 1839.820 1399.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1576.170 1839.820 1579.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1756.170 1839.820 1759.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1936.170 1839.820 1939.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2116.170 -28.670 2119.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2296.170 -28.670 2299.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2476.170 -28.670 2479.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2656.170 -28.670 2659.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2836.170 -28.670 2839.270 3548.350 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2950.550 -28.670 2953.650 3548.350 ;
+    END
   END vssa1
   PIN vssa2
     DIRECTION INPUT ;
@@ -4302,6 +5282,198 @@
       LAYER met5 ;
         RECT -43.630 -38.270 2963.250 -35.170 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 160.130 2963.250 163.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 340.130 2963.250 343.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 520.130 2963.250 523.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 700.130 2963.250 703.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 880.130 2963.250 883.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1060.130 2963.250 1063.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1240.130 2963.250 1243.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1420.130 2963.250 1423.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1600.130 2963.250 1603.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1780.130 2963.250 1783.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 1960.130 2963.250 1963.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2140.130 2963.250 2143.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2320.130 2963.250 2323.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2500.130 2963.250 2503.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2680.130 2963.250 2683.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 2860.130 2963.250 2863.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3040.130 2963.250 3043.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3220.130 2963.250 3223.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3400.130 2963.250 3403.230 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -43.630 3554.850 2963.250 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 334.770 -38.270 337.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 514.770 -38.270 517.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 694.770 -38.270 697.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 874.770 -38.270 877.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1054.770 -38.270 1057.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1234.770 -38.270 1237.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1414.770 -38.270 1417.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1594.770 -38.270 1597.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1774.770 -38.270 1777.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1954.770 -38.270 1957.870 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -43.630 -38.270 -40.530 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 154.770 -38.270 157.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 334.770 1839.820 337.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 514.770 1839.820 517.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 694.770 1839.820 697.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 874.770 1839.820 877.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1054.770 1839.820 1057.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1234.770 1839.820 1237.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1414.770 1839.820 1417.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1594.770 1839.820 1597.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1774.770 1839.820 1777.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1954.770 1839.820 1957.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2134.770 -38.270 2137.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2314.770 -38.270 2317.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2494.770 -38.270 2497.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2674.770 -38.270 2677.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2854.770 -38.270 2857.870 3557.950 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2960.150 -38.270 2963.250 3557.950 ;
+    END
   END vssa2
   PIN vssd1
     DIRECTION INPUT ;
@@ -4310,6 +5482,194 @@
       LAYER met5 ;
         RECT -14.830 -9.470 2934.450 -6.370 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 104.330 2934.450 107.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 284.330 2934.450 287.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 464.330 2934.450 467.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 644.330 2934.450 647.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 824.330 2934.450 827.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1004.330 2934.450 1007.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1184.330 2934.450 1187.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1364.330 2934.450 1367.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1544.330 2934.450 1547.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1724.330 2934.450 1727.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 1904.330 2934.450 1907.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2084.330 2934.450 2087.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2264.330 2934.450 2267.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2444.330 2934.450 2447.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2624.330 2934.450 2627.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2804.330 2934.450 2807.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 2984.330 2934.450 2987.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3164.330 2934.450 3167.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3344.330 2934.450 3347.430 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -14.830 3526.050 2934.450 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 458.970 -9.470 462.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 638.970 -9.470 642.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 818.970 -9.470 822.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 998.970 -9.470 1002.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1178.970 -9.470 1182.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1358.970 -9.470 1362.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1538.970 -9.470 1542.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1718.970 -9.470 1722.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1898.970 -9.470 1902.070 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -14.830 -9.470 -11.730 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 98.970 -9.470 102.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 278.970 -9.470 282.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 458.970 1839.820 462.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 638.970 1839.820 642.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 818.970 1839.820 822.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 998.970 1839.820 1002.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1178.970 1839.820 1182.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1358.970 1839.820 1362.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1538.970 1839.820 1542.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1718.970 1839.820 1722.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1898.970 1839.820 1902.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2078.970 -9.470 2082.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2258.970 -9.470 2262.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2438.970 -9.470 2442.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2618.970 -9.470 2622.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2798.970 -9.470 2802.070 3529.150 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2931.350 -9.470 2934.450 3529.150 ;
+    END
   END vssd1
   PIN vssd2
     DIRECTION INPUT ;
@@ -4318,6 +5678,198 @@
       LAYER met5 ;
         RECT -24.430 -19.070 2944.050 -15.970 ;
     END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 122.930 2944.050 126.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 302.930 2944.050 306.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 482.930 2944.050 486.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 662.930 2944.050 666.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 842.930 2944.050 846.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1022.930 2944.050 1026.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1202.930 2944.050 1206.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1382.930 2944.050 1386.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1562.930 2944.050 1566.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1742.930 2944.050 1746.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 1922.930 2944.050 1926.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2102.930 2944.050 2106.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2282.930 2944.050 2286.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2462.930 2944.050 2466.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2642.930 2944.050 2646.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 2822.930 2944.050 2826.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3002.930 2944.050 3006.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3182.930 2944.050 3186.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3362.930 2944.050 3366.030 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -24.430 3535.650 2944.050 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 297.570 -19.070 300.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 477.570 -19.070 480.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 657.570 -19.070 660.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 837.570 -19.070 840.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1017.570 -19.070 1020.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1197.570 -19.070 1200.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1377.570 -19.070 1380.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1557.570 -19.070 1560.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1737.570 -19.070 1740.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1917.570 -19.070 1920.670 350.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT -24.430 -19.070 -21.330 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 117.570 -19.070 120.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 297.570 1839.820 300.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 477.570 1839.820 480.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 657.570 1839.820 660.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 837.570 1839.820 840.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1017.570 1839.820 1020.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1197.570 1839.820 1200.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1377.570 1839.820 1380.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1557.570 1839.820 1560.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1737.570 1839.820 1740.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1917.570 1839.820 1920.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2097.570 -19.070 2100.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2277.570 -19.070 2280.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2457.570 -19.070 2460.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2637.570 -19.070 2640.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2817.570 -19.070 2820.670 3538.750 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2940.950 -19.070 2944.050 3538.750 ;
+    END
   END vssd2
   PIN wb_clk_i
     DIRECTION INPUT ;
@@ -5169,9 +6721,9 @@
   END wbs_we_i
   OBS
       LAYER li1 ;
-        RECT 162.525 14.365 2912.115 2302.055 ;
+        RECT 84.325 14.365 2020.400 1829.820 ;
       LAYER met1 ;
-        RECT 2.830 13.980 2912.190 3505.020 ;
+        RECT 2.830 13.980 2904.830 3505.020 ;
       LAYER met2 ;
         RECT 2.860 3517.320 40.150 3518.050 ;
         RECT 41.270 3517.320 121.110 3518.050 ;
@@ -5705,818 +7257,221 @@
         RECT 2905.690 2.310 2910.550 2.680 ;
         RECT 2911.670 2.310 2916.530 2.680 ;
       LAYER met3 ;
-        RECT 2.800 3485.700 2917.200 3486.185 ;
+        RECT 2.800 3485.700 2917.200 3486.865 ;
         RECT 2.400 3485.020 2917.200 3485.700 ;
-        RECT 2.400 3422.420 2917.930 3485.020 ;
-        RECT 2.800 3420.420 2917.930 3422.420 ;
-        RECT 2.400 3420.380 2917.930 3420.420 ;
+        RECT 2.400 3422.420 2917.600 3485.020 ;
+        RECT 2.800 3420.420 2917.600 3422.420 ;
+        RECT 2.400 3420.380 2917.600 3420.420 ;
         RECT 2.400 3418.380 2917.200 3420.380 ;
-        RECT 2.400 3357.140 2917.930 3418.380 ;
-        RECT 2.800 3355.140 2917.930 3357.140 ;
-        RECT 2.400 3354.420 2917.930 3355.140 ;
+        RECT 2.400 3357.140 2917.600 3418.380 ;
+        RECT 2.800 3355.140 2917.600 3357.140 ;
+        RECT 2.400 3354.420 2917.600 3355.140 ;
         RECT 2.400 3352.420 2917.200 3354.420 ;
-        RECT 2.400 3291.860 2917.930 3352.420 ;
-        RECT 2.800 3289.860 2917.930 3291.860 ;
-        RECT 2.400 3287.780 2917.930 3289.860 ;
+        RECT 2.400 3291.860 2917.600 3352.420 ;
+        RECT 2.800 3289.860 2917.600 3291.860 ;
+        RECT 2.400 3287.780 2917.600 3289.860 ;
         RECT 2.400 3285.780 2917.200 3287.780 ;
-        RECT 2.400 3226.580 2917.930 3285.780 ;
-        RECT 2.800 3224.580 2917.930 3226.580 ;
-        RECT 2.400 3221.140 2917.930 3224.580 ;
+        RECT 2.400 3226.580 2917.600 3285.780 ;
+        RECT 2.800 3224.580 2917.600 3226.580 ;
+        RECT 2.400 3221.140 2917.600 3224.580 ;
         RECT 2.400 3219.140 2917.200 3221.140 ;
-        RECT 2.400 3161.300 2917.930 3219.140 ;
-        RECT 2.800 3159.300 2917.930 3161.300 ;
-        RECT 2.400 3155.180 2917.930 3159.300 ;
+        RECT 2.400 3161.300 2917.600 3219.140 ;
+        RECT 2.800 3159.300 2917.600 3161.300 ;
+        RECT 2.400 3155.180 2917.600 3159.300 ;
         RECT 2.400 3153.180 2917.200 3155.180 ;
-        RECT 2.400 3096.700 2917.930 3153.180 ;
-        RECT 2.800 3094.700 2917.930 3096.700 ;
-        RECT 2.400 3088.540 2917.930 3094.700 ;
+        RECT 2.400 3096.700 2917.600 3153.180 ;
+        RECT 2.800 3094.700 2917.600 3096.700 ;
+        RECT 2.400 3088.540 2917.600 3094.700 ;
         RECT 2.400 3086.540 2917.200 3088.540 ;
-        RECT 2.400 3031.420 2917.930 3086.540 ;
-        RECT 2.800 3029.420 2917.930 3031.420 ;
-        RECT 2.400 3021.900 2917.930 3029.420 ;
+        RECT 2.400 3031.420 2917.600 3086.540 ;
+        RECT 2.800 3029.420 2917.600 3031.420 ;
+        RECT 2.400 3021.900 2917.600 3029.420 ;
         RECT 2.400 3019.900 2917.200 3021.900 ;
-        RECT 2.400 2966.140 2917.930 3019.900 ;
-        RECT 2.800 2964.140 2917.930 2966.140 ;
-        RECT 2.400 2955.940 2917.930 2964.140 ;
+        RECT 2.400 2966.140 2917.600 3019.900 ;
+        RECT 2.800 2964.140 2917.600 2966.140 ;
+        RECT 2.400 2955.940 2917.600 2964.140 ;
         RECT 2.400 2953.940 2917.200 2955.940 ;
-        RECT 2.400 2900.860 2917.930 2953.940 ;
-        RECT 2.800 2898.860 2917.930 2900.860 ;
-        RECT 2.400 2889.300 2917.930 2898.860 ;
+        RECT 2.400 2900.860 2917.600 2953.940 ;
+        RECT 2.800 2898.860 2917.600 2900.860 ;
+        RECT 2.400 2889.300 2917.600 2898.860 ;
         RECT 2.400 2887.300 2917.200 2889.300 ;
-        RECT 2.400 2835.580 2917.930 2887.300 ;
-        RECT 2.800 2833.580 2917.930 2835.580 ;
-        RECT 2.400 2822.660 2917.930 2833.580 ;
+        RECT 2.400 2835.580 2917.600 2887.300 ;
+        RECT 2.800 2833.580 2917.600 2835.580 ;
+        RECT 2.400 2822.660 2917.600 2833.580 ;
         RECT 2.400 2820.660 2917.200 2822.660 ;
-        RECT 2.400 2770.300 2917.930 2820.660 ;
-        RECT 2.800 2768.300 2917.930 2770.300 ;
-        RECT 2.400 2756.700 2917.930 2768.300 ;
+        RECT 2.400 2770.300 2917.600 2820.660 ;
+        RECT 2.800 2768.300 2917.600 2770.300 ;
+        RECT 2.400 2756.700 2917.600 2768.300 ;
         RECT 2.400 2754.700 2917.200 2756.700 ;
-        RECT 2.400 2705.020 2917.930 2754.700 ;
-        RECT 2.800 2703.020 2917.930 2705.020 ;
-        RECT 2.400 2690.060 2917.930 2703.020 ;
+        RECT 2.400 2705.020 2917.600 2754.700 ;
+        RECT 2.800 2703.020 2917.600 2705.020 ;
+        RECT 2.400 2690.060 2917.600 2703.020 ;
         RECT 2.400 2688.060 2917.200 2690.060 ;
-        RECT 2.400 2640.420 2917.930 2688.060 ;
-        RECT 2.800 2638.420 2917.930 2640.420 ;
-        RECT 2.400 2623.420 2917.930 2638.420 ;
+        RECT 2.400 2640.420 2917.600 2688.060 ;
+        RECT 2.800 2638.420 2917.600 2640.420 ;
+        RECT 2.400 2623.420 2917.600 2638.420 ;
         RECT 2.400 2621.420 2917.200 2623.420 ;
-        RECT 2.400 2575.140 2917.930 2621.420 ;
-        RECT 2.800 2573.140 2917.930 2575.140 ;
-        RECT 2.400 2557.460 2917.930 2573.140 ;
+        RECT 2.400 2575.140 2917.600 2621.420 ;
+        RECT 2.800 2573.140 2917.600 2575.140 ;
+        RECT 2.400 2557.460 2917.600 2573.140 ;
         RECT 2.400 2555.460 2917.200 2557.460 ;
-        RECT 2.400 2509.860 2917.930 2555.460 ;
-        RECT 2.800 2507.860 2917.930 2509.860 ;
-        RECT 2.400 2490.820 2917.930 2507.860 ;
+        RECT 2.400 2509.860 2917.600 2555.460 ;
+        RECT 2.800 2507.860 2917.600 2509.860 ;
+        RECT 2.400 2490.820 2917.600 2507.860 ;
         RECT 2.400 2488.820 2917.200 2490.820 ;
-        RECT 2.400 2444.580 2917.930 2488.820 ;
-        RECT 2.800 2442.580 2917.930 2444.580 ;
-        RECT 2.400 2424.180 2917.930 2442.580 ;
+        RECT 2.400 2444.580 2917.600 2488.820 ;
+        RECT 2.800 2442.580 2917.600 2444.580 ;
+        RECT 2.400 2424.180 2917.600 2442.580 ;
         RECT 2.400 2422.180 2917.200 2424.180 ;
-        RECT 2.400 2379.300 2917.930 2422.180 ;
-        RECT 2.800 2377.300 2917.930 2379.300 ;
-        RECT 2.400 2358.220 2917.930 2377.300 ;
+        RECT 2.400 2379.300 2917.600 2422.180 ;
+        RECT 2.800 2377.300 2917.600 2379.300 ;
+        RECT 2.400 2358.220 2917.600 2377.300 ;
         RECT 2.400 2356.220 2917.200 2358.220 ;
-        RECT 2.400 2314.020 2917.930 2356.220 ;
-        RECT 2.800 2312.020 2917.930 2314.020 ;
-        RECT 2.400 2291.580 2917.930 2312.020 ;
+        RECT 2.400 2314.020 2917.600 2356.220 ;
+        RECT 2.800 2312.020 2917.600 2314.020 ;
+        RECT 2.400 2291.580 2917.600 2312.020 ;
         RECT 2.400 2289.580 2917.200 2291.580 ;
-        RECT 2.400 2248.740 2917.930 2289.580 ;
-        RECT 2.800 2246.740 2917.930 2248.740 ;
-        RECT 2.400 2224.940 2917.930 2246.740 ;
+        RECT 2.400 2248.740 2917.600 2289.580 ;
+        RECT 2.800 2246.740 2917.600 2248.740 ;
+        RECT 2.400 2224.940 2917.600 2246.740 ;
         RECT 2.400 2222.940 2917.200 2224.940 ;
-        RECT 2.400 2184.140 2917.930 2222.940 ;
-        RECT 2.800 2182.140 2917.930 2184.140 ;
-        RECT 2.400 2158.980 2917.930 2182.140 ;
+        RECT 2.400 2184.140 2917.600 2222.940 ;
+        RECT 2.800 2182.140 2917.600 2184.140 ;
+        RECT 2.400 2158.980 2917.600 2182.140 ;
         RECT 2.400 2156.980 2917.200 2158.980 ;
-        RECT 2.400 2118.860 2917.930 2156.980 ;
-        RECT 2.800 2116.860 2917.930 2118.860 ;
-        RECT 2.400 2092.340 2917.930 2116.860 ;
+        RECT 2.400 2118.860 2917.600 2156.980 ;
+        RECT 2.800 2116.860 2917.600 2118.860 ;
+        RECT 2.400 2092.340 2917.600 2116.860 ;
         RECT 2.400 2090.340 2917.200 2092.340 ;
-        RECT 2.400 2053.580 2917.930 2090.340 ;
-        RECT 2.800 2051.580 2917.930 2053.580 ;
-        RECT 2.400 2025.700 2917.930 2051.580 ;
+        RECT 2.400 2053.580 2917.600 2090.340 ;
+        RECT 2.800 2051.580 2917.600 2053.580 ;
+        RECT 2.400 2025.700 2917.600 2051.580 ;
         RECT 2.400 2023.700 2917.200 2025.700 ;
-        RECT 2.400 1988.300 2917.930 2023.700 ;
-        RECT 2.800 1986.300 2917.930 1988.300 ;
-        RECT 2.400 1959.740 2917.930 1986.300 ;
+        RECT 2.400 1988.300 2917.600 2023.700 ;
+        RECT 2.800 1986.300 2917.600 1988.300 ;
+        RECT 2.400 1959.740 2917.600 1986.300 ;
         RECT 2.400 1957.740 2917.200 1959.740 ;
-        RECT 2.400 1923.020 2917.930 1957.740 ;
-        RECT 2.800 1921.020 2917.930 1923.020 ;
-        RECT 2.400 1893.100 2917.930 1921.020 ;
+        RECT 2.400 1923.020 2917.600 1957.740 ;
+        RECT 2.800 1921.020 2917.600 1923.020 ;
+        RECT 2.400 1893.100 2917.600 1921.020 ;
         RECT 2.400 1891.100 2917.200 1893.100 ;
-        RECT 2.400 1857.740 2917.930 1891.100 ;
-        RECT 2.800 1855.740 2917.930 1857.740 ;
-        RECT 2.400 1826.460 2917.930 1855.740 ;
+        RECT 2.400 1857.740 2917.600 1891.100 ;
+        RECT 2.800 1855.740 2917.600 1857.740 ;
+        RECT 2.400 1826.460 2917.600 1855.740 ;
         RECT 2.400 1824.460 2917.200 1826.460 ;
-        RECT 2.400 1793.140 2917.930 1824.460 ;
-        RECT 2.800 1791.140 2917.930 1793.140 ;
-        RECT 2.400 1760.500 2917.930 1791.140 ;
+        RECT 2.400 1793.140 2917.600 1824.460 ;
+        RECT 2.800 1791.140 2917.600 1793.140 ;
+        RECT 2.400 1760.500 2917.600 1791.140 ;
         RECT 2.400 1758.500 2917.200 1760.500 ;
-        RECT 2.400 1727.860 2917.930 1758.500 ;
-        RECT 2.800 1725.860 2917.930 1727.860 ;
-        RECT 2.400 1693.860 2917.930 1725.860 ;
+        RECT 2.400 1727.860 2917.600 1758.500 ;
+        RECT 2.800 1725.860 2917.600 1727.860 ;
+        RECT 2.400 1693.860 2917.600 1725.860 ;
         RECT 2.400 1691.860 2917.200 1693.860 ;
-        RECT 2.400 1662.580 2917.930 1691.860 ;
-        RECT 2.800 1660.580 2917.930 1662.580 ;
-        RECT 2.400 1627.220 2917.930 1660.580 ;
+        RECT 2.400 1662.580 2917.600 1691.860 ;
+        RECT 2.800 1660.580 2917.600 1662.580 ;
+        RECT 2.400 1627.220 2917.600 1660.580 ;
         RECT 2.400 1625.220 2917.200 1627.220 ;
-        RECT 2.400 1597.300 2917.930 1625.220 ;
-        RECT 2.800 1595.300 2917.930 1597.300 ;
-        RECT 2.400 1561.260 2917.930 1595.300 ;
+        RECT 2.400 1597.300 2917.600 1625.220 ;
+        RECT 2.800 1595.300 2917.600 1597.300 ;
+        RECT 2.400 1561.260 2917.600 1595.300 ;
         RECT 2.400 1559.260 2917.200 1561.260 ;
-        RECT 2.400 1532.020 2917.930 1559.260 ;
-        RECT 2.800 1530.020 2917.930 1532.020 ;
-        RECT 2.400 1494.620 2917.930 1530.020 ;
+        RECT 2.400 1532.020 2917.600 1559.260 ;
+        RECT 2.800 1530.020 2917.600 1532.020 ;
+        RECT 2.400 1494.620 2917.600 1530.020 ;
         RECT 2.400 1492.620 2917.200 1494.620 ;
-        RECT 2.400 1466.740 2917.930 1492.620 ;
-        RECT 2.800 1464.740 2917.930 1466.740 ;
-        RECT 2.400 1427.980 2917.930 1464.740 ;
+        RECT 2.400 1466.740 2917.600 1492.620 ;
+        RECT 2.800 1464.740 2917.600 1466.740 ;
+        RECT 2.400 1427.980 2917.600 1464.740 ;
         RECT 2.400 1425.980 2917.200 1427.980 ;
-        RECT 2.400 1401.460 2917.930 1425.980 ;
-        RECT 2.800 1399.460 2917.930 1401.460 ;
-        RECT 2.400 1362.020 2917.930 1399.460 ;
+        RECT 2.400 1401.460 2917.600 1425.980 ;
+        RECT 2.800 1399.460 2917.600 1401.460 ;
+        RECT 2.400 1362.020 2917.600 1399.460 ;
         RECT 2.400 1360.020 2917.200 1362.020 ;
-        RECT 2.400 1336.860 2917.930 1360.020 ;
-        RECT 2.800 1334.860 2917.930 1336.860 ;
-        RECT 2.400 1295.380 2917.930 1334.860 ;
+        RECT 2.400 1336.860 2917.600 1360.020 ;
+        RECT 2.800 1334.860 2917.600 1336.860 ;
+        RECT 2.400 1295.380 2917.600 1334.860 ;
         RECT 2.400 1293.380 2917.200 1295.380 ;
-        RECT 2.400 1271.580 2917.930 1293.380 ;
-        RECT 2.800 1269.580 2917.930 1271.580 ;
-        RECT 2.400 1228.740 2917.930 1269.580 ;
+        RECT 2.400 1271.580 2917.600 1293.380 ;
+        RECT 2.800 1269.580 2917.600 1271.580 ;
+        RECT 2.400 1228.740 2917.600 1269.580 ;
         RECT 2.400 1226.740 2917.200 1228.740 ;
-        RECT 2.400 1206.300 2917.930 1226.740 ;
-        RECT 2.800 1204.300 2917.930 1206.300 ;
-        RECT 2.400 1162.780 2917.930 1204.300 ;
+        RECT 2.400 1206.300 2917.600 1226.740 ;
+        RECT 2.800 1204.300 2917.600 1206.300 ;
+        RECT 2.400 1162.780 2917.600 1204.300 ;
         RECT 2.400 1160.780 2917.200 1162.780 ;
-        RECT 2.400 1141.020 2917.930 1160.780 ;
-        RECT 2.800 1139.020 2917.930 1141.020 ;
-        RECT 2.400 1096.140 2917.930 1139.020 ;
+        RECT 2.400 1141.020 2917.600 1160.780 ;
+        RECT 2.800 1139.020 2917.600 1141.020 ;
+        RECT 2.400 1096.140 2917.600 1139.020 ;
         RECT 2.400 1094.140 2917.200 1096.140 ;
-        RECT 2.400 1075.740 2917.930 1094.140 ;
-        RECT 2.800 1073.740 2917.930 1075.740 ;
-        RECT 2.400 1029.500 2917.930 1073.740 ;
+        RECT 2.400 1075.740 2917.600 1094.140 ;
+        RECT 2.800 1073.740 2917.600 1075.740 ;
+        RECT 2.400 1029.500 2917.600 1073.740 ;
         RECT 2.400 1027.500 2917.200 1029.500 ;
-        RECT 2.400 1010.460 2917.930 1027.500 ;
-        RECT 2.800 1008.460 2917.930 1010.460 ;
-        RECT 2.400 963.540 2917.930 1008.460 ;
+        RECT 2.400 1010.460 2917.600 1027.500 ;
+        RECT 2.800 1008.460 2917.600 1010.460 ;
+        RECT 2.400 963.540 2917.600 1008.460 ;
         RECT 2.400 961.540 2917.200 963.540 ;
-        RECT 2.400 945.180 2917.930 961.540 ;
-        RECT 2.800 943.180 2917.930 945.180 ;
-        RECT 2.400 896.900 2917.930 943.180 ;
+        RECT 2.400 945.180 2917.600 961.540 ;
+        RECT 2.800 943.180 2917.600 945.180 ;
+        RECT 2.400 896.900 2917.600 943.180 ;
         RECT 2.400 894.900 2917.200 896.900 ;
-        RECT 2.400 880.580 2917.930 894.900 ;
-        RECT 2.800 878.580 2917.930 880.580 ;
-        RECT 2.400 830.260 2917.930 878.580 ;
+        RECT 2.400 880.580 2917.600 894.900 ;
+        RECT 2.800 878.580 2917.600 880.580 ;
+        RECT 2.400 830.260 2917.600 878.580 ;
         RECT 2.400 828.260 2917.200 830.260 ;
-        RECT 2.400 815.300 2917.930 828.260 ;
-        RECT 2.800 813.300 2917.930 815.300 ;
-        RECT 2.400 764.300 2917.930 813.300 ;
+        RECT 2.400 815.300 2917.600 828.260 ;
+        RECT 2.800 813.300 2917.600 815.300 ;
+        RECT 2.400 764.300 2917.600 813.300 ;
         RECT 2.400 762.300 2917.200 764.300 ;
-        RECT 2.400 750.020 2917.930 762.300 ;
-        RECT 2.800 748.020 2917.930 750.020 ;
-        RECT 2.400 697.660 2917.930 748.020 ;
+        RECT 2.400 750.020 2917.600 762.300 ;
+        RECT 2.800 748.020 2917.600 750.020 ;
+        RECT 2.400 697.660 2917.600 748.020 ;
         RECT 2.400 695.660 2917.200 697.660 ;
-        RECT 2.400 684.740 2917.930 695.660 ;
-        RECT 2.800 682.740 2917.930 684.740 ;
-        RECT 2.400 631.020 2917.930 682.740 ;
+        RECT 2.400 684.740 2917.600 695.660 ;
+        RECT 2.800 682.740 2917.600 684.740 ;
+        RECT 2.400 631.020 2917.600 682.740 ;
         RECT 2.400 629.020 2917.200 631.020 ;
-        RECT 2.400 619.460 2917.930 629.020 ;
-        RECT 2.800 617.460 2917.930 619.460 ;
-        RECT 2.400 565.060 2917.930 617.460 ;
+        RECT 2.400 619.460 2917.600 629.020 ;
+        RECT 2.800 617.460 2917.600 619.460 ;
+        RECT 2.400 565.060 2917.600 617.460 ;
         RECT 2.400 563.060 2917.200 565.060 ;
-        RECT 2.400 554.180 2917.930 563.060 ;
-        RECT 2.800 552.180 2917.930 554.180 ;
-        RECT 2.400 498.420 2917.930 552.180 ;
+        RECT 2.400 554.180 2917.600 563.060 ;
+        RECT 2.800 552.180 2917.600 554.180 ;
+        RECT 2.400 498.420 2917.600 552.180 ;
         RECT 2.400 496.420 2917.200 498.420 ;
-        RECT 2.400 488.900 2917.930 496.420 ;
-        RECT 2.800 486.900 2917.930 488.900 ;
-        RECT 2.400 431.780 2917.930 486.900 ;
+        RECT 2.400 488.900 2917.600 496.420 ;
+        RECT 2.800 486.900 2917.600 488.900 ;
+        RECT 2.400 431.780 2917.600 486.900 ;
         RECT 2.400 429.780 2917.200 431.780 ;
-        RECT 2.400 424.300 2917.930 429.780 ;
-        RECT 2.800 422.300 2917.930 424.300 ;
-        RECT 2.400 365.820 2917.930 422.300 ;
+        RECT 2.400 424.300 2917.600 429.780 ;
+        RECT 2.800 422.300 2917.600 424.300 ;
+        RECT 2.400 365.820 2917.600 422.300 ;
         RECT 2.400 363.820 2917.200 365.820 ;
-        RECT 2.400 359.020 2917.930 363.820 ;
-        RECT 2.800 357.020 2917.930 359.020 ;
-        RECT 2.400 299.180 2917.930 357.020 ;
+        RECT 2.400 359.020 2917.600 363.820 ;
+        RECT 2.800 357.020 2917.600 359.020 ;
+        RECT 2.400 299.180 2917.600 357.020 ;
         RECT 2.400 297.180 2917.200 299.180 ;
-        RECT 2.400 293.740 2917.930 297.180 ;
-        RECT 2.800 291.740 2917.930 293.740 ;
-        RECT 2.400 232.540 2917.930 291.740 ;
+        RECT 2.400 293.740 2917.600 297.180 ;
+        RECT 2.800 291.740 2917.600 293.740 ;
+        RECT 2.400 232.540 2917.600 291.740 ;
         RECT 2.400 230.540 2917.200 232.540 ;
-        RECT 2.400 228.460 2917.930 230.540 ;
-        RECT 2.800 226.460 2917.930 228.460 ;
-        RECT 2.400 166.580 2917.930 226.460 ;
+        RECT 2.400 228.460 2917.600 230.540 ;
+        RECT 2.800 226.460 2917.600 228.460 ;
+        RECT 2.400 166.580 2917.600 226.460 ;
         RECT 2.400 164.580 2917.200 166.580 ;
-        RECT 2.400 163.180 2917.930 164.580 ;
-        RECT 2.800 161.180 2917.930 163.180 ;
-        RECT 2.400 99.940 2917.930 161.180 ;
+        RECT 2.400 163.180 2917.600 164.580 ;
+        RECT 2.800 161.180 2917.600 163.180 ;
+        RECT 2.400 99.940 2917.600 161.180 ;
         RECT 2.400 97.940 2917.200 99.940 ;
-        RECT 2.400 97.900 2917.930 97.940 ;
-        RECT 2.800 95.900 2917.930 97.900 ;
-        RECT 2.400 33.980 2917.930 95.900 ;
+        RECT 2.400 97.900 2917.600 97.940 ;
+        RECT 2.800 95.900 2917.600 97.900 ;
+        RECT 2.400 33.980 2917.600 95.900 ;
         RECT 2.400 33.300 2917.200 33.980 ;
         RECT 2.800 31.980 2917.200 33.300 ;
-        RECT 2.800 31.300 2917.930 31.980 ;
-        RECT 2.400 16.495 2917.930 31.300 ;
+        RECT 2.800 31.300 2917.600 31.980 ;
+        RECT 2.400 16.495 2917.600 31.300 ;
       LAYER met4 ;
-        RECT -43.630 -38.270 -40.530 3557.950 ;
-        RECT -38.830 -33.470 -35.730 3553.150 ;
-        RECT -34.030 -28.670 -30.930 3548.350 ;
-        RECT -29.230 -23.870 -26.130 3543.550 ;
-        RECT -24.430 -19.070 -21.330 3538.750 ;
-        RECT -19.630 -14.270 -16.530 3533.950 ;
-        RECT -14.830 -9.470 -11.730 3529.150 ;
-        RECT -10.030 -4.670 -6.930 3524.350 ;
-        RECT 8.970 3520.000 12.070 3529.150 ;
-        RECT 27.570 3520.000 30.670 3538.750 ;
-        RECT 46.170 3520.000 49.270 3548.350 ;
-        RECT 64.770 3520.000 67.870 3557.950 ;
-        RECT 98.970 3520.000 102.070 3529.150 ;
-        RECT 117.570 3520.000 120.670 3538.750 ;
-        RECT 136.170 3520.000 139.270 3548.350 ;
-        RECT 154.770 3520.000 157.870 3557.950 ;
-        RECT 188.970 3520.000 192.070 3529.150 ;
-        RECT 207.570 3520.000 210.670 3538.750 ;
-        RECT 226.170 3520.000 229.270 3548.350 ;
-        RECT 244.770 3520.000 247.870 3557.950 ;
-        RECT 278.970 3520.000 282.070 3529.150 ;
-        RECT 297.570 3520.000 300.670 3538.750 ;
-        RECT 316.170 3520.000 319.270 3548.350 ;
-        RECT 334.770 3520.000 337.870 3557.950 ;
-        RECT 368.970 3520.000 372.070 3529.150 ;
-        RECT 387.570 3520.000 390.670 3538.750 ;
-        RECT 406.170 3520.000 409.270 3548.350 ;
-        RECT 424.770 3520.000 427.870 3557.950 ;
-        RECT 458.970 3520.000 462.070 3529.150 ;
-        RECT 477.570 3520.000 480.670 3538.750 ;
-        RECT 496.170 3520.000 499.270 3548.350 ;
-        RECT 514.770 3520.000 517.870 3557.950 ;
-        RECT 548.970 3520.000 552.070 3529.150 ;
-        RECT 567.570 3520.000 570.670 3538.750 ;
-        RECT 586.170 3520.000 589.270 3548.350 ;
-        RECT 604.770 3520.000 607.870 3557.950 ;
-        RECT 638.970 3520.000 642.070 3529.150 ;
-        RECT 657.570 3520.000 660.670 3538.750 ;
-        RECT 676.170 3520.000 679.270 3548.350 ;
-        RECT 694.770 3520.000 697.870 3557.950 ;
-        RECT 728.970 3520.000 732.070 3529.150 ;
-        RECT 747.570 3520.000 750.670 3538.750 ;
-        RECT 766.170 3520.000 769.270 3548.350 ;
-        RECT 784.770 3520.000 787.870 3557.950 ;
-        RECT 818.970 3520.000 822.070 3529.150 ;
-        RECT 837.570 3520.000 840.670 3538.750 ;
-        RECT 856.170 3520.000 859.270 3548.350 ;
-        RECT 874.770 3520.000 877.870 3557.950 ;
-        RECT 908.970 3520.000 912.070 3529.150 ;
-        RECT 927.570 3520.000 930.670 3538.750 ;
-        RECT 946.170 3520.000 949.270 3548.350 ;
-        RECT 964.770 3520.000 967.870 3557.950 ;
-        RECT 998.970 3520.000 1002.070 3529.150 ;
-        RECT 1017.570 3520.000 1020.670 3538.750 ;
-        RECT 1036.170 3520.000 1039.270 3548.350 ;
-        RECT 1054.770 3520.000 1057.870 3557.950 ;
-        RECT 1088.970 3520.000 1092.070 3529.150 ;
-        RECT 1107.570 3520.000 1110.670 3538.750 ;
-        RECT 1126.170 3520.000 1129.270 3548.350 ;
-        RECT 1144.770 3520.000 1147.870 3557.950 ;
-        RECT 1178.970 3520.000 1182.070 3529.150 ;
-        RECT 1197.570 3520.000 1200.670 3538.750 ;
-        RECT 1216.170 3520.000 1219.270 3548.350 ;
-        RECT 1234.770 3520.000 1237.870 3557.950 ;
-        RECT 1268.970 3520.000 1272.070 3529.150 ;
-        RECT 1287.570 3520.000 1290.670 3538.750 ;
-        RECT 1306.170 3520.000 1309.270 3548.350 ;
-        RECT 1324.770 3520.000 1327.870 3557.950 ;
-        RECT 1358.970 3520.000 1362.070 3529.150 ;
-        RECT 1377.570 3520.000 1380.670 3538.750 ;
-        RECT 1396.170 3520.000 1399.270 3548.350 ;
-        RECT 1414.770 3520.000 1417.870 3557.950 ;
-        RECT 1448.970 3520.000 1452.070 3529.150 ;
-        RECT 1467.570 3520.000 1470.670 3538.750 ;
-        RECT 1486.170 3520.000 1489.270 3548.350 ;
-        RECT 1504.770 3520.000 1507.870 3557.950 ;
-        RECT 1538.970 3520.000 1542.070 3529.150 ;
-        RECT 1557.570 3520.000 1560.670 3538.750 ;
-        RECT 1576.170 3520.000 1579.270 3548.350 ;
-        RECT 1594.770 3520.000 1597.870 3557.950 ;
-        RECT 1628.970 3520.000 1632.070 3529.150 ;
-        RECT 1647.570 3520.000 1650.670 3538.750 ;
-        RECT 1666.170 3520.000 1669.270 3548.350 ;
-        RECT 1684.770 3520.000 1687.870 3557.950 ;
-        RECT 1718.970 3520.000 1722.070 3529.150 ;
-        RECT 1737.570 3520.000 1740.670 3538.750 ;
-        RECT 1756.170 3520.000 1759.270 3548.350 ;
-        RECT 1774.770 3520.000 1777.870 3557.950 ;
-        RECT 1808.970 3520.000 1812.070 3529.150 ;
-        RECT 1827.570 3520.000 1830.670 3538.750 ;
-        RECT 1846.170 3520.000 1849.270 3548.350 ;
-        RECT 1864.770 3520.000 1867.870 3557.950 ;
-        RECT 1898.970 3520.000 1902.070 3529.150 ;
-        RECT 1917.570 3520.000 1920.670 3538.750 ;
-        RECT 1936.170 3520.000 1939.270 3548.350 ;
-        RECT 1954.770 3520.000 1957.870 3557.950 ;
-        RECT 1988.970 3520.000 1992.070 3529.150 ;
-        RECT 2007.570 3520.000 2010.670 3538.750 ;
-        RECT 2026.170 3520.000 2029.270 3548.350 ;
-        RECT 2044.770 3520.000 2047.870 3557.950 ;
-        RECT 2078.970 3520.000 2082.070 3529.150 ;
-        RECT 2097.570 3520.000 2100.670 3538.750 ;
-        RECT 2116.170 3520.000 2119.270 3548.350 ;
-        RECT 2134.770 3520.000 2137.870 3557.950 ;
-        RECT 2168.970 3520.000 2172.070 3529.150 ;
-        RECT 2187.570 3520.000 2190.670 3538.750 ;
-        RECT 2206.170 3520.000 2209.270 3548.350 ;
-        RECT 2224.770 3520.000 2227.870 3557.950 ;
-        RECT 2258.970 3520.000 2262.070 3529.150 ;
-        RECT 2277.570 3520.000 2280.670 3538.750 ;
-        RECT 2296.170 3520.000 2299.270 3548.350 ;
-        RECT 2314.770 3520.000 2317.870 3557.950 ;
-        RECT 2348.970 3520.000 2352.070 3529.150 ;
-        RECT 2367.570 3520.000 2370.670 3538.750 ;
-        RECT 2386.170 3520.000 2389.270 3548.350 ;
-        RECT 2404.770 3520.000 2407.870 3557.950 ;
-        RECT 2438.970 3520.000 2442.070 3529.150 ;
-        RECT 2457.570 3520.000 2460.670 3538.750 ;
-        RECT 2476.170 3520.000 2479.270 3548.350 ;
-        RECT 2494.770 3520.000 2497.870 3557.950 ;
-        RECT 2528.970 3520.000 2532.070 3529.150 ;
-        RECT 2547.570 3520.000 2550.670 3538.750 ;
-        RECT 2566.170 3520.000 2569.270 3548.350 ;
-        RECT 2584.770 3520.000 2587.870 3557.950 ;
-        RECT 2618.970 3520.000 2622.070 3529.150 ;
-        RECT 2637.570 3520.000 2640.670 3538.750 ;
-        RECT 2656.170 3520.000 2659.270 3548.350 ;
-        RECT 2674.770 3520.000 2677.870 3557.950 ;
-        RECT 2708.970 3520.000 2712.070 3529.150 ;
-        RECT 2727.570 3520.000 2730.670 3538.750 ;
-        RECT 2746.170 3520.000 2749.270 3548.350 ;
-        RECT 2764.770 3520.000 2767.870 3557.950 ;
-        RECT 2798.970 3520.000 2802.070 3529.150 ;
-        RECT 2817.570 3520.000 2820.670 3538.750 ;
-        RECT 2836.170 3520.000 2839.270 3548.350 ;
-        RECT 2854.770 3520.000 2857.870 3557.950 ;
-        RECT 2888.970 3520.000 2892.070 3529.150 ;
-        RECT 2907.570 3520.000 2910.670 3538.750 ;
-        RECT 0.000 0.000 2920.000 3520.000 ;
-        RECT 8.970 -9.470 12.070 0.000 ;
-        RECT 27.570 -19.070 30.670 0.000 ;
-        RECT 46.170 -28.670 49.270 0.000 ;
-        RECT 64.770 -38.270 67.870 0.000 ;
-        RECT 98.970 -9.470 102.070 0.000 ;
-        RECT 117.570 -19.070 120.670 0.000 ;
-        RECT 136.170 -28.670 139.270 0.000 ;
-        RECT 154.770 -38.270 157.870 0.000 ;
-        RECT 188.970 -9.470 192.070 0.000 ;
-        RECT 207.570 -19.070 210.670 0.000 ;
-        RECT 226.170 -28.670 229.270 0.000 ;
-        RECT 244.770 -38.270 247.870 0.000 ;
-        RECT 278.970 -9.470 282.070 0.000 ;
-        RECT 297.570 -19.070 300.670 0.000 ;
-        RECT 316.170 -28.670 319.270 0.000 ;
-        RECT 334.770 -38.270 337.870 0.000 ;
-        RECT 368.970 -9.470 372.070 0.000 ;
-        RECT 387.570 -19.070 390.670 0.000 ;
-        RECT 406.170 -28.670 409.270 0.000 ;
-        RECT 424.770 -38.270 427.870 0.000 ;
-        RECT 458.970 -9.470 462.070 0.000 ;
-        RECT 477.570 -19.070 480.670 0.000 ;
-        RECT 496.170 -28.670 499.270 0.000 ;
-        RECT 514.770 -38.270 517.870 0.000 ;
-        RECT 548.970 -9.470 552.070 0.000 ;
-        RECT 567.570 -19.070 570.670 0.000 ;
-        RECT 586.170 -28.670 589.270 0.000 ;
-        RECT 604.770 -38.270 607.870 0.000 ;
-        RECT 638.970 -9.470 642.070 0.000 ;
-        RECT 657.570 -19.070 660.670 0.000 ;
-        RECT 676.170 -28.670 679.270 0.000 ;
-        RECT 694.770 -38.270 697.870 0.000 ;
-        RECT 728.970 -9.470 732.070 0.000 ;
-        RECT 747.570 -19.070 750.670 0.000 ;
-        RECT 766.170 -28.670 769.270 0.000 ;
-        RECT 784.770 -38.270 787.870 0.000 ;
-        RECT 818.970 -9.470 822.070 0.000 ;
-        RECT 837.570 -19.070 840.670 0.000 ;
-        RECT 856.170 -28.670 859.270 0.000 ;
-        RECT 874.770 -38.270 877.870 0.000 ;
-        RECT 908.970 -9.470 912.070 0.000 ;
-        RECT 927.570 -19.070 930.670 0.000 ;
-        RECT 946.170 -28.670 949.270 0.000 ;
-        RECT 964.770 -38.270 967.870 0.000 ;
-        RECT 998.970 -9.470 1002.070 0.000 ;
-        RECT 1017.570 -19.070 1020.670 0.000 ;
-        RECT 1036.170 -28.670 1039.270 0.000 ;
-        RECT 1054.770 -38.270 1057.870 0.000 ;
-        RECT 1088.970 -9.470 1092.070 0.000 ;
-        RECT 1107.570 -19.070 1110.670 0.000 ;
-        RECT 1126.170 -28.670 1129.270 0.000 ;
-        RECT 1144.770 -38.270 1147.870 0.000 ;
-        RECT 1178.970 -9.470 1182.070 0.000 ;
-        RECT 1197.570 -19.070 1200.670 0.000 ;
-        RECT 1216.170 -28.670 1219.270 0.000 ;
-        RECT 1234.770 -38.270 1237.870 0.000 ;
-        RECT 1268.970 -9.470 1272.070 0.000 ;
-        RECT 1287.570 -19.070 1290.670 0.000 ;
-        RECT 1306.170 -28.670 1309.270 0.000 ;
-        RECT 1324.770 -38.270 1327.870 0.000 ;
-        RECT 1358.970 -9.470 1362.070 0.000 ;
-        RECT 1377.570 -19.070 1380.670 0.000 ;
-        RECT 1396.170 -28.670 1399.270 0.000 ;
-        RECT 1414.770 -38.270 1417.870 0.000 ;
-        RECT 1448.970 -9.470 1452.070 0.000 ;
-        RECT 1467.570 -19.070 1470.670 0.000 ;
-        RECT 1486.170 -28.670 1489.270 0.000 ;
-        RECT 1504.770 -38.270 1507.870 0.000 ;
-        RECT 1538.970 -9.470 1542.070 0.000 ;
-        RECT 1557.570 -19.070 1560.670 0.000 ;
-        RECT 1576.170 -28.670 1579.270 0.000 ;
-        RECT 1594.770 -38.270 1597.870 0.000 ;
-        RECT 1628.970 -9.470 1632.070 0.000 ;
-        RECT 1647.570 -19.070 1650.670 0.000 ;
-        RECT 1666.170 -28.670 1669.270 0.000 ;
-        RECT 1684.770 -38.270 1687.870 0.000 ;
-        RECT 1718.970 -9.470 1722.070 0.000 ;
-        RECT 1737.570 -19.070 1740.670 0.000 ;
-        RECT 1756.170 -28.670 1759.270 0.000 ;
-        RECT 1774.770 -38.270 1777.870 0.000 ;
-        RECT 1808.970 -9.470 1812.070 0.000 ;
-        RECT 1827.570 -19.070 1830.670 0.000 ;
-        RECT 1846.170 -28.670 1849.270 0.000 ;
-        RECT 1864.770 -38.270 1867.870 0.000 ;
-        RECT 1898.970 -9.470 1902.070 0.000 ;
-        RECT 1917.570 -19.070 1920.670 0.000 ;
-        RECT 1936.170 -28.670 1939.270 0.000 ;
-        RECT 1954.770 -38.270 1957.870 0.000 ;
-        RECT 1988.970 -9.470 1992.070 0.000 ;
-        RECT 2007.570 -19.070 2010.670 0.000 ;
-        RECT 2026.170 -28.670 2029.270 0.000 ;
-        RECT 2044.770 -38.270 2047.870 0.000 ;
-        RECT 2078.970 -9.470 2082.070 0.000 ;
-        RECT 2097.570 -19.070 2100.670 0.000 ;
-        RECT 2116.170 -28.670 2119.270 0.000 ;
-        RECT 2134.770 -38.270 2137.870 0.000 ;
-        RECT 2168.970 -9.470 2172.070 0.000 ;
-        RECT 2187.570 -19.070 2190.670 0.000 ;
-        RECT 2206.170 -28.670 2209.270 0.000 ;
-        RECT 2224.770 -38.270 2227.870 0.000 ;
-        RECT 2258.970 -9.470 2262.070 0.000 ;
-        RECT 2277.570 -19.070 2280.670 0.000 ;
-        RECT 2296.170 -28.670 2299.270 0.000 ;
-        RECT 2314.770 -38.270 2317.870 0.000 ;
-        RECT 2348.970 -9.470 2352.070 0.000 ;
-        RECT 2367.570 -19.070 2370.670 0.000 ;
-        RECT 2386.170 -28.670 2389.270 0.000 ;
-        RECT 2404.770 -38.270 2407.870 0.000 ;
-        RECT 2438.970 -9.470 2442.070 0.000 ;
-        RECT 2457.570 -19.070 2460.670 0.000 ;
-        RECT 2476.170 -28.670 2479.270 0.000 ;
-        RECT 2494.770 -38.270 2497.870 0.000 ;
-        RECT 2528.970 -9.470 2532.070 0.000 ;
-        RECT 2547.570 -19.070 2550.670 0.000 ;
-        RECT 2566.170 -28.670 2569.270 0.000 ;
-        RECT 2584.770 -38.270 2587.870 0.000 ;
-        RECT 2618.970 -9.470 2622.070 0.000 ;
-        RECT 2637.570 -19.070 2640.670 0.000 ;
-        RECT 2656.170 -28.670 2659.270 0.000 ;
-        RECT 2674.770 -38.270 2677.870 0.000 ;
-        RECT 2708.970 -9.470 2712.070 0.000 ;
-        RECT 2727.570 -19.070 2730.670 0.000 ;
-        RECT 2746.170 -28.670 2749.270 0.000 ;
-        RECT 2764.770 -38.270 2767.870 0.000 ;
-        RECT 2798.970 -9.470 2802.070 0.000 ;
-        RECT 2817.570 -19.070 2820.670 0.000 ;
-        RECT 2836.170 -28.670 2839.270 0.000 ;
-        RECT 2854.770 -38.270 2857.870 0.000 ;
-        RECT 2888.970 -9.470 2892.070 0.000 ;
-        RECT 2907.570 -19.070 2910.670 0.000 ;
-        RECT 2926.550 -4.670 2929.650 3524.350 ;
-        RECT 2931.350 -9.470 2934.450 3529.150 ;
-        RECT 2936.150 -14.270 2939.250 3533.950 ;
-        RECT 2940.950 -19.070 2944.050 3538.750 ;
-        RECT 2945.750 -23.870 2948.850 3543.550 ;
-        RECT 2950.550 -28.670 2953.650 3548.350 ;
-        RECT 2955.350 -33.470 2958.450 3553.150 ;
-        RECT 2960.150 -38.270 2963.250 3557.950 ;
-      LAYER met5 ;
-        RECT -43.630 3554.850 2963.250 3557.950 ;
-        RECT -38.830 3550.050 2958.450 3553.150 ;
-        RECT -34.030 3545.250 2953.650 3548.350 ;
-        RECT -29.230 3540.450 2948.850 3543.550 ;
-        RECT -24.430 3535.650 2944.050 3538.750 ;
-        RECT -19.630 3530.850 2939.250 3533.950 ;
-        RECT -14.830 3526.050 2934.450 3529.150 ;
-        RECT -10.030 3521.250 2929.650 3524.350 ;
-        RECT 0.000 3493.230 2920.000 3520.000 ;
-        RECT -43.630 3490.130 2963.250 3493.230 ;
-        RECT 0.000 3474.630 2920.000 3490.130 ;
-        RECT -34.030 3471.530 2953.650 3474.630 ;
-        RECT 0.000 3456.030 2920.000 3471.530 ;
-        RECT -24.430 3452.930 2944.050 3456.030 ;
-        RECT 0.000 3437.430 2920.000 3452.930 ;
-        RECT -14.830 3434.330 2934.450 3437.430 ;
-        RECT 0.000 3403.230 2920.000 3434.330 ;
-        RECT -43.630 3400.130 2963.250 3403.230 ;
-        RECT 0.000 3384.630 2920.000 3400.130 ;
-        RECT -34.030 3381.530 2953.650 3384.630 ;
-        RECT 0.000 3366.030 2920.000 3381.530 ;
-        RECT -24.430 3362.930 2944.050 3366.030 ;
-        RECT 0.000 3347.430 2920.000 3362.930 ;
-        RECT -14.830 3344.330 2934.450 3347.430 ;
-        RECT 0.000 3313.230 2920.000 3344.330 ;
-        RECT -43.630 3310.130 2963.250 3313.230 ;
-        RECT 0.000 3294.630 2920.000 3310.130 ;
-        RECT -34.030 3291.530 2953.650 3294.630 ;
-        RECT 0.000 3276.030 2920.000 3291.530 ;
-        RECT -24.430 3272.930 2944.050 3276.030 ;
-        RECT 0.000 3257.430 2920.000 3272.930 ;
-        RECT -14.830 3254.330 2934.450 3257.430 ;
-        RECT 0.000 3223.230 2920.000 3254.330 ;
-        RECT -43.630 3220.130 2963.250 3223.230 ;
-        RECT 0.000 3204.630 2920.000 3220.130 ;
-        RECT -34.030 3201.530 2953.650 3204.630 ;
-        RECT 0.000 3186.030 2920.000 3201.530 ;
-        RECT -24.430 3182.930 2944.050 3186.030 ;
-        RECT 0.000 3167.430 2920.000 3182.930 ;
-        RECT -14.830 3164.330 2934.450 3167.430 ;
-        RECT 0.000 3133.230 2920.000 3164.330 ;
-        RECT -43.630 3130.130 2963.250 3133.230 ;
-        RECT 0.000 3114.630 2920.000 3130.130 ;
-        RECT -34.030 3111.530 2953.650 3114.630 ;
-        RECT 0.000 3096.030 2920.000 3111.530 ;
-        RECT -24.430 3092.930 2944.050 3096.030 ;
-        RECT 0.000 3077.430 2920.000 3092.930 ;
-        RECT -14.830 3074.330 2934.450 3077.430 ;
-        RECT 0.000 3043.230 2920.000 3074.330 ;
-        RECT -43.630 3040.130 2963.250 3043.230 ;
-        RECT 0.000 3024.630 2920.000 3040.130 ;
-        RECT -34.030 3021.530 2953.650 3024.630 ;
-        RECT 0.000 3006.030 2920.000 3021.530 ;
-        RECT -24.430 3002.930 2944.050 3006.030 ;
-        RECT 0.000 2987.430 2920.000 3002.930 ;
-        RECT -14.830 2984.330 2934.450 2987.430 ;
-        RECT 0.000 2953.230 2920.000 2984.330 ;
-        RECT -43.630 2950.130 2963.250 2953.230 ;
-        RECT 0.000 2934.630 2920.000 2950.130 ;
-        RECT -34.030 2931.530 2953.650 2934.630 ;
-        RECT 0.000 2916.030 2920.000 2931.530 ;
-        RECT -24.430 2912.930 2944.050 2916.030 ;
-        RECT 0.000 2897.430 2920.000 2912.930 ;
-        RECT -14.830 2894.330 2934.450 2897.430 ;
-        RECT 0.000 2863.230 2920.000 2894.330 ;
-        RECT -43.630 2860.130 2963.250 2863.230 ;
-        RECT 0.000 2844.630 2920.000 2860.130 ;
-        RECT -34.030 2841.530 2953.650 2844.630 ;
-        RECT 0.000 2826.030 2920.000 2841.530 ;
-        RECT -24.430 2822.930 2944.050 2826.030 ;
-        RECT 0.000 2807.430 2920.000 2822.930 ;
-        RECT -14.830 2804.330 2934.450 2807.430 ;
-        RECT 0.000 2773.230 2920.000 2804.330 ;
-        RECT -43.630 2770.130 2963.250 2773.230 ;
-        RECT 0.000 2754.630 2920.000 2770.130 ;
-        RECT -34.030 2751.530 2953.650 2754.630 ;
-        RECT 0.000 2736.030 2920.000 2751.530 ;
-        RECT -24.430 2732.930 2944.050 2736.030 ;
-        RECT 0.000 2717.430 2920.000 2732.930 ;
-        RECT -14.830 2714.330 2934.450 2717.430 ;
-        RECT 0.000 2683.230 2920.000 2714.330 ;
-        RECT -43.630 2680.130 2963.250 2683.230 ;
-        RECT 0.000 2664.630 2920.000 2680.130 ;
-        RECT -34.030 2661.530 2953.650 2664.630 ;
-        RECT 0.000 2646.030 2920.000 2661.530 ;
-        RECT -24.430 2642.930 2944.050 2646.030 ;
-        RECT 0.000 2627.430 2920.000 2642.930 ;
-        RECT -14.830 2624.330 2934.450 2627.430 ;
-        RECT 0.000 2593.230 2920.000 2624.330 ;
-        RECT -43.630 2590.130 2963.250 2593.230 ;
-        RECT 0.000 2574.630 2920.000 2590.130 ;
-        RECT -34.030 2571.530 2953.650 2574.630 ;
-        RECT 0.000 2556.030 2920.000 2571.530 ;
-        RECT -24.430 2552.930 2944.050 2556.030 ;
-        RECT 0.000 2537.430 2920.000 2552.930 ;
-        RECT -14.830 2534.330 2934.450 2537.430 ;
-        RECT 0.000 2503.230 2920.000 2534.330 ;
-        RECT -43.630 2500.130 2963.250 2503.230 ;
-        RECT 0.000 2484.630 2920.000 2500.130 ;
-        RECT -34.030 2481.530 2953.650 2484.630 ;
-        RECT 0.000 2466.030 2920.000 2481.530 ;
-        RECT -24.430 2462.930 2944.050 2466.030 ;
-        RECT 0.000 2447.430 2920.000 2462.930 ;
-        RECT -14.830 2444.330 2934.450 2447.430 ;
-        RECT 0.000 2413.230 2920.000 2444.330 ;
-        RECT -43.630 2410.130 2963.250 2413.230 ;
-        RECT 0.000 2394.630 2920.000 2410.130 ;
-        RECT -34.030 2391.530 2953.650 2394.630 ;
-        RECT 0.000 2376.030 2920.000 2391.530 ;
-        RECT -24.430 2372.930 2944.050 2376.030 ;
-        RECT 0.000 2357.430 2920.000 2372.930 ;
-        RECT -14.830 2354.330 2934.450 2357.430 ;
-        RECT 0.000 2323.230 2920.000 2354.330 ;
-        RECT -43.630 2320.130 2963.250 2323.230 ;
-        RECT 0.000 2304.630 2920.000 2320.130 ;
-        RECT -34.030 2301.530 2953.650 2304.630 ;
-        RECT 0.000 2286.030 2920.000 2301.530 ;
-        RECT -24.430 2282.930 2944.050 2286.030 ;
-        RECT 0.000 2267.430 2920.000 2282.930 ;
-        RECT -14.830 2264.330 2934.450 2267.430 ;
-        RECT 0.000 2233.230 2920.000 2264.330 ;
-        RECT -43.630 2230.130 2963.250 2233.230 ;
-        RECT 0.000 2214.630 2920.000 2230.130 ;
-        RECT -34.030 2211.530 2953.650 2214.630 ;
-        RECT 0.000 2196.030 2920.000 2211.530 ;
-        RECT -24.430 2192.930 2944.050 2196.030 ;
-        RECT 0.000 2177.430 2920.000 2192.930 ;
-        RECT -14.830 2174.330 2934.450 2177.430 ;
-        RECT 0.000 2143.230 2920.000 2174.330 ;
-        RECT -43.630 2140.130 2963.250 2143.230 ;
-        RECT 0.000 2124.630 2920.000 2140.130 ;
-        RECT -34.030 2121.530 2953.650 2124.630 ;
-        RECT 0.000 2106.030 2920.000 2121.530 ;
-        RECT -24.430 2102.930 2944.050 2106.030 ;
-        RECT 0.000 2087.430 2920.000 2102.930 ;
-        RECT -14.830 2084.330 2934.450 2087.430 ;
-        RECT 0.000 2053.230 2920.000 2084.330 ;
-        RECT -43.630 2050.130 2963.250 2053.230 ;
-        RECT 0.000 2034.630 2920.000 2050.130 ;
-        RECT -34.030 2031.530 2953.650 2034.630 ;
-        RECT 0.000 2016.030 2920.000 2031.530 ;
-        RECT -24.430 2012.930 2944.050 2016.030 ;
-        RECT 0.000 1997.430 2920.000 2012.930 ;
-        RECT -14.830 1994.330 2934.450 1997.430 ;
-        RECT 0.000 1963.230 2920.000 1994.330 ;
-        RECT -43.630 1960.130 2963.250 1963.230 ;
-        RECT 0.000 1944.630 2920.000 1960.130 ;
-        RECT -34.030 1941.530 2953.650 1944.630 ;
-        RECT 0.000 1926.030 2920.000 1941.530 ;
-        RECT -24.430 1922.930 2944.050 1926.030 ;
-        RECT 0.000 1907.430 2920.000 1922.930 ;
-        RECT -14.830 1904.330 2934.450 1907.430 ;
-        RECT 0.000 1873.230 2920.000 1904.330 ;
-        RECT -43.630 1870.130 2963.250 1873.230 ;
-        RECT 0.000 1854.630 2920.000 1870.130 ;
-        RECT -34.030 1851.530 2953.650 1854.630 ;
-        RECT 0.000 1836.030 2920.000 1851.530 ;
-        RECT -24.430 1832.930 2944.050 1836.030 ;
-        RECT 0.000 1817.430 2920.000 1832.930 ;
-        RECT -14.830 1814.330 2934.450 1817.430 ;
-        RECT 0.000 1783.230 2920.000 1814.330 ;
-        RECT -43.630 1780.130 2963.250 1783.230 ;
-        RECT 0.000 1764.630 2920.000 1780.130 ;
-        RECT -34.030 1761.530 2953.650 1764.630 ;
-        RECT 0.000 1746.030 2920.000 1761.530 ;
-        RECT -24.430 1742.930 2944.050 1746.030 ;
-        RECT 0.000 1727.430 2920.000 1742.930 ;
-        RECT -14.830 1724.330 2934.450 1727.430 ;
-        RECT 0.000 1693.230 2920.000 1724.330 ;
-        RECT -43.630 1690.130 2963.250 1693.230 ;
-        RECT 0.000 1674.630 2920.000 1690.130 ;
-        RECT -34.030 1671.530 2953.650 1674.630 ;
-        RECT 0.000 1656.030 2920.000 1671.530 ;
-        RECT -24.430 1652.930 2944.050 1656.030 ;
-        RECT 0.000 1637.430 2920.000 1652.930 ;
-        RECT -14.830 1634.330 2934.450 1637.430 ;
-        RECT 0.000 1603.230 2920.000 1634.330 ;
-        RECT -43.630 1600.130 2963.250 1603.230 ;
-        RECT 0.000 1584.630 2920.000 1600.130 ;
-        RECT -34.030 1581.530 2953.650 1584.630 ;
-        RECT 0.000 1566.030 2920.000 1581.530 ;
-        RECT -24.430 1562.930 2944.050 1566.030 ;
-        RECT 0.000 1547.430 2920.000 1562.930 ;
-        RECT -14.830 1544.330 2934.450 1547.430 ;
-        RECT 0.000 1513.230 2920.000 1544.330 ;
-        RECT -43.630 1510.130 2963.250 1513.230 ;
-        RECT 0.000 1494.630 2920.000 1510.130 ;
-        RECT -34.030 1491.530 2953.650 1494.630 ;
-        RECT 0.000 1476.030 2920.000 1491.530 ;
-        RECT -24.430 1472.930 2944.050 1476.030 ;
-        RECT 0.000 1457.430 2920.000 1472.930 ;
-        RECT -14.830 1454.330 2934.450 1457.430 ;
-        RECT 0.000 1423.230 2920.000 1454.330 ;
-        RECT -43.630 1420.130 2963.250 1423.230 ;
-        RECT 0.000 1404.630 2920.000 1420.130 ;
-        RECT -34.030 1401.530 2953.650 1404.630 ;
-        RECT 0.000 1386.030 2920.000 1401.530 ;
-        RECT -24.430 1382.930 2944.050 1386.030 ;
-        RECT 0.000 1367.430 2920.000 1382.930 ;
-        RECT -14.830 1364.330 2934.450 1367.430 ;
-        RECT 0.000 1333.230 2920.000 1364.330 ;
-        RECT -43.630 1330.130 2963.250 1333.230 ;
-        RECT 0.000 1314.630 2920.000 1330.130 ;
-        RECT -34.030 1311.530 2953.650 1314.630 ;
-        RECT 0.000 1296.030 2920.000 1311.530 ;
-        RECT -24.430 1292.930 2944.050 1296.030 ;
-        RECT 0.000 1277.430 2920.000 1292.930 ;
-        RECT -14.830 1274.330 2934.450 1277.430 ;
-        RECT 0.000 1243.230 2920.000 1274.330 ;
-        RECT -43.630 1240.130 2963.250 1243.230 ;
-        RECT 0.000 1224.630 2920.000 1240.130 ;
-        RECT -34.030 1221.530 2953.650 1224.630 ;
-        RECT 0.000 1206.030 2920.000 1221.530 ;
-        RECT -24.430 1202.930 2944.050 1206.030 ;
-        RECT 0.000 1187.430 2920.000 1202.930 ;
-        RECT -14.830 1184.330 2934.450 1187.430 ;
-        RECT 0.000 1153.230 2920.000 1184.330 ;
-        RECT -43.630 1150.130 2963.250 1153.230 ;
-        RECT 0.000 1134.630 2920.000 1150.130 ;
-        RECT -34.030 1131.530 2953.650 1134.630 ;
-        RECT 0.000 1116.030 2920.000 1131.530 ;
-        RECT -24.430 1112.930 2944.050 1116.030 ;
-        RECT 0.000 1097.430 2920.000 1112.930 ;
-        RECT -14.830 1094.330 2934.450 1097.430 ;
-        RECT 0.000 1063.230 2920.000 1094.330 ;
-        RECT -43.630 1060.130 2963.250 1063.230 ;
-        RECT 0.000 1044.630 2920.000 1060.130 ;
-        RECT -34.030 1041.530 2953.650 1044.630 ;
-        RECT 0.000 1026.030 2920.000 1041.530 ;
-        RECT -24.430 1022.930 2944.050 1026.030 ;
-        RECT 0.000 1007.430 2920.000 1022.930 ;
-        RECT -14.830 1004.330 2934.450 1007.430 ;
-        RECT 0.000 973.230 2920.000 1004.330 ;
-        RECT -43.630 970.130 2963.250 973.230 ;
-        RECT 0.000 954.630 2920.000 970.130 ;
-        RECT -34.030 951.530 2953.650 954.630 ;
-        RECT 0.000 936.030 2920.000 951.530 ;
-        RECT -24.430 932.930 2944.050 936.030 ;
-        RECT 0.000 917.430 2920.000 932.930 ;
-        RECT -14.830 914.330 2934.450 917.430 ;
-        RECT 0.000 883.230 2920.000 914.330 ;
-        RECT -43.630 880.130 2963.250 883.230 ;
-        RECT 0.000 864.630 2920.000 880.130 ;
-        RECT -34.030 861.530 2953.650 864.630 ;
-        RECT 0.000 846.030 2920.000 861.530 ;
-        RECT -24.430 842.930 2944.050 846.030 ;
-        RECT 0.000 827.430 2920.000 842.930 ;
-        RECT -14.830 824.330 2934.450 827.430 ;
-        RECT 0.000 793.230 2920.000 824.330 ;
-        RECT -43.630 790.130 2963.250 793.230 ;
-        RECT 0.000 774.630 2920.000 790.130 ;
-        RECT -34.030 771.530 2953.650 774.630 ;
-        RECT 0.000 756.030 2920.000 771.530 ;
-        RECT -24.430 752.930 2944.050 756.030 ;
-        RECT 0.000 737.430 2920.000 752.930 ;
-        RECT -14.830 734.330 2934.450 737.430 ;
-        RECT 0.000 703.230 2920.000 734.330 ;
-        RECT -43.630 700.130 2963.250 703.230 ;
-        RECT 0.000 684.630 2920.000 700.130 ;
-        RECT -34.030 681.530 2953.650 684.630 ;
-        RECT 0.000 666.030 2920.000 681.530 ;
-        RECT -24.430 662.930 2944.050 666.030 ;
-        RECT 0.000 647.430 2920.000 662.930 ;
-        RECT -14.830 644.330 2934.450 647.430 ;
-        RECT 0.000 613.230 2920.000 644.330 ;
-        RECT -43.630 610.130 2963.250 613.230 ;
-        RECT 0.000 594.630 2920.000 610.130 ;
-        RECT -34.030 591.530 2953.650 594.630 ;
-        RECT 0.000 576.030 2920.000 591.530 ;
-        RECT -24.430 572.930 2944.050 576.030 ;
-        RECT 0.000 557.430 2920.000 572.930 ;
-        RECT -14.830 554.330 2934.450 557.430 ;
-        RECT 0.000 523.230 2920.000 554.330 ;
-        RECT -43.630 520.130 2963.250 523.230 ;
-        RECT 0.000 504.630 2920.000 520.130 ;
-        RECT -34.030 501.530 2953.650 504.630 ;
-        RECT 0.000 486.030 2920.000 501.530 ;
-        RECT -24.430 482.930 2944.050 486.030 ;
-        RECT 0.000 467.430 2920.000 482.930 ;
-        RECT -14.830 464.330 2934.450 467.430 ;
-        RECT 0.000 433.230 2920.000 464.330 ;
-        RECT -43.630 430.130 2963.250 433.230 ;
-        RECT 0.000 414.630 2920.000 430.130 ;
-        RECT -34.030 411.530 2953.650 414.630 ;
-        RECT 0.000 396.030 2920.000 411.530 ;
-        RECT -24.430 392.930 2944.050 396.030 ;
-        RECT 0.000 377.430 2920.000 392.930 ;
-        RECT -14.830 374.330 2934.450 377.430 ;
-        RECT 0.000 343.230 2920.000 374.330 ;
-        RECT -43.630 340.130 2963.250 343.230 ;
-        RECT 0.000 324.630 2920.000 340.130 ;
-        RECT -34.030 321.530 2953.650 324.630 ;
-        RECT 0.000 306.030 2920.000 321.530 ;
-        RECT -24.430 302.930 2944.050 306.030 ;
-        RECT 0.000 287.430 2920.000 302.930 ;
-        RECT -14.830 284.330 2934.450 287.430 ;
-        RECT 0.000 253.230 2920.000 284.330 ;
-        RECT -43.630 250.130 2963.250 253.230 ;
-        RECT 0.000 234.630 2920.000 250.130 ;
-        RECT -34.030 231.530 2953.650 234.630 ;
-        RECT 0.000 216.030 2920.000 231.530 ;
-        RECT -24.430 212.930 2944.050 216.030 ;
-        RECT 0.000 197.430 2920.000 212.930 ;
-        RECT -14.830 194.330 2934.450 197.430 ;
-        RECT 0.000 163.230 2920.000 194.330 ;
-        RECT -43.630 160.130 2963.250 163.230 ;
-        RECT 0.000 144.630 2920.000 160.130 ;
-        RECT -34.030 141.530 2953.650 144.630 ;
-        RECT 0.000 126.030 2920.000 141.530 ;
-        RECT -24.430 122.930 2944.050 126.030 ;
-        RECT 0.000 107.430 2920.000 122.930 ;
-        RECT -14.830 104.330 2934.450 107.430 ;
-        RECT 0.000 73.230 2920.000 104.330 ;
-        RECT -43.630 70.130 2963.250 73.230 ;
-        RECT 0.000 54.630 2920.000 70.130 ;
-        RECT -34.030 51.530 2953.650 54.630 ;
-        RECT 0.000 36.030 2920.000 51.530 ;
-        RECT -24.430 32.930 2944.050 36.030 ;
-        RECT 0.000 17.430 2920.000 32.930 ;
-        RECT -14.830 14.330 2934.450 17.430 ;
+        RECT 300.000 360.000 2020.400 1829.820 ;
   END
 END user_project_wrapper
 END LIBRARY
diff --git a/verilog/dv/mprj_stimulus/Makefile b/verilog/dv/mprj_stimulus/Makefile
index 3a73b99..b0e4051 100644
--- a/verilog/dv/mprj_stimulus/Makefile
+++ b/verilog/dv/mprj_stimulus/Makefile
@@ -25,6 +25,11 @@
 CARAVEL_RTL_PATH = $(CARAVEL_VERILOG_PATH)/rtl
 CARAVEL_BEHAVIOURAL_MODELS = $(CARAVEL_VERILOG_PATH)/dv/caravel
 
+## Management SoC Pointers
+MGMT_SOC_PATH ?= ../../../../caravel_pico
+MGMT_SOC_VERILOG_PATH = $(MGMT_SOC_PATH)/verilog
+MGMT_SOC_RTL_PATH = $(MGMT_SOC_PATH)/verilog/rtl
+
 ## User Project Pointers
 UPRJ_VERILOG_PATH ?= ../../../verilog
 UPRJ_RTL_PATH = $(UPRJ_VERILOG_PATH)/rtl
@@ -50,12 +55,12 @@
 ifeq ($(SIM),RTL)
 	iverilog $(SIM_DEFINES) -I $(PDK_PATH) \
 	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) \
-	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS)    -I $(UPRJ_RTL_PATH) -I $(MGMT_SOC_RTL_PATH) \
 	$< -o $@ 
 else  
 	iverilog $(SIM_DEFINES) -DGL -I $(PDK_PATH) \
 	-I $(CARAVEL_BEHAVIOURAL_MODELS) -I $(CARAVEL_RTL_PATH) -I $(CARAVEL_VERILOG_PATH) \
-	-I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_RTL_PATH)   -I $(UPRJ_VERILOG_PATH) \
+	-I $(UPRJ_BEHAVIOURAL_MODELS) -I$(UPRJ_RTL_PATH)   -I $(UPRJ_VERILOG_PATH) -I $(MGMT_SOC_VERILOG_PATH) \
 	$< -o $@ 
 endif
 
diff --git a/verilog/dv/mprj_stimulus/mprj_stimulus.c b/verilog/dv/mprj_stimulus/mprj_stimulus.c
index e4d0a2d..7d2c29a 100644
--- a/verilog/dv/mprj_stimulus/mprj_stimulus.c
+++ b/verilog/dv/mprj_stimulus/mprj_stimulus.c
@@ -31,19 +31,21 @@
     // designed to read the project count through the
     // logic analyzer probes.
     // I/O 6 is configured for the UART Tx line
+
     uint32_t testval;
 
-    reg_spimaster_config = 0xa002;	// Enable, prescaler = 2
+    reg_hkspi_disable = 1;		// Shut off the housekeeping SPI,
+					// so we can use the pins.
 
     reg_mprj_datal = 0x00000000;
     reg_mprj_datah = 0x00000000;
 
-    reg_mprj_io_37 = GPIO_MODE_MGMT_STD_OUTPUT;;
-    reg_mprj_io_36 = GPIO_MODE_MGMT_STD_OUTPUT;;
-    reg_mprj_io_35 = GPIO_MODE_MGMT_STD_BIDIRECTIONAL;
-    reg_mprj_io_34 = GPIO_MODE_MGMT_STD_BIDIRECTIONAL;
-    reg_mprj_io_33 = GPIO_MODE_MGMT_STD_BIDIRECTIONAL;
-    reg_mprj_io_32 = GPIO_MODE_MGMT_STD_BIDIRECTIONAL;
+    reg_mprj_io_37 = GPIO_MODE_MGMT_STD_OUTPUT;
+    reg_mprj_io_36 = GPIO_MODE_MGMT_STD_OUTPUT;
+    reg_mprj_io_35 = GPIO_MODE_MGMT_STD_OUTPUT;
+    reg_mprj_io_34 = GPIO_MODE_MGMT_STD_OUTPUT;
+    reg_mprj_io_33 = GPIO_MODE_MGMT_STD_OUTPUT;
+    reg_mprj_io_32 = GPIO_MODE_MGMT_STD_OUTPUT;
 
     reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
     reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
@@ -71,18 +73,13 @@
     reg_mprj_io_9  = GPIO_MODE_USER_STD_OUT_MONITORED;
     reg_mprj_io_8  = GPIO_MODE_USER_STD_OUT_MONITORED;
     reg_mprj_io_7  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_5  = GPIO_MODE_USER_STD_OUTPUT;
-    reg_mprj_io_4  = GPIO_MODE_USER_STD_OUTPUT;
-    reg_mprj_io_3  = GPIO_MODE_USER_STD_OUTPUT;
-    reg_mprj_io_2  = GPIO_MODE_USER_STD_OUTPUT;
-    reg_mprj_io_1  = GPIO_MODE_USER_STD_OUTPUT;
-    reg_mprj_io_0  = GPIO_MODE_USER_STD_OUTPUT;
-
-    reg_mprj_io_6  = GPIO_MODE_MGMT_STD_OUTPUT;
-
-    // Set UART clock to 64 kbaud (enable before I/O configuration)
-    reg_uart_clkdiv = 625;
-    reg_uart_enable = 1;
+    reg_mprj_io_6  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_5  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_4  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_3  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_2  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_1  = GPIO_MODE_USER_STD_OUT_MONITORED;
+    reg_mprj_io_0  = GPIO_MODE_USER_STD_OUT_MONITORED;
 
     /* Apply configuration */
     reg_mprj_xfer = 1;
@@ -116,17 +113,24 @@
 
     // Test ability to force data on channel 37
     // NOTE:  Only the low 6 bits of reg_mprj_datah are meaningful
-    reg_mprj_datah = 0xffffffca;
+
+    reg_mprj_datah = 0x0f0f0fc0;
+    reg_mprj_datah = 0x00000000;
+    reg_mprj_datah = 0x0f0f0fca;
+    reg_mprj_datah = 0x0000000a;
+    reg_mprj_datah = 0x0f0f0fc0;
     reg_mprj_datah = 0x00000000;
     reg_mprj_datah = 0x0f0f0fc5;
-    reg_mprj_datah = 0x00000000;
+    reg_mprj_datah = 0x00000005;
 
     // Test ability to read back data generated by the user project
     // on the "monitored" outputs.  Read from the lower 16 bits and
     // copy the value to the upper 16 bits.
 
     testval = reg_mprj_datal;
-    reg_mprj_datal = ((testval & 0xff8) << 9) & 0xffff0000;
+    reg_mprj_datal = (testval << 16);
+    testval = reg_mprj_datal;
+    reg_mprj_datal = (testval << 16);
 
     // Flag end of the test
     reg_mprj_datal = 0xAB510000;
diff --git a/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v b/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v
index 1409015..0ac0b42 100644
--- a/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v
+++ b/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v
@@ -28,17 +28,8 @@
     reg RSTB;
     reg CSB;
     reg power1, power2;
-    reg power3, power4;
-
-    wire HIGH;
-    wire LOW;
-    wire TRI;
-    assign HIGH = 1'b1;
-    assign LOW = 1'b0;
-    assign TRI = 1'bz;
 
     wire gpio;
-    wire uart_tx;
     wire [37:0] mprj_io;
     wire [15:0] checkbits;
     wire [3:0] status;
@@ -46,8 +37,10 @@
     // Signals Assignment
     assign checkbits  = mprj_io[31:16];
     assign status = mprj_io[35:32];
-    assign uart_tx = mprj_io[6];
-    assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
+
+    // Force CSB high until simulation is underway
+    // Note:  The CSB GPIO pin default needs to be set to a pull-up. . .
+    assign mprj_io[3] = CSB;
 
     always #12.5 clock <= (clock === 1'b0);
 
@@ -74,23 +67,29 @@
         $display("Monitor: mprj_stimulus test started");
         wait(status == 4'ha);
         wait(status == 4'h5);
-	// Value 0009 reflects copying user-controlled outputs to memory and back
-	// to management-controlled outputs.
-        wait(checkbits == 16'h0009);
+
+	// Values reflect copying user-controlled outputs to memory and back
+	// to management-controlled outputs.  Note that there is a slight
+	// discrepancy in timing when using gate level simulation;  either
+	// of the specified values is okay.
+
+        wait(checkbits == 16'h0840 || checkbits == 16'h0841);
+        wait(checkbits == 16'h0a00 || checkbits == 16'h0a01);
+
         wait(checkbits == 16'hAB51);
         $display("Monitor: mprj_stimulus test Passed");
         #10000;
         $finish;
     end
 
-   // Reset Operation
+    // Reset Operation
     initial begin
         RSTB <= 1'b0;
-        CSB  <= 1'b1;       // Force CSB high
+	CSB <= 1'b1;
         #2000;
-        RSTB <= 1'b1;       // Release reset
-        #170000;
-        CSB = 1'b0;         // CSB can be released
+        RSTB <= 1'b1;       	// Release reset
+	#200000;
+	CSB <= 1'bz;		// Stop driving CSB
     end
 
     initial begin		// Power-up sequence
@@ -148,10 +147,5 @@
         .io3()          // not used
     );
 
-    // Testbench UART
-    tbuart tbuart (
-        .ser_rx(uart_tx)
-    );
-
 endmodule
 `default_nettype wire
diff --git a/verilog/gl/powered_netlist.v b/verilog/gl/powered_netlist.v
new file mode 100644
index 0000000..ac622dd
--- /dev/null
+++ b/verilog/gl/powered_netlist.v
@@ -0,0 +1,481906 @@
+/*
+###############################################################
+#  Generated by:      Cadence Innovus 20.10-p004_1
+#  OS:                Linux x86_64(Host ID merl-HP-Z840)
+#  Generated on:      Fri Dec 17 18:11:04 2021
+#  Design:            azadi_soc_top_caravel
+#  Command:           eval_legacy {savenetlist -excludeLeafCell -includePowerGround -flat -exportTopPGNets powered_netlist.v}
+###############################################################
+*/
+module azadi_soc_top_caravel (
+	wb_clk_i, 
+	wb_rst_i, 
+	wbs_stb_i, 
+	wbs_cyc_i, 
+	wbs_we_i, 
+	wbs_sel_i, 
+	wbs_dat_i, 
+	wbs_adr_i, 
+	wbs_ack_o, 
+	wbs_dat_o, 
+	la_data_in, 
+	la_data_out, 
+	la_oenb, 
+	io_in, 
+	io_out, 
+	io_oeb, 
+	analog_io, 
+	user_clock2, 
+	user_irq, 
+	VPWR, 
+	VGND);
+   input wb_clk_i;
+   input wb_rst_i;
+   input wbs_stb_i;
+   input wbs_cyc_i;
+   input wbs_we_i;
+   input [3:0] wbs_sel_i;
+   input [31:0] wbs_dat_i;
+   input [31:0] wbs_adr_i;
+   output wbs_ack_o;
+   output [31:0] wbs_dat_o;
+   input [127:0] la_data_in;
+   output [127:0] la_data_out;
+   input [127:0] la_oenb;
+   input [37:0] io_in;
+   output [37:0] io_out;
+   output [37:0] io_oeb;
+   inout [28:0] analog_io;
+   input user_clock2;
+   output [2:0] user_irq;
+   inout VPWR;
+   inout VGND;
+
+   // Internal wires
+   wire CTS_141;
+   wire CTS_140;
+   wire CTS_139;
+   wire CTS_138;
+   wire CTS_137;
+   wire CTS_136;
+   wire CTS_135;
+   wire CTS_134;
+   wire CTS_133;
+   wire CTS_132;
+   wire CTS_131;
+   wire CTS_130;
+   wire CTS_129;
+   wire CTS_142;
+   wire CTS_126;
+   wire CTS_125;
+   wire CTS_124;
+   wire CTS_123;
+   wire CTS_122;
+   wire CTS_121;
+   wire CTS_120;
+   wire CTS_119;
+   wire CTS_118;
+   wire CTS_117;
+   wire CTS_116;
+   wire CTS_115;
+   wire CTS_96;
+   wire CTS_95;
+   wire CTS_94;
+   wire CTS_93;
+   wire CTS_77;
+   wire CTS_76;
+   wire CTS_75;
+   wire CTS_74;
+   wire CTS_73;
+   wire CTS_72;
+   wire CTS_71;
+   wire CTS_70;
+   wire CTS_69;
+   wire CTS_68;
+   wire CTS_67;
+   wire CTS_66;
+   wire CTS_65;
+   wire CTS_64;
+   wire CTS_63;
+   wire CTS_62;
+   wire CTS_61;
+   wire CTS_60;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ;
+   wire CTS_59;
+   wire CTS_58;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ;
+   wire CTS_57;
+   wire CTS_56;
+   wire CTS_55;
+   wire CTS_54;
+   wire CTS_53;
+   wire CTS_52;
+   wire CTS_51;
+   wire CTS_50;
+   wire CTS_49;
+   wire CTS_48;
+   wire CTS_47;
+   wire CTS_128;
+   wire CTS_127;
+   wire CTS_44;
+   wire CTS_43;
+   wire CTS_42;
+   wire CTS_41;
+   wire CTS_40;
+   wire CTS_39;
+   wire CTS_38;
+   wire CTS_37;
+   wire CTS_36;
+   wire CTS_35;
+   wire CTS_34;
+   wire CTS_33;
+   wire CTS_32;
+   wire CTS_31;
+   wire CTS_30;
+   wire CTS_29;
+   wire CTS_28;
+   wire CTS_27;
+   wire CTS_26;
+   wire CTS_25;
+   wire CTS_24;
+   wire CTS_23;
+   wire CTS_22;
+   wire CTS_46;
+   wire CTS_45;
+   wire CTS_114;
+   wire CTS_113;
+   wire CTS_112;
+   wire CTS_111;
+   wire CTS_110;
+   wire CTS_109;
+   wire CTS_108;
+   wire CTS_107;
+   wire CTS_106;
+   wire CTS_105;
+   wire CTS_104;
+   wire CTS_103;
+   wire CTS_102;
+   wire CTS_101;
+   wire CTS_100;
+   wire CTS_99;
+   wire CTS_98;
+   wire CTS_97;
+   wire CTS_92;
+   wire CTS_91;
+   wire CTS_90;
+   wire CTS_89;
+   wire CTS_88;
+   wire CTS_87;
+   wire CTS_86;
+   wire CTS_85;
+   wire CTS_84;
+   wire CTS_83;
+   wire CTS_82;
+   wire CTS_81;
+   wire CTS_80;
+   wire CTS_79;
+   wire CTS_78;
+   wire CTS_21;
+   wire CTS_20;
+   wire CTS_19;
+   wire CTS_18;
+   wire CTS_17;
+   wire CTS_16;
+   wire CTS_15;
+   wire CTS_14;
+   wire CTS_13;
+   wire CTS_12;
+   wire CTS_11;
+   wire CTS_10;
+   wire CTS_9;
+   wire CTS_8;
+   wire CTS_7;
+   wire CTS_6;
+   wire CTS_5;
+   wire CTS_4;
+   wire CTS_3;
+   wire CTS_2;
+   wire CTS_1;
+   wire FE_DBTN130_n_49807;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN129_n_92 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ;
+   wire FE_DBTN124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39;
+   wire FE_DBTN123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29;
+   wire FE_DBTN122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2;
+   wire FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20;
+   wire FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14;
+   wire FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12;
+   wire FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27;
+   wire FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19;
+   wire FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18;
+   wire FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16;
+   wire FE_DBTN114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1;
+   wire FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1;
+   wire FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1;
+   wire FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9;
+   wire FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28;
+   wire FE_DBTN109_n_68887;
+   wire FE_DBTN108_n_46794;
+   wire FE_DBTN107_n_51159;
+   wire FE_DBTN106_n_53427;
+   wire FE_DBTN105_n_49226;
+   wire FE_DBTN104_n_52508;
+   wire FE_DBTN103_n_74843;
+   wire FE_DBTN102_n_55797;
+   wire FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050;
+   wire FE_DBTN100_n_70076;
+   wire FE_DBTN99_soc_top_u_spi_host_spi_host_tip;
+   wire FE_DBTN98_n_1242;
+   wire FE_DBTN97_n_13603;
+   wire FE_DBTN96_n_57342;
+   wire FE_DBTN95_n_63318;
+   wire FE_DBTN94_n_30956;
+   wire FE_DBTN93_n_74852;
+   wire FE_DBTN92_n_49646;
+   wire FE_DBTN91_n_15483;
+   wire FE_DBTN90_n_15569;
+   wire FE_DBTN89_n_16220;
+   wire FE_DBTN88_n_16623;
+   wire FE_DBTN87_n_16940;
+   wire FE_DBTN86_n_47526;
+   wire FE_DBTN85_n_53112;
+   wire FE_DBTN84_n_53113;
+   wire FE_DBTN83_n_69234;
+   wire FE_DBTN82_n_59754;
+   wire FE_DBTN81_n_17561;
+   wire FE_DBTN80_n_39663;
+   wire FE_DBTN79_n_19051;
+   wire FE_DBTN78_n_29681;
+   wire FE_DBTN77_n_23711;
+   wire FE_DBTN76_n_70132;
+   wire FE_DBTN75_n_26984;
+   wire FE_DBTN74_n_29691;
+   wire FE_DBTN73_n_31180;
+   wire FE_DBTN72_n_31641;
+   wire FE_DBTN71_n_69235;
+   wire FE_DBTN70_n_55879;
+   wire FE_DBTN69_n_65405;
+   wire FE_DBTN68_n_74851;
+   wire FE_DBTN67_n_44490;
+   wire FE_DBTN66_n_35437;
+   wire FE_DBTN65_n_50154;
+   wire FE_DBTN64_n_50136;
+   wire FE_DBTN63_n_56699;
+   wire FE_DBTN62_n_50512;
+   wire FE_DBTN61_n_44740;
+   wire FE_DBTN60_n_58959;
+   wire FE_DBTN59_n_46648;
+   wire FE_DBTN58_n_48855;
+   wire FE_DBTN57_n_73965;
+   wire FE_DBTN56_n_49767;
+   wire FE_DBTN55_n_54967;
+   wire FE_DBTN54_n_72084;
+   wire FE_DBTN53_n_49347;
+   wire FE_DBTN52_n_55103;
+   wire FE_DBTN51_n_52672;
+   wire FE_DBTN50_n_74579;
+   wire FE_DBTN49_n_72075;
+   wire FE_DBTN48_n_51233;
+   wire FE_DBTN47_n_66052;
+   wire FE_DBTN46_n_66808;
+   wire FE_DBTN45_n_51788;
+   wire FE_DBTN44_n_52217;
+   wire FE_DBTN43_n_52455;
+   wire FE_DBTN42_n_52962;
+   wire FE_DBTN41_n_55284;
+   wire FE_DBTN40_n_57952;
+   wire FE_DBTN39_n_53218;
+   wire FE_DBTN38_n_68877;
+   wire FE_DBTN37_n_54235;
+   wire FE_DBTN36_n_57301;
+   wire FE_DBTN35_n_54412;
+   wire FE_DBTN34_n_54651;
+   wire FE_DBTN33_n_65995;
+   wire FE_DBTN32_n_54993;
+   wire FE_DBTN31_n_56725;
+   wire FE_DBTN30_n_56013;
+   wire FE_DBTN29_n_55918;
+   wire FE_DBTN28_n_73723;
+   wire FE_DBTN27_n_56862;
+   wire FE_DBTN26_n_69241;
+   wire FE_DBTN25_n_57340;
+   wire FE_DBTN24_n_71054;
+   wire FE_DBTN23_n_57443;
+   wire FE_DBTN22_n_58069;
+   wire FE_DBTN21_n_69209;
+   wire FE_DBTN20_n_58706;
+   wire FE_DBTN19_n_58978;
+   wire FE_DBTN18_n_61279;
+   wire FE_DBTN17_n_70953;
+   wire FE_DBTN16_n_74792;
+   wire FE_DBTN15_n_65952;
+   wire FE_DBTN14_n_66542;
+   wire FE_DBTN13_n_68403;
+   wire FE_DBTN12_n_68911;
+   wire FE_DBTN11_n_68980;
+   wire FE_DBTN10_n_69243;
+   wire FE_DBTN9_n_69283;
+   wire FE_DBTN8_n_69488;
+   wire FE_DBTN7_n_69836;
+   wire FE_DBTN6_n_75245;
+   wire FE_DBTN5_n_70976;
+   wire FE_DBTN4_n_71059;
+   wire FE_DBTN3_n_71086;
+   wire FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465;
+   wire FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480;
+   wire FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486;
+   wire [36:0] soc_top_intr_controller_ip;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio35][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio34][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio32][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio31][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio30][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio29][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio28][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio27][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio26][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio25][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio24][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio23][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio22][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio21][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio20][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio19][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio18][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio17][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio16][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio15][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio14][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio13][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio12][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio11][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio10][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio9][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio8][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio7][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio6][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio5][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio4][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio3][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio2][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio1][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[threshold0][q] ;
+   wire [6:0] \soc_top_intr_controller_irq_id_o[0] ;
+   wire [31:0] soc_top_data_wdata;
+   wire [31:0] soc_top_data_rdata;
+   wire [7:0] soc_top_rx_byte_i;
+   wire [11:0] soc_top_iccm_ctrl_addr_o;
+   wire [31:0] soc_top_iccm_ctrl_data;
+   wire [31:0] soc_top_instr_wdata;
+   wire [31:0] soc_top_instr_rdata;
+   wire [3:0] \soc_top_xbar_to_timer[a_mask] ;
+   wire [15:0] soc_top_u_spi_host_spi_host_ctrl;
+   wire [31:0] \soc_top_xbar_to_timer[a_data] ;
+   wire [31:0] soc_top_u_spi_host_spi_host_rx;
+   wire [75:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count ;
+   wire [31:0] soc_top_u_top_u_core_instr_rdata_id;
+   wire [31:0] soc_top_u_top_u_core_instr_rdata_alu_id;
+   wire [4:0] soc_top_u_top_u_core_rf_raddr_b;
+   wire [15:0] soc_top_u_top_u_core_instr_rdata_c_id;
+   wire [1:0] soc_top_u_top_u_core_priv_mode_id;
+   wire [2:0] soc_top_u_top_u_core_pc_mux_id;
+   wire [1:0] soc_top_u_top_u_core_exc_pc_mux_id;
+   wire [5:0] soc_top_u_top_u_core_exc_cause;
+   wire [2:0] soc_top_u_top_u_core_debug_cause;
+   wire [31:0] soc_top_u_top_u_core_csr_mtval;
+   wire [2:0] soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec;
+   wire [1:0] soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel;
+   wire [2:0] soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel;
+   wire [5:0] soc_top_u_top_u_core_alu_operator_ex;
+   wire [1:0] soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec;
+   wire [1:0] soc_top_u_top_u_core_multdiv_operator_ex;
+   wire [1:0] soc_top_u_top_u_core_multdiv_signed_mode_ex;
+   wire [1:0] soc_top_u_top_u_core_csr_op;
+   wire [1:0] soc_top_u_top_u_core_lsu_type;
+   wire [3:0] soc_top_u_top_u_core_fp_alu_operator;
+   wire [2:0] soc_top_u_top_u_core_fp_src_fmt;
+   wire [15:0] soc_top_u_uart_u_uart_core_control;
+   wire [7:0] soc_top_u_uart_u_uart_core_rx;
+   wire [8:0] soc_top_u_uart_u_uart_core_tx_fifo_data;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b ;
+   wire [4:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes ;
+   wire [2:0] soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q;
+   wire [56:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP ;
+   wire [2:0] soc_top_main_swith_host_lsu_err_resp_err_opcode;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata;
+   wire [31:0] \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] ;
+   wire [31:0] soc_top_u_top_u_core_pc_if;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [12:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] ;
+   wire [2:0] soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs;
+   wire [2:0] soc_top_main_swith_host_lsu_dev_select_outstanding;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [2:0] soc_top_main_swith_host_lsu_dev_select_t;
+   wire [31:0] \soc_top_lsu_to_xbar[a_address] ;
+   wire [31:0] soc_top_u_top_u_core_alu_adder_result_ex;
+   wire [11:0] soc_top_u_top_u_core_csr_addr;
+   wire [31:0] \soc_top_u_top_u_core_fp_operands[0] ;
+   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_data_type_q;
+   wire [31:0] soc_top_u_top_u_core_alu_operand_b_ex;
+   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q ;
+   wire [31:8] soc_top_u_top_u_core_load_store_unit_i_rdata_q;
+   wire [32:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q ;
+   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[0] ;
+   wire [31:0] soc_top_u_top_u_core_lsu_addr_last;
+   wire [31:0] soc_top_u_top_u_core_pc_id;
+   wire [31:0] soc_top_u_top_u_core_rf_wdata_fwd_wb;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [4:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] ;
+   wire [31:0] \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [31:0] \soc_top_plic_resp[d_data] ;
+   wire [31:0] \soc_top_gpio_to_xbarp[d_data] ;
+   wire [31:0] \soc_top_timer_to_xbar[d_data] ;
+   wire [31:0] \soc_top_spi_to_xbar[d_data] ;
+   wire [31:0] \soc_top_uart_to_xbar[d_data] ;
+   wire [31:0] \soc_top_pwm_to_xbar[d_data] ;
+   wire [1:0] soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q;
+   wire [16:0] soc_top_main_swith_host_lsu_num_req_outstanding;
+   wire [2:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q ;
+   wire [1:0] \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q ;
+   wire [2:0] \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [4:0] soc_top_u_top_u_core_rf_waddr_wb;
+   wire [2:0] soc_top_u_top_u_core_fp_frm_csr;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q ;
+   wire [2:0] \soc_top_uart_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_pwm_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_plic_resp[d_opcode] ;
+   wire [2:0] \soc_top_spi_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_timer_to_xbar[d_opcode] ;
+   wire [2:0] \soc_top_gpio_to_xbarp[d_opcode] ;
+   wire [17:0] soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q;
+   wire [31:0] \soc_top_u_top_u_core_fp_operands[2] ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant ;
+   wire [31:0] soc_top_u_top_u_core_lsu_wdata;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP ;
+   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D ;
+   wire [52:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] ;
+   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q ;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] ;
+   wire [2:0] soc_top_u_top_u_core_fp_frm_fpnew;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] ;
+   wire [31:0] \soc_top_xbar_to_timer[a_address] ;
+   wire [31:0] soc_top_GPIO_data_in_q;
+   wire [31:0] soc_top_intr_gpio;
+   wire [31:0] soc_top_GPIO_u_reg_data_in_qs;
+   wire [36:0] soc_top_intr_controller_u_gateway_src_q;
+   wire [31:0] soc_top_intr_controller_u_reg_reg_rdata_next;
+   wire [31:0] soc_top_u_spi_host_rdata;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] ;
+   wire [2:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q;
+   wire [31:0] \soc_top_iccm_to_xbar[d_data] ;
+   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] ;
+   wire [32:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] ;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q;
+   wire [11:0] soc_top_instr_addr;
+   wire [31:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr ;
+   wire [5:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a ;
+   wire [15:0] soc_top_u_spi_host_spi_host_clgen_cnt;
+   wire [31:0] \soc_top_dccm_adapter_data_mem_wmask_int[0] ;
+   wire [15:0] soc_top_u_spi_host_spi_host_divider;
+   wire [3:0] soc_top_instr_wmask;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q;
+   wire [1:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] ;
+   wire [31:0] soc_top_u_top_u_core_csr_mepc;
+   wire [31:0] \soc_top_iccm_adapter_inst_mem_rdata[0] ;
+   wire [3:0] soc_top_u_spi_host_spi_host_ss;
+   wire [31:0] soc_top_u_top_u_core_csr_mtvec;
+   wire [31:0] gpio_o;
+   wire [31:0] soc_top_GPIO_cio_gpio_en_q;
+   wire [11:0] soc_top_data_addr;
+   wire [2:0] soc_top_u_pwm_pwm_core_ctrl_2;
+   wire [2:0] soc_top_u_pwm_pwm_core_ctrl;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] ;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy;
+   wire [11:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs ;
+   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] ;
+   wire [4:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] ;
+   wire [12:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [1:0] \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr ;
+   wire [31:0] \soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_state][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_enable][q] ;
+   wire [31:0] soc_top_GPIO_cio_gpio_q;
+   wire [3:0] \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q ;
+   wire [3:0] \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q ;
+   wire [31:0] soc_top_GPIO_u_reg_ctrl_en_input_filter_qs;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] ;
+   wire [31:0] \soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] ;
+   wire [36:0] soc_top_intr_controller_u_gateway_ia;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio0][q] ;
+   wire [1:0] \soc_top_intr_controller_reg2hw[prio33][q] ;
+   wire [11:0] \soc_top_timer0_gen_harts[0].u_core_tick_count ;
+   wire [11:0] \soc_top_timer0_reg2hw[cfg0][prescale][q] ;
+   wire [7:0] \soc_top_timer0_reg2hw[cfg0][step][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[compare_lower0_0][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[compare_upper0_0][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[timer_v_lower0][q] ;
+   wire [31:0] \soc_top_timer0_reg2hw[timer_v_upper0][q] ;
+   wire [15:0] soc_top_u_pwm_pwm_core_DC_1;
+   wire [15:0] soc_top_u_pwm_pwm_core_DC_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_counter_p1;
+   wire [15:0] soc_top_u_pwm_pwm_core_counter_p2;
+   wire [15:0] soc_top_u_pwm_pwm_core_divisor_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_divisor;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_2;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_counter1;
+   wire [15:0] soc_top_u_pwm_pwm_core_period_counter2;
+   wire [15:0] soc_top_u_pwm_pwm_core_period;
+   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] ;
+   wire [63:0] \soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] ;
+   wire [1:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] ;
+   wire [2:0] \soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] ;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch0_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_dscratch1_q;
+   wire [5:0] soc_top_u_top_u_core_cs_registers_i_mcause_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mscratch_q;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_csr_rdata_int;
+   wire [31:0] soc_top_u_top_u_core_cs_registers_i_mtval_q;
+   wire [4:0] \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q ;
+   wire [31:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q ;
+   wire [12:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D ;
+   wire [2:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S ;
+   wire [33:0] \soc_top_u_top_u_core_imd_val_q_ex[1] ;
+   wire [1:0] soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] ;
+   wire [31:0] \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] ;
+   wire [31:0] soc_top_u_top_u_core_pc_wb;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] ;
+   wire [8:0] \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] ;
+   wire [8:0] soc_top_u_uart_u_uart_core_read_fifo_raddr;
+   wire [8:0] soc_top_u_uart_u_uart_core_rx_buffer_size;
+   wire [1:0] soc_top_u_uart_u_uart_core_rx_time_state_reg;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_time_rx_time;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_time_rx_timeout;
+   wire [31:0] soc_top_u_uart_u_uart_core_rx_timeout;
+   wire [30:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs ;
+   wire [57:0] \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] ;
+   wire UNCONNECTED;
+   wire UNCONNECTED0;
+   wire UNCONNECTED1;
+   wire UNCONNECTED2;
+   wire UNCONNECTED3;
+   wire UNCONNECTED4;
+   wire UNCONNECTED5;
+   wire UNCONNECTED6;
+   wire UNCONNECTED7;
+   wire UNCONNECTED8;
+   wire UNCONNECTED9;
+   wire UNCONNECTED10;
+   wire UNCONNECTED11;
+   wire UNCONNECTED12;
+   wire UNCONNECTED13;
+   wire UNCONNECTED14;
+   wire UNCONNECTED15;
+   wire UNCONNECTED16;
+   wire UNCONNECTED17;
+   wire UNCONNECTED18;
+   wire UNCONNECTED19;
+   wire UNCONNECTED20;
+   wire UNCONNECTED21;
+   wire UNCONNECTED22;
+   wire UNCONNECTED23;
+   wire UNCONNECTED24;
+   wire UNCONNECTED25;
+   wire UNCONNECTED26;
+   wire UNCONNECTED27;
+   wire UNCONNECTED28;
+   wire UNCONNECTED29;
+   wire UNCONNECTED30;
+   wire UNCONNECTED31;
+   wire UNCONNECTED32;
+   wire UNCONNECTED33;
+   wire UNCONNECTED34;
+   wire UNCONNECTED35;
+   wire UNCONNECTED36;
+   wire UNCONNECTED37;
+   wire UNCONNECTED38;
+   wire UNCONNECTED39;
+   wire UNCONNECTED40;
+   wire UNCONNECTED41;
+   wire UNCONNECTED42;
+   wire UNCONNECTED43;
+   wire UNCONNECTED44;
+   wire UNCONNECTED45;
+   wire UNCONNECTED46;
+   wire UNCONNECTED47;
+   wire UNCONNECTED48;
+   wire UNCONNECTED49;
+   wire UNCONNECTED50;
+   wire UNCONNECTED51;
+   wire UNCONNECTED52;
+   wire UNCONNECTED53;
+   wire UNCONNECTED54;
+   wire UNCONNECTED55;
+   wire UNCONNECTED56;
+   wire UNCONNECTED57;
+   wire UNCONNECTED58;
+   wire UNCONNECTED59;
+   wire UNCONNECTED60;
+   wire UNCONNECTED61;
+   wire UNCONNECTED62;
+   wire UNCONNECTED311;
+   wire UNCONNECTED312;
+   wire UNCONNECTED313;
+   wire UNCONNECTED314;
+   wire UNCONNECTED315;
+   wire UNCONNECTED316;
+   wire UNCONNECTED317;
+   wire UNCONNECTED318;
+   wire UNCONNECTED319;
+   wire UNCONNECTED320;
+   wire UNCONNECTED321;
+   wire UNCONNECTED322;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_3;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_11;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_63;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_68;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_86;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_87;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_92;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_104;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_137;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_144;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_152;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_156;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_162;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_165;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_167;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_169;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_173;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_174;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_175;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_180;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_186;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_187;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_193;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_196;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_207;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_220;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_221;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_228;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_235;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_241;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_242;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_243;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_251;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_256;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_257;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_258;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_259;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_286;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_290;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_300;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_301;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_303;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_305;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_307;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_318;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_326;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_333;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_335;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_336;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_338;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_339;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_341;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_342;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_344;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_348;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_349;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_350;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_351;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_358;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_403;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_404;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_439;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_472;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_473;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_477;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_478;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_479;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_490;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_495;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_498;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_506;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_531;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_532;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_533;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_548;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_586;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_587;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_588;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_593;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_594;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_597;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_598;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_601;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_604;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_610;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_615;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_616;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_617;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_618;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_670;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_691;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_692;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_715;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_731;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_737;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_741;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_745;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_752;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_757;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_758;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_761;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_765;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_769;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_771;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_776;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_778;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_779;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_780;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_781;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_786;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_787;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_789;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_792;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_793;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_794;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_795;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_797;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_798;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_800;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_801;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_803;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_804;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_806;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_808;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_809;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_810;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_811;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_812;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_814;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_816;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_817;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_820;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_827;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_829;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_834;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_845;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_846;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_860;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_865;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_867;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_874;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_875;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_883;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_885;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_916;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_925;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_931;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_950;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_954;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_967;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1025;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1037;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1047;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1090;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1107;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1184;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1188;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1208;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1228;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1233;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1280;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1295;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1296;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1309;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1315;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1323;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1325;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1330;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1332;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1335;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1339;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1346;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1348;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1350;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1353;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1356;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1358;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1361;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1363;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1365;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1545;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1547;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1548;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1557;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1564;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1565;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1566;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1567;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1570;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1576;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1620;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1631;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1632;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1633;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1634;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1635;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1636;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1637;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1638;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1639;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1640;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1641;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1642;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1643;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1644;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1645;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1646;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1654;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1666;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1679;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1680;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1681;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1682;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1683;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1692;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1699;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1701;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1704;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1705;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1710;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1713;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1718;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1720;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1722;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1732;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1742;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1751;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1758;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1761;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1765;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1766;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1782;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1783;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1787;
+   wire WALLACE_CSA_DUMMY_OP_groupi_n_1794;
+   wire addinc_ADD_UNS_OP_2_n_6;
+   wire addinc_ADD_UNS_OP_2_n_10;
+   wire addinc_ADD_UNS_OP_2_n_18;
+   wire addinc_ADD_UNS_OP_2_n_38;
+   wire addinc_ADD_UNS_OP_2_n_47;
+   wire addinc_ADD_UNS_OP_2_n_51;
+   wire addinc_ADD_UNS_OP_2_n_60;
+   wire addinc_ADD_UNS_OP_2_n_62;
+   wire addinc_ADD_UNS_OP_2_n_64;
+   wire addinc_ADD_UNS_OP_2_n_65;
+   wire addinc_ADD_UNS_OP_2_n_72;
+   wire addinc_ADD_UNS_OP_2_n_74;
+   wire addinc_ADD_UNS_OP_2_n_81;
+   wire addinc_ADD_UNS_OP_2_n_100;
+   wire addinc_ADD_UNS_OP_2_n_113;
+   wire addinc_ADD_UNS_OP_2_n_114;
+   wire addinc_ADD_UNS_OP_2_n_121;
+   wire addinc_ADD_UNS_OP_2_n_135;
+   wire addinc_ADD_UNS_OP_2_n_163;
+   wire addinc_ADD_UNS_OP_2_n_164;
+   wire addinc_ADD_UNS_OP_2_n_167;
+   wire addinc_ADD_UNS_OP_2_n_174;
+   wire addinc_ADD_UNS_OP_2_n_185;
+   wire addinc_ADD_UNS_OP_2_n_188;
+   wire addinc_ADD_UNS_OP_2_n_190;
+   wire addinc_ADD_UNS_OP_2_n_195;
+   wire addinc_ADD_UNS_OP_2_n_198;
+   wire addinc_ADD_UNS_OP_2_n_213;
+   wire addinc_ADD_UNS_OP_2_n_214;
+   wire addinc_ADD_UNS_OP_2_n_219;
+   wire addinc_ADD_UNS_OP_2_n_220;
+   wire addinc_ADD_UNS_OP_2_n_245;
+   wire addinc_ADD_UNS_OP_2_n_251;
+   wire addinc_ADD_UNS_OP_2_n_272;
+   wire addinc_ADD_UNS_OP_2_n_291;
+   wire addinc_ADD_UNS_OP_2_n_303;
+   wire addinc_ADD_UNS_OP_2_n_307;
+   wire addinc_ADD_UNS_OP_2_n_321;
+   wire addinc_ADD_UNS_OP_2_n_327;
+   wire addinc_ADD_UNS_OP_2_n_332;
+   wire addinc_ADD_UNS_OP_2_n_335;
+   wire addinc_ADD_UNS_OP_2_n_339;
+   wire addinc_ADD_UNS_OP_2_n_340;
+   wire addinc_ADD_UNS_OP_2_n_342;
+   wire addinc_ADD_UNS_OP_2_n_348;
+   wire addinc_ADD_UNS_OP_2_n_352;
+   wire addinc_ADD_UNS_OP_2_n_357;
+   wire addinc_ADD_UNS_OP_2_n_375;
+   wire addinc_ADD_UNS_OP_2_n_378;
+   wire addinc_ADD_UNS_OP_2_n_382;
+   wire addinc_ADD_UNS_OP_2_n_387;
+   wire addinc_ADD_UNS_OP_2_n_417;
+   wire addinc_ADD_UNS_OP_2_n_420;
+   wire addinc_ADD_UNS_OP_2_n_422;
+   wire addinc_ADD_UNS_OP_2_n_434;
+   wire addinc_ADD_UNS_OP_2_n_447;
+   wire addinc_ADD_UNS_OP_2_n_449;
+   wire addinc_ADD_UNS_OP_2_n_450;
+   wire addinc_ADD_UNS_OP_2_n_462;
+   wire addinc_ADD_UNS_OP_2_n_467;
+   wire addinc_ADD_UNS_OP_2_n_476;
+   wire addinc_ADD_UNS_OP_2_n_478;
+   wire addinc_ADD_UNS_OP_2_n_479;
+   wire addinc_ADD_UNS_OP_2_n_480;
+   wire addinc_ADD_UNS_OP_2_n_485;
+   wire addinc_ADD_UNS_OP_2_n_490;
+   wire addinc_ADD_UNS_OP_2_n_494;
+   wire addinc_ADD_UNS_OP_2_n_497;
+   wire addinc_ADD_UNS_OP_2_n_500;
+   wire addinc_ADD_UNS_OP_2_n_502;
+   wire addinc_ADD_UNS_OP_2_n_505;
+   wire addinc_ADD_UNS_OP_2_n_507;
+   wire addinc_ADD_UNS_OP_2_n_508;
+   wire addinc_ADD_UNS_OP_2_n_509;
+   wire addinc_ADD_UNS_OP_2_n_528;
+   wire addinc_ADD_UNS_OP_2_n_531;
+   wire addinc_ADD_UNS_OP_2_n_532;
+   wire addinc_ADD_UNS_OP_2_n_553;
+   wire addinc_ADD_UNS_OP_2_n_554;
+   wire addinc_ADD_UNS_OP_2_n_562;
+   wire addinc_ADD_UNS_OP_2_n_567;
+   wire addinc_ADD_UNS_OP_2_n_572;
+   wire addinc_ADD_UNS_OP_2_n_575;
+   wire addinc_ADD_UNS_OP_2_n_578;
+   wire addinc_ADD_UNS_OP_2_n_585;
+   wire addinc_ADD_UNS_OP_2_n_588;
+   wire addinc_ADD_UNS_OP_2_n_590;
+   wire addinc_ADD_UNS_OP_2_n_592;
+   wire addinc_ADD_UNS_OP_2_n_594;
+   wire addinc_ADD_UNS_OP_2_n_596;
+   wire addinc_ADD_UNS_OP_2_n_597;
+   wire addinc_ADD_UNS_OP_2_n_600;
+   wire addinc_ADD_UNS_OP_2_n_601;
+   wire addinc_ADD_UNS_OP_2_n_603;
+   wire addinc_ADD_UNS_OP_2_n_606;
+   wire addinc_ADD_UNS_OP_2_n_607;
+   wire addinc_ADD_UNS_OP_2_n_610;
+   wire addinc_ADD_UNS_OP_2_n_612;
+   wire addinc_ADD_UNS_OP_2_n_613;
+   wire addinc_ADD_UNS_OP_2_n_615;
+   wire addinc_ADD_UNS_OP_2_n_617;
+   wire addinc_ADD_UNS_OP_2_n_620;
+   wire addinc_ADD_UNS_OP_2_n_652;
+   wire addinc_ADD_UNS_OP_2_n_667;
+   wire addinc_ADD_UNS_OP_2_n_671;
+   wire addinc_ADD_UNS_OP_2_n_678;
+   wire addinc_ADD_UNS_OP_2_n_713;
+   wire addinc_ADD_UNS_OP_2_n_720;
+   wire addinc_ADD_UNS_OP_2_n_725;
+   wire addinc_ADD_UNS_OP_2_n_726;
+   wire addinc_ADD_UNS_OP_2_n_731;
+   wire addinc_ADD_UNS_OP_2_n_735;
+   wire addinc_ADD_UNS_OP_2_n_762;
+   wire addinc_ADD_UNS_OP_2_n_765;
+   wire addinc_ADD_UNS_OP_2_n_781;
+   wire addinc_ADD_UNS_OP_2_n_785;
+   wire addinc_ADD_UNS_OP_2_n_786;
+   wire addinc_ADD_UNS_OP_2_n_790;
+   wire addinc_ADD_UNS_OP_2_n_805;
+   wire addinc_ADD_UNS_OP_2_n_808;
+   wire addinc_ADD_UNS_OP_2_n_809;
+   wire addinc_ADD_UNS_OP_2_n_811;
+   wire addinc_ADD_UNS_OP_2_n_812;
+   wire addinc_ADD_UNS_OP_2_n_818;
+   wire addinc_ADD_UNS_OP_2_n_821;
+   wire addinc_ADD_UNS_OP_2_n_824;
+   wire addinc_ADD_UNS_OP_2_n_827;
+   wire addinc_ADD_UNS_OP_2_n_829;
+   wire addinc_ADD_UNS_OP_2_n_831;
+   wire addinc_ADD_UNS_OP_2_n_832;
+   wire addinc_ADD_UNS_OP_2_n_833;
+   wire addinc_ADD_UNS_OP_2_n_837;
+   wire addinc_ADD_UNS_OP_2_n_839;
+   wire addinc_ADD_UNS_OP_2_n_842;
+   wire addinc_ADD_UNS_OP_2_n_844;
+   wire addinc_ADD_UNS_OP_2_n_847;
+   wire addinc_ADD_UNS_OP_2_n_848;
+   wire addinc_ADD_UNS_OP_2_n_855;
+   wire addinc_ADD_UNS_OP_2_n_858;
+   wire addinc_ADD_UNS_OP_2_n_859;
+   wire addinc_ADD_UNS_OP_2_n_860;
+   wire addinc_ADD_UNS_OP_2_n_861;
+   wire addinc_ADD_UNS_OP_2_n_862;
+   wire addinc_ADD_UNS_OP_2_n_868;
+   wire addinc_ADD_UNS_OP_2_n_870;
+   wire addinc_ADD_UNS_OP_2_n_871;
+   wire addinc_ADD_UNS_OP_2_n_897;
+   wire addinc_ADD_UNS_OP_2_n_908;
+   wire addinc_ADD_UNS_OP_2_n_909;
+   wire addinc_ADD_UNS_OP_2_n_910;
+   wire addinc_ADD_UNS_OP_2_n_913;
+   wire addinc_ADD_UNS_OP_2_n_916;
+   wire addinc_ADD_UNS_OP_2_n_917;
+   wire addinc_ADD_UNS_OP_2_n_925;
+   wire addinc_ADD_UNS_OP_2_n_933;
+   wire addinc_ADD_UNS_OP_2_n_944;
+   wire addinc_ADD_UNS_OP_2_n_945;
+   wire addinc_ADD_UNS_OP_2_n_955;
+   wire addinc_ADD_UNS_OP_2_n_975;
+   wire addinc_ADD_UNS_OP_2_n_977;
+   wire addinc_ADD_UNS_OP_2_n_978;
+   wire addinc_ADD_UNS_OP_2_n_980;
+   wire addinc_ADD_UNS_OP_2_n_983;
+   wire addinc_ADD_UNS_OP_2_n_1010;
+   wire addinc_ADD_UNS_OP_2_n_1011;
+   wire addinc_ADD_UNS_OP_2_n_1013;
+   wire addinc_ADD_UNS_OP_2_n_1018;
+   wire addinc_ADD_UNS_OP_2_n_1021;
+   wire addinc_ADD_UNS_OP_2_n_1023;
+   wire addinc_ADD_UNS_OP_2_n_1024;
+   wire addinc_ADD_UNS_OP_2_n_1025;
+   wire addinc_ADD_UNS_OP_2_n_1028;
+   wire addinc_ADD_UNS_OP_2_n_1031;
+   wire addinc_ADD_UNS_OP_2_n_1032;
+   wire addinc_ADD_UNS_OP_2_n_1037;
+   wire addinc_ADD_UNS_OP_2_n_1059;
+   wire addinc_ADD_UNS_OP_2_n_1066;
+   wire addinc_ADD_UNS_OP_2_n_1069;
+   wire addinc_ADD_UNS_OP_2_n_1077;
+   wire addinc_ADD_UNS_OP_2_n_1087;
+   wire addinc_ADD_UNS_OP_2_n_1091;
+   wire addinc_ADD_UNS_OP_2_n_1092;
+   wire addinc_ADD_UNS_OP_2_n_1094;
+   wire addinc_ADD_UNS_OP_2_n_1098;
+   wire addinc_ADD_UNS_OP_2_n_1099;
+   wire addinc_ADD_UNS_OP_2_n_1120;
+   wire addinc_ADD_UNS_OP_2_n_1121;
+   wire addinc_ADD_UNS_OP_2_n_1122;
+   wire addinc_ADD_UNS_OP_2_n_1123;
+   wire addinc_ADD_UNS_OP_2_n_1124;
+   wire addinc_ADD_UNS_OP_2_n_1125;
+   wire addinc_ADD_UNS_OP_2_n_1126;
+   wire addinc_ADD_UNS_OP_2_n_1152;
+   wire addinc_ADD_UNS_OP_2_n_1155;
+   wire addinc_ADD_UNS_OP_2_n_1157;
+   wire addinc_ADD_UNS_OP_2_n_1160;
+   wire addinc_ADD_UNS_OP_2_n_1161;
+   wire addinc_ADD_UNS_OP_2_n_1162;
+   wire addinc_ADD_UNS_OP_2_n_1180;
+   wire addinc_ADD_UNS_OP_2_n_1181;
+   wire addinc_ADD_UNS_OP_2_n_1182;
+   wire addinc_ADD_UNS_OP_2_n_1193;
+   wire addinc_ADD_UNS_OP_2_n_1194;
+   wire addinc_ADD_UNS_OP_2_n_1197;
+   wire addinc_ADD_UNS_OP_2_n_1203;
+   wire addinc_ADD_UNS_OP_2_n_1204;
+   wire addinc_ADD_UNS_OP_2_n_1205;
+   wire addinc_ADD_UNS_OP_2_n_1209;
+   wire addinc_ADD_UNS_OP_2_n_1210;
+   wire addinc_ADD_UNS_OP_2_n_1212;
+   wire addinc_ADD_UNS_OP_2_n_1213;
+   wire addinc_ADD_UNS_OP_2_n_1214;
+   wire addinc_ADD_UNS_OP_2_n_1217;
+   wire addinc_ADD_UNS_OP_2_n_1237;
+   wire addinc_ADD_UNS_OP_2_n_1259;
+   wire addinc_ADD_UNS_OP_2_n_1262;
+   wire addinc_ADD_UNS_OP_2_n_1293;
+   wire addinc_ADD_UNS_OP_2_n_1307;
+   wire addinc_ADD_UNS_OP_2_n_1309;
+   wire addinc_ADD_UNS_OP_2_n_1332;
+   wire addinc_ADD_UNS_OP_2_n_1336;
+   wire addinc_ADD_UNS_OP_2_n_1342;
+   wire addinc_ADD_UNS_OP_2_n_1353;
+   wire addinc_ADD_UNS_OP_2_n_1362;
+   wire addinc_ADD_UNS_OP_2_n_1366;
+   wire addinc_ADD_UNS_OP_2_n_1384;
+   wire addinc_ADD_UNS_OP_2_n_1393;
+   wire addinc_ADD_UNS_OP_2_n_1394;
+   wire addinc_ADD_UNS_OP_2_n_1395;
+   wire addinc_ADD_UNS_OP_2_n_1396;
+   wire addinc_ADD_UNS_OP_2_n_1397;
+   wire addinc_ADD_UNS_OP_2_n_1398;
+   wire addinc_ADD_UNS_OP_2_n_1399;
+   wire addinc_ADD_UNS_OP_2_n_1400;
+   wire addinc_ADD_UNS_OP_2_n_1404;
+   wire addinc_ADD_UNS_OP_2_n_1405;
+   wire addinc_ADD_UNS_OP_2_n_1424;
+   wire addinc_ADD_UNS_OP_2_n_1425;
+   wire addinc_ADD_UNS_OP_2_n_1426;
+   wire addinc_ADD_UNS_OP_2_n_1427;
+   wire addinc_ADD_UNS_OP_2_n_1428;
+   wire addinc_ADD_UNS_OP_2_n_1429;
+   wire addinc_ADD_UNS_OP_2_n_1430;
+   wire addinc_ADD_UNS_OP_2_n_1431;
+   wire addinc_ADD_UNS_OP_2_n_1432;
+   wire addinc_ADD_UNS_OP_2_n_1433;
+   wire addinc_ADD_UNS_OP_2_n_1434;
+   wire addinc_ADD_UNS_OP_2_n_1438;
+   wire addinc_ADD_UNS_OP_2_n_1442;
+   wire addinc_ADD_UNS_OP_2_n_1467;
+   wire addinc_ADD_UNS_OP_2_n_1474;
+   wire addinc_ADD_UNS_OP_2_n_1475;
+   wire addinc_ADD_UNS_OP_2_n_1476;
+   wire addinc_ADD_UNS_OP_2_n_1494;
+   wire addinc_ADD_UNS_OP_2_n_1495;
+   wire addinc_ADD_UNS_OP_2_n_1504;
+   wire addinc_ADD_UNS_OP_2_n_1508;
+   wire addinc_ADD_UNS_OP_2_n_1685;
+   wire addinc_ADD_UNS_OP_2_n_1687;
+   wire addinc_ADD_UNS_OP_2_n_1689;
+   wire addinc_ADD_UNS_OP_2_n_1691;
+   wire addinc_ADD_UNS_OP_2_n_1697;
+   wire addinc_ADD_UNS_OP_2_n_1698;
+   wire addinc_ADD_UNS_OP_2_n_1719;
+   wire addinc_ADD_UNS_OP_2_n_1724;
+   wire addinc_ADD_UNS_OP_2_n_1726;
+   wire addinc_ADD_UNS_OP_2_n_1727;
+   wire addinc_ADD_UNS_OP_2_n_1730;
+   wire addinc_ADD_UNS_OP_2_n_1740;
+   wire addinc_ADD_UNS_OP_2_n_1743;
+   wire addinc_ADD_UNS_OP_2_n_1751;
+   wire addinc_ADD_UNS_OP_2_n_1752;
+   wire addinc_ADD_UNS_OP_2_n_1757;
+   wire addinc_ADD_UNS_OP_2_n_1759;
+   wire addinc_ADD_UNS_OP_2_n_1770;
+   wire addinc_ADD_UNS_OP_2_n_1771;
+   wire addinc_ADD_UNS_OP_2_n_1779;
+   wire addinc_ADD_UNS_OP_2_n_1790;
+   wire addinc_ADD_UNS_OP_2_n_1792;
+   wire addinc_ADD_UNS_OP_2_n_1804;
+   wire addinc_ADD_UNS_OP_2_n_1820;
+   wire addinc_ADD_UNS_OP_2_n_1826;
+   wire addinc_ADD_UNS_OP_2_n_1828;
+   wire addinc_ADD_UNS_OP_2_n_1837;
+   wire addinc_ADD_UNS_OP_2_n_1839;
+   wire addinc_ADD_UNS_OP_2_n_1855;
+   wire addinc_ADD_UNS_OP_2_n_1897;
+   wire addinc_ADD_UNS_OP_2_n_1956;
+   wire addinc_ADD_UNS_OP_2_n_1970;
+   wire addinc_ADD_UNS_OP_2_n_1980;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_245 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_249 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_252 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_260 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_262 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_264 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_268 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_275 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_276 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_280 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_284 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_285 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_292 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_293 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_297 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_298 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_299 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_308 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_310 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_311 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_313 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_317 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_318 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_322 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_323 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_324 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_327 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_333 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_335 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_336 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_337 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_343 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_344 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_345 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_350 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_351 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_353 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_362 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_369 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_374 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_378 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_380 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_381 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_382 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_390 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_392 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_393 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_394 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_396 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_397 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_398 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_399 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_400 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_405 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_406 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_407 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_408 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_409 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_410 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_411 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_412 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_423 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_435 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_436 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_437 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_438 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_445 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_446 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_447 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_448 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_449 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_450 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_451 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_452 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_453 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_454 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_455 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_456 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_457 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_458 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_459 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_464 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_465 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_466 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_467 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_468 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_469 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_609 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_610 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_611 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_616 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_103 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_216 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_228 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_243 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_244 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_246 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_261 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_266 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_269 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_274 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_276 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_278 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_282 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_287 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_288 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_289 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_290 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_297 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_298 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_300 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_312 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_313 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_319 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_324 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_326 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_327 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_328 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_330 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_331 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_332 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_337 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_338 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_342 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_343 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_353 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_354 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_355 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_356 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_357 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_364 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_365 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_366 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_369 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_374 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_378 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_379 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_380 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_381 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_383 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_389 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_391 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_392 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_393 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_398 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_402 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_403 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_408 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_411 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_412 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_413 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_415 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_416 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_417 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_418 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_419 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_420 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_422 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_423 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_424 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_426 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_427 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_428 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_429 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_430 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_431 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_432 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_433 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_434 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_436 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_437 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_438 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_442 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_447 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_448 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_449 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_451 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_452 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_453 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_454 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_457 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_458 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_461 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_463 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_464 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_466 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_469 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_470 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_471 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_472 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_473 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_474 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_478 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_479 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_482 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_483 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_484 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_485 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_486 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_487 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_491 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_492 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_493 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_495 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_496 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_497 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_499 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_500 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_501 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_504 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_505 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_506 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_507 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_508 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_510 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_512 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_520 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_521 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_522 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_523 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_524 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_525 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_526 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_527 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_532 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_534 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_539 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_540 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_541 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_542 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_543 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_546 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_548 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_550 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_558 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_559 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_560 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_561 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_562 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_563 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_565 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_566 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_567 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_568 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_569 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_579 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_580 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_582 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_583 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_584 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_585 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_586 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_587 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_588 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_589 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_590 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_591 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_592 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_734 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_735 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_736 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_738 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_739 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_740 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_742 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_743 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_744 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_745 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_746 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_747 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_748 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_749 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_750 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_752 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_753 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_755 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_756 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_758 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_761 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_762 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_763 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_1 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_39 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_113 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_116 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_119 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_179 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_198 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_201 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_221 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_228 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_234 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_238 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_240 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_271 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_275 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_276 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_282 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_300 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_317 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_326 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_334 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_338 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_340 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_341 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_342 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_347 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_349 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_353 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_354 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_357 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_361 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_364 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_365 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_366 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_368 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_369 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_370 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_373 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_375 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_377 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_379 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_381 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_383 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_384 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_385 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_394 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_396 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_398 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_399 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_400 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_402 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_403 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_404 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_406 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_411 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_412 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_415 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_416 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_418 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_425 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_426 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_432 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_434 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_435 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_437 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_439 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_441 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_442 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_443 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_445 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_446 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_447 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_450 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_451 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_452 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_453 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_455 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_456 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_457 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_465 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_466 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_467 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_469 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_471 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_472 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_473 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_475 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_476 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_477 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_484 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_489 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_490 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_493 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_497 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_502 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_504 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_506 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_507 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_508 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_513 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_514 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_516 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_521 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_522 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_524 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_533 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_534 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_535 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_538 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_541 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_542 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_544 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_548 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_549 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_550 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_554 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_561 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_567 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_571 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_576 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_578 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_580 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_581 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_582 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_584 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_585 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_591 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_592 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_594 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_595 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_597 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_605 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_606 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_608 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_610 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_611 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_617 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_619 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_620 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_621 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_627 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_629 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_630 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_632 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_633 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_638 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_639 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_640 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_644 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_645 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_648 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_651 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_652 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_771 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_772 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_773 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_774 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_775 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_783 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_794 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_795 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_798 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_803 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_804 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_812 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_813 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_815 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_817 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_818 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_819 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_821 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_822 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_824 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_825 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_826 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_827 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_829 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_831 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_834 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_836 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_842 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_843 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_845 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_858 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_859 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_860 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_861 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_862 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_869 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_871 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_872 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_873 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_875 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_880 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_881 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_882 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_883 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_884 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_885 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_886 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_887 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_888 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_889 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_890 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_891 ;
+   wire \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_892 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_56 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_60 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_61 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_63 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_211 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_212 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_216 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_220 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_223 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_226 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_227 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_228 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_279 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_280 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_281 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_333 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_334 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_336 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_338 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_339 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_340 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_341 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_343 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_344 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_345 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_347 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_348 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_350 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_351 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_352 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_353 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_354 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_355 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_357 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_359 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_407 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_408 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_412 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_425 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_459 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_460 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_462 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_465 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_466 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_467 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_477 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_478 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_479 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_480 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_481 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_482 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_486 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_488 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_489 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_490 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_492 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_493 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_494 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_496 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_497 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_499 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_500 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_501 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_502 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_505 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_506 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_511 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_512 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_513 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_515 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_516 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_517 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_518 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_519 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_521 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_522 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_523 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_524 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_526 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_527 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_528 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_529 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_533 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_534 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_535 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_536 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_537 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_540 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_541 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_542 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_543 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_545 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_546 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_547 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_549 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_552 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_553 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_554 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_555 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_557 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_558 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_560 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_562 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_563 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_564 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_565 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_568 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_570 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_571 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_573 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_577 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_579 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_580 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_581 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_585 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_586 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_587 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_588 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_589 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_590 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_592 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_593 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_594 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_596 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_597 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_598 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_599 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_600 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_601 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_603 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_605 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_606 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_607 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_608 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_613 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_615 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_618 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_619 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_620 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_643 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_647 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_650 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_653 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_661 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_664 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_665 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_666 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_669 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_670 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_671 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_672 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_674 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_676 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_677 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_679 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_680 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_682 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_684 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_686 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_687 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_689 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_690 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_691 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_692 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_694 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_695 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_697 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_698 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_699 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_701 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_702 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_703 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_705 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_707 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_708 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_709 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_710 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_711 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_712 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_713 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_714 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_715 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_716 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_721 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_722 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_724 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_727 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_732 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_735 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_740 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_741 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_743 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_744 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_746 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_750 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_752 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_756 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_762 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_766 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_767 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_770 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_771 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_772 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_773 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_774 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_775 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_777 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_778 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_779 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_781 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_783 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_784 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_786 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_787 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_788 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_789 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_790 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_791 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_794 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_795 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_796 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_798 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_800 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_802 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_803 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_804 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_805 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_806 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_807 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_809 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_810 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_811 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_812 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_814 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_815 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_816 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_818 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_831 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_836 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_839 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_843 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_847 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_849 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_857 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_858 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_859 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_864 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_865 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_867 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_870 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_878 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_884 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_893 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_915 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_920 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_937 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_951 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_952 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_972 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_977 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_991 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_993 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_995 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_999 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1018 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1025 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1028 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1031 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1047 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1077 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1085 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1086 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1094 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1097 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1100 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1114 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1118 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1121 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1125 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1137 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1147 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1164 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1166 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1168 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1173 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1182 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1187 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1199 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1203 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1205 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1208 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1209 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1210 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1229 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1230 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1231 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1235 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1236 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1238 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1247 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1248 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1249 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1251 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1252 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1253 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1254 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1255 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1265 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1270 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1272 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1273 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1274 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1276 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1278 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1279 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1280 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1284 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1287 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1290 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1291 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1292 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1293 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1294 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1296 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1297 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1298 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1299 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1301 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1303 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1308 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1309 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1310 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1311 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1312 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1313 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1317 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1327 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1330 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1331 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1344 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1352 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1357 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1358 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1363 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1366 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1367 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1370 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1371 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1381 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1382 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1388 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1389 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1390 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1393 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1394 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1395 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1397 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1399 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1400 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1403 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1407 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1410 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1426 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1427 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1428 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1430 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1433 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1435 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1436 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1438 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1441 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1442 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1447 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1452 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1455 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1456 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1458 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1476 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1478 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1479 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1481 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1482 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1485 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1490 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1494 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1495 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1498 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1502 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1511 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1512 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1514 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1515 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1516 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1517 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1522 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1523 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1534 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1537 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1538 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1540 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1546 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1555 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1561 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1562 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1567 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1574 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1576 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1577 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1578 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1588 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1592 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1597 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1600 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1612 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1613 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1615 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1617 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1620 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1626 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1627 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1628 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1632 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1633 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1638 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1639 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1647 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1649 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1652 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1653 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1657 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1658 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1661 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1664 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1669 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1671 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1672 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1676 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1684 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1685 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1687 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1690 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1692 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1694 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1702 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1708 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1710 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1711 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1712 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1715 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1718 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1719 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1720 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1721 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1722 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1726 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1735 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1737 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1740 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1741 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1744 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1751 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1755 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1758 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1763 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1770 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1772 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1773 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1774 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1789 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1816 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1820 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1821 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1822 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1825 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1841 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1843 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1855 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1874 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1882 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1883 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1884 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1885 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1888 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1893 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1894 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1900 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1903 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1904 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1921 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1922 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1940 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1944 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1946 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1948 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1960 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1962 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1971 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1983 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1984 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2007 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2010 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2015 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2024 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2029 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2030 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2031 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2034 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2042 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2060 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2090 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2109 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2114 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2115 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2142 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2153 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2156 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2157 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2180 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2192 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2201 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2278 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2414 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2707 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2708 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2713 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2715 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2723 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2725 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2732 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2740 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2757 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2775 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2781 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2787 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2792 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2793 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2794 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2795 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2796 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2800 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2801 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2803 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2805 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2806 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2807 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2808 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2809 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2810 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2811 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2815 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2824 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2832 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2840 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2846 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2847 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2848 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2850 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2851 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2853 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2854 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2858 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2860 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2864 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2866 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2867 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2868 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2871 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2872 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2877 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2878 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2882 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2888 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2890 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2891 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2893 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2894 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2899 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2900 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2905 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2911 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2913 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2916 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2919 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2921 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2925 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2926 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2928 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2930 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2931 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2937 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2938 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2941 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2942 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2943 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2944 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2945 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2948 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2949 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2952 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2957 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2963 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2964 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2965 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2966 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2967 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2968 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2970 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2972 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2973 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2978 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2981 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2982 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2983 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2984 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2985 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2986 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2990 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3003 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3004 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3007 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3008 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3009 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3010 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ;
+   wire \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43;
+   wire csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_6 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_8 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_11 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_16 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_31 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_41 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_42 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_49 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_54 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_56 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_58 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_61 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_63 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_65 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_67 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_72 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_74 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_80 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_82 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_121 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_122 ;
+   wire \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_126 ;
+   wire inc_ADD_UNS_OP10_n_0;
+   wire inc_ADD_UNS_OP10_n_1;
+   wire inc_ADD_UNS_OP10_n_2;
+   wire inc_ADD_UNS_OP10_n_3;
+   wire inc_ADD_UNS_OP10_n_4;
+   wire inc_ADD_UNS_OP10_n_5;
+   wire inc_ADD_UNS_OP10_n_6;
+   wire inc_ADD_UNS_OP10_n_7;
+   wire inc_ADD_UNS_OP10_n_9;
+   wire inc_ADD_UNS_OP10_n_10;
+   wire inc_ADD_UNS_OP10_n_12;
+   wire inc_ADD_UNS_OP10_n_13;
+   wire inc_ADD_UNS_OP10_n_14;
+   wire inc_ADD_UNS_OP10_n_16;
+   wire inc_ADD_UNS_OP10_n_20;
+   wire inc_ADD_UNS_OP10_n_21;
+   wire inc_ADD_UNS_OP10_n_22;
+   wire inc_ADD_UNS_OP10_n_23;
+   wire inc_ADD_UNS_OP10_n_25;
+   wire inc_ADD_UNS_OP10_n_28;
+   wire inc_ADD_UNS_OP10_n_30;
+   wire inc_ADD_UNS_OP10_n_33;
+   wire inc_ADD_UNS_OP10_n_34;
+   wire inc_ADD_UNS_OP10_n_35;
+   wire inc_ADD_UNS_OP10_n_36;
+   wire inc_ADD_UNS_OP10_n_37;
+   wire inc_ADD_UNS_OP10_n_38;
+   wire inc_ADD_UNS_OP10_n_40;
+   wire inc_ADD_UNS_OP10_n_43;
+   wire inc_ADD_UNS_OP10_n_46;
+   wire inc_ADD_UNS_OP10_n_49;
+   wire inc_ADD_UNS_OP10_n_50;
+   wire inc_ADD_UNS_OP10_n_52;
+   wire inc_ADD_UNS_OP10_n_55;
+   wire inc_ADD_UNS_OP10_n_57;
+   wire inc_ADD_UNS_OP10_n_59;
+   wire inc_ADD_UNS_OP10_n_61;
+   wire inc_ADD_UNS_OP10_n_63;
+   wire inc_ADD_UNS_OP10_n_67;
+   wire inc_ADD_UNS_OP10_n_69;
+   wire inc_ADD_UNS_OP10_n_70;
+   wire inc_ADD_UNS_OP10_n_71;
+   wire inc_ADD_UNS_OP10_n_72;
+   wire inc_ADD_UNS_OP10_n_73;
+   wire inc_ADD_UNS_OP10_n_75;
+   wire inc_ADD_UNS_OP10_n_76;
+   wire inc_ADD_UNS_OP10_n_79;
+   wire inc_ADD_UNS_OP10_n_80;
+   wire inc_ADD_UNS_OP10_n_81;
+   wire inc_ADD_UNS_OP10_n_83;
+   wire inc_ADD_UNS_OP10_n_85;
+   wire inc_ADD_UNS_OP10_n_87;
+   wire inc_ADD_UNS_OP10_n_89;
+   wire inc_ADD_UNS_OP10_n_91;
+   wire inc_ADD_UNS_OP10_n_93;
+   wire inc_ADD_UNS_OP10_n_95;
+   wire inc_ADD_UNS_OP10_n_97;
+   wire inc_ADD_UNS_OP10_n_99;
+   wire inc_ADD_UNS_OP10_n_101;
+   wire inc_ADD_UNS_OP10_n_103;
+   wire inc_ADD_UNS_OP10_n_105;
+   wire inc_ADD_UNS_OP10_n_107;
+   wire inc_ADD_UNS_OP10_n_110;
+   wire inc_ADD_UNS_OP10_n_111;
+   wire inc_ADD_UNS_OP10_n_114;
+   wire inc_ADD_UNS_OP10_n_115;
+   wire inc_ADD_UNS_OP10_n_119;
+   wire inc_ADD_UNS_OP10_n_122;
+   wire inc_ADD_UNS_OP10_n_124;
+   wire inc_ADD_UNS_OP10_n_128;
+   wire inc_ADD_UNS_OP10_n_131;
+   wire inc_ADD_UNS_OP10_n_133;
+   wire inc_ADD_UNS_OP12256_n_0;
+   wire inc_ADD_UNS_OP12256_n_1;
+   wire inc_ADD_UNS_OP12256_n_2;
+   wire inc_ADD_UNS_OP12256_n_3;
+   wire inc_ADD_UNS_OP12256_n_4;
+   wire inc_ADD_UNS_OP12256_n_5;
+   wire inc_ADD_UNS_OP12256_n_6;
+   wire inc_ADD_UNS_OP12256_n_7;
+   wire inc_ADD_UNS_OP12256_n_9;
+   wire inc_ADD_UNS_OP12256_n_10;
+   wire inc_ADD_UNS_OP12256_n_12;
+   wire inc_ADD_UNS_OP12256_n_13;
+   wire inc_ADD_UNS_OP12256_n_14;
+   wire inc_ADD_UNS_OP12256_n_16;
+   wire inc_ADD_UNS_OP12256_n_20;
+   wire inc_ADD_UNS_OP12256_n_21;
+   wire inc_ADD_UNS_OP12256_n_22;
+   wire inc_ADD_UNS_OP12256_n_23;
+   wire inc_ADD_UNS_OP12256_n_25;
+   wire inc_ADD_UNS_OP12256_n_28;
+   wire inc_ADD_UNS_OP12256_n_30;
+   wire inc_ADD_UNS_OP12256_n_33;
+   wire inc_ADD_UNS_OP12256_n_34;
+   wire inc_ADD_UNS_OP12256_n_35;
+   wire inc_ADD_UNS_OP12256_n_36;
+   wire inc_ADD_UNS_OP12256_n_37;
+   wire inc_ADD_UNS_OP12256_n_38;
+   wire inc_ADD_UNS_OP12256_n_40;
+   wire inc_ADD_UNS_OP12256_n_43;
+   wire inc_ADD_UNS_OP12256_n_46;
+   wire inc_ADD_UNS_OP12256_n_49;
+   wire inc_ADD_UNS_OP12256_n_50;
+   wire inc_ADD_UNS_OP12256_n_52;
+   wire inc_ADD_UNS_OP12256_n_55;
+   wire inc_ADD_UNS_OP12256_n_57;
+   wire inc_ADD_UNS_OP12256_n_59;
+   wire inc_ADD_UNS_OP12256_n_61;
+   wire inc_ADD_UNS_OP12256_n_63;
+   wire inc_ADD_UNS_OP12256_n_67;
+   wire inc_ADD_UNS_OP12256_n_69;
+   wire inc_ADD_UNS_OP12256_n_70;
+   wire inc_ADD_UNS_OP12256_n_71;
+   wire inc_ADD_UNS_OP12256_n_72;
+   wire inc_ADD_UNS_OP12256_n_73;
+   wire inc_ADD_UNS_OP12256_n_75;
+   wire inc_ADD_UNS_OP12256_n_76;
+   wire inc_ADD_UNS_OP12256_n_79;
+   wire inc_ADD_UNS_OP12256_n_80;
+   wire inc_ADD_UNS_OP12256_n_81;
+   wire inc_ADD_UNS_OP12256_n_83;
+   wire inc_ADD_UNS_OP12256_n_85;
+   wire inc_ADD_UNS_OP12256_n_87;
+   wire inc_ADD_UNS_OP12256_n_89;
+   wire inc_ADD_UNS_OP12256_n_91;
+   wire inc_ADD_UNS_OP12256_n_93;
+   wire inc_ADD_UNS_OP12256_n_95;
+   wire inc_ADD_UNS_OP12256_n_97;
+   wire inc_ADD_UNS_OP12256_n_99;
+   wire inc_ADD_UNS_OP12256_n_101;
+   wire inc_ADD_UNS_OP12256_n_103;
+   wire inc_ADD_UNS_OP12256_n_105;
+   wire inc_ADD_UNS_OP12256_n_107;
+   wire inc_ADD_UNS_OP12256_n_110;
+   wire inc_ADD_UNS_OP12256_n_111;
+   wire inc_ADD_UNS_OP12256_n_114;
+   wire inc_ADD_UNS_OP12256_n_115;
+   wire inc_ADD_UNS_OP12256_n_119;
+   wire inc_ADD_UNS_OP12256_n_122;
+   wire inc_ADD_UNS_OP12256_n_124;
+   wire inc_ADD_UNS_OP12256_n_128;
+   wire inc_ADD_UNS_OP12256_n_131;
+   wire inc_ADD_UNS_OP12256_n_133;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_0;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_1;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_3;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_4;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_5;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_7;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_9;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_11;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_12;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_13;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_14;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_15;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_17;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_18;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_20;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_22;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_24;
+   wire inc_soc_top_main_swith_host_lsu_add_105_52_n_28;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_13 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_22 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_27 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_35 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_45 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_52 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_68 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_71 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_80 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_84 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_87 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_96 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_97 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_104 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_114 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_115 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_124 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_132 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_133 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_137 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_139 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_140 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_145 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_146 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_148 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_153 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_155 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_161 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_165 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_175 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_184 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_187 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_194 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_195 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_198 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_199 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_200 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_263 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_269 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_274 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_319 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_329 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_4 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_43 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_52 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_57 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_58 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_61 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_62 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_71 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_73 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_77 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_78 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_79 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_82 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_85 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_88 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_90 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_94 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_98 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_100 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_105 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_106 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_115 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_118 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_121 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_124 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_126 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_129 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_134 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_136 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_143 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_147 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_148 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_149 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_159 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_160 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_164 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_167 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_169 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_173 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_174 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_175 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_181 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_191 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_192 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_193 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_198 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_199 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_200 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_204 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_205 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_209 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_211 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_212 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_215 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_216 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_218 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_228 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_229 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_230 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_234 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_235 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_239 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_252 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_255 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_291 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_295 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_320 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_332 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_340 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_341 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_342 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_343 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_418 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_420 ;
+   wire \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_440 ;
+   wire n_0;
+   wire n_1;
+   wire n_2;
+   wire n_4;
+   wire n_5;
+   wire n_6;
+   wire n_7;
+   wire n_8;
+   wire n_9;
+   wire n_10;
+   wire n_11;
+   wire n_12;
+   wire n_13;
+   wire n_14;
+   wire n_15;
+   wire n_16;
+   wire n_17;
+   wire n_18;
+   wire n_19;
+   wire n_20;
+   wire n_21;
+   wire n_22;
+   wire n_23;
+   wire n_24;
+   wire n_25;
+   wire n_26;
+   wire n_27;
+   wire n_28;
+   wire n_29;
+   wire n_30;
+   wire n_31;
+   wire n_32;
+   wire n_33;
+   wire n_36;
+   wire n_44;
+   wire n_52;
+   wire n_55;
+   wire n_61;
+   wire n_71;
+   wire n_77;
+   wire n_78;
+   wire n_79;
+   wire n_80;
+   wire n_86;
+   wire n_95;
+   wire n_96;
+   wire n_97;
+   wire n_99;
+   wire n_107;
+   wire n_117;
+   wire n_122;
+   wire n_129;
+   wire n_130;
+   wire n_131;
+   wire n_135;
+   wire n_140;
+   wire n_142;
+   wire n_144;
+   wire n_146;
+   wire n_147;
+   wire n_148;
+   wire n_149;
+   wire n_150;
+   wire n_152;
+   wire n_153;
+   wire n_154;
+   wire n_155;
+   wire n_156;
+   wire n_157;
+   wire n_158;
+   wire n_159;
+   wire n_160;
+   wire n_161;
+   wire n_162;
+   wire n_163;
+   wire n_164;
+   wire n_165;
+   wire n_168;
+   wire n_170;
+   wire n_174;
+   wire n_175;
+   wire n_176;
+   wire n_177;
+   wire n_179;
+   wire n_183;
+   wire n_184;
+   wire n_187;
+   wire n_190;
+   wire n_193;
+   wire n_200;
+   wire n_209;
+   wire n_210;
+   wire n_223;
+   wire n_226;
+   wire n_229;
+   wire n_232;
+   wire n_245;
+   wire n_248;
+   wire n_251;
+   wire n_266;
+   wire n_267;
+   wire n_278;
+   wire n_285;
+   wire n_286;
+   wire n_318;
+   wire n_337;
+   wire n_342;
+   wire n_345;
+   wire n_350;
+   wire n_368;
+   wire n_401;
+   wire n_447;
+   wire n_450;
+   wire n_459;
+   wire n_479;
+   wire n_480;
+   wire n_482;
+   wire n_483;
+   wire n_484;
+   wire n_485;
+   wire n_486;
+   wire n_487;
+   wire n_489;
+   wire n_491;
+   wire n_493;
+   wire n_494;
+   wire n_495;
+   wire n_497;
+   wire n_498;
+   wire n_500;
+   wire n_501;
+   wire n_503;
+   wire n_504;
+   wire n_506;
+   wire n_507;
+   wire n_508;
+   wire n_513;
+   wire n_514;
+   wire n_519;
+   wire n_526;
+   wire n_527;
+   wire n_531;
+   wire n_532;
+   wire n_535;
+   wire n_537;
+   wire n_542;
+   wire n_544;
+   wire n_545;
+   wire n_546;
+   wire n_655;
+   wire n_656;
+   wire n_657;
+   wire n_658;
+   wire n_659;
+   wire n_660;
+   wire n_663;
+   wire n_664;
+   wire n_665;
+   wire n_666;
+   wire n_667;
+   wire n_668;
+   wire n_669;
+   wire n_670;
+   wire n_671;
+   wire n_672;
+   wire n_673;
+   wire n_674;
+   wire n_675;
+   wire n_676;
+   wire n_677;
+   wire n_679;
+   wire n_681;
+   wire n_691;
+   wire n_694;
+   wire n_704;
+   wire n_710;
+   wire n_711;
+   wire n_714;
+   wire n_721;
+   wire n_734;
+   wire n_736;
+   wire n_744;
+   wire n_745;
+   wire n_748;
+   wire n_754;
+   wire n_756;
+   wire n_765;
+   wire n_766;
+   wire n_767;
+   wire n_768;
+   wire n_769;
+   wire n_770;
+   wire n_771;
+   wire n_773;
+   wire n_774;
+   wire n_775;
+   wire n_776;
+   wire n_777;
+   wire n_778;
+   wire n_783;
+   wire n_784;
+   wire n_786;
+   wire n_787;
+   wire n_789;
+   wire n_790;
+   wire n_791;
+   wire n_792;
+   wire n_794;
+   wire n_795;
+   wire n_796;
+   wire n_797;
+   wire n_798;
+   wire n_799;
+   wire n_803;
+   wire n_810;
+   wire n_811;
+   wire n_812;
+   wire n_814;
+   wire n_815;
+   wire n_816;
+   wire n_817;
+   wire n_818;
+   wire n_823;
+   wire n_825;
+   wire n_826;
+   wire n_827;
+   wire n_838;
+   wire n_841;
+   wire n_842;
+   wire n_859;
+   wire n_864;
+   wire n_871;
+   wire n_874;
+   wire n_875;
+   wire n_878;
+   wire n_879;
+   wire n_882;
+   wire n_883;
+   wire n_884;
+   wire n_887;
+   wire n_888;
+   wire n_889;
+   wire n_890;
+   wire n_894;
+   wire n_895;
+   wire n_900;
+   wire n_907;
+   wire n_909;
+   wire n_916;
+   wire n_925;
+   wire n_938;
+   wire n_941;
+   wire n_944;
+   wire n_945;
+   wire n_958;
+   wire n_961;
+   wire n_981;
+   wire n_994;
+   wire n_1029;
+   wire n_1030;
+   wire n_1044;
+   wire n_1045;
+   wire n_1053;
+   wire n_1057;
+   wire n_1071;
+   wire n_1081;
+   wire n_1082;
+   wire n_1083;
+   wire n_1084;
+   wire n_1085;
+   wire n_1086;
+   wire n_1088;
+   wire n_1089;
+   wire n_1090;
+   wire n_1091;
+   wire n_1095;
+   wire n_1096;
+   wire n_1097;
+   wire n_1099;
+   wire n_1100;
+   wire n_1104;
+   wire n_1107;
+   wire n_1108;
+   wire n_1112;
+   wire n_1114;
+   wire n_1115;
+   wire n_1116;
+   wire n_1117;
+   wire n_1118;
+   wire n_1119;
+   wire n_1120;
+   wire n_1121;
+   wire n_1122;
+   wire n_1123;
+   wire n_1124;
+   wire n_1125;
+   wire n_1126;
+   wire n_1127;
+   wire n_1128;
+   wire n_1130;
+   wire n_1131;
+   wire n_1132;
+   wire n_1134;
+   wire n_1135;
+   wire n_1136;
+   wire n_1138;
+   wire n_1139;
+   wire n_1140;
+   wire n_1142;
+   wire n_1143;
+   wire n_1144;
+   wire n_1145;
+   wire n_1148;
+   wire n_1149;
+   wire n_1150;
+   wire n_1151;
+   wire n_1152;
+   wire n_1153;
+   wire n_1154;
+   wire n_1155;
+   wire n_1156;
+   wire n_1157;
+   wire n_1158;
+   wire n_1159;
+   wire n_1160;
+   wire n_1161;
+   wire n_1162;
+   wire n_1163;
+   wire n_1164;
+   wire n_1165;
+   wire n_1166;
+   wire n_1167;
+   wire n_1169;
+   wire n_1170;
+   wire n_1172;
+   wire n_1173;
+   wire n_1174;
+   wire n_1175;
+   wire n_1176;
+   wire n_1177;
+   wire n_1178;
+   wire n_1179;
+   wire n_1180;
+   wire n_1181;
+   wire n_1182;
+   wire n_1184;
+   wire n_1185;
+   wire n_1186;
+   wire n_1188;
+   wire n_1189;
+   wire n_1190;
+   wire n_1191;
+   wire n_1192;
+   wire n_1193;
+   wire n_1195;
+   wire n_1196;
+   wire n_1197;
+   wire n_1198;
+   wire n_1199;
+   wire n_1200;
+   wire n_1202;
+   wire n_1203;
+   wire n_1204;
+   wire n_1205;
+   wire n_1206;
+   wire n_1208;
+   wire n_1209;
+   wire n_1210;
+   wire n_1211;
+   wire n_1212;
+   wire n_1213;
+   wire n_1214;
+   wire n_1215;
+   wire n_1216;
+   wire n_1218;
+   wire n_1233;
+   wire n_1234;
+   wire n_1242;
+   wire n_1254;
+   wire n_1255;
+   wire n_1256;
+   wire n_1257;
+   wire n_1258;
+   wire n_1259;
+   wire n_1260;
+   wire n_1261;
+   wire n_1262;
+   wire n_1263;
+   wire n_1264;
+   wire n_1266;
+   wire n_1268;
+   wire n_1269;
+   wire n_1270;
+   wire n_1271;
+   wire n_1272;
+   wire n_1273;
+   wire n_1274;
+   wire n_1275;
+   wire n_1277;
+   wire n_1278;
+   wire n_1282;
+   wire n_1283;
+   wire n_1284;
+   wire n_1285;
+   wire n_1286;
+   wire n_1287;
+   wire n_1288;
+   wire n_1289;
+   wire n_1290;
+   wire n_1291;
+   wire n_1292;
+   wire n_1293;
+   wire n_1294;
+   wire n_1296;
+   wire n_1297;
+   wire n_1298;
+   wire n_1299;
+   wire n_1300;
+   wire n_1301;
+   wire n_1302;
+   wire n_1303;
+   wire n_1304;
+   wire n_1305;
+   wire n_1306;
+   wire n_1307;
+   wire n_1308;
+   wire n_1309;
+   wire n_1310;
+   wire n_1311;
+   wire n_1312;
+   wire n_1313;
+   wire n_1314;
+   wire n_1315;
+   wire n_1316;
+   wire n_1317;
+   wire n_1318;
+   wire n_1319;
+   wire n_1320;
+   wire n_1321;
+   wire n_1323;
+   wire n_1324;
+   wire n_1325;
+   wire n_1326;
+   wire n_1327;
+   wire n_1328;
+   wire n_1329;
+   wire n_1330;
+   wire n_1331;
+   wire n_1332;
+   wire n_1333;
+   wire n_1334;
+   wire n_1335;
+   wire n_1336;
+   wire n_1337;
+   wire n_1338;
+   wire n_1339;
+   wire n_1340;
+   wire n_1341;
+   wire n_1342;
+   wire n_1343;
+   wire n_1344;
+   wire n_1345;
+   wire n_1347;
+   wire n_1348;
+   wire n_1349;
+   wire n_1350;
+   wire n_1351;
+   wire n_1352;
+   wire n_1353;
+   wire n_1354;
+   wire n_1355;
+   wire n_1356;
+   wire n_1357;
+   wire n_1358;
+   wire n_1359;
+   wire n_1360;
+   wire n_1361;
+   wire n_1362;
+   wire n_1363;
+   wire n_1364;
+   wire n_1365;
+   wire n_1366;
+   wire n_1367;
+   wire n_1368;
+   wire n_1369;
+   wire n_1370;
+   wire n_1371;
+   wire n_1373;
+   wire n_1374;
+   wire n_1375;
+   wire n_1376;
+   wire n_1377;
+   wire n_1378;
+   wire n_1379;
+   wire n_1380;
+   wire n_1381;
+   wire n_1382;
+   wire n_1383;
+   wire n_1384;
+   wire n_1385;
+   wire n_1386;
+   wire n_1387;
+   wire n_1388;
+   wire n_1389;
+   wire n_1390;
+   wire n_1391;
+   wire n_1392;
+   wire n_1393;
+   wire n_1394;
+   wire n_1395;
+   wire n_1396;
+   wire n_1397;
+   wire n_1398;
+   wire n_1401;
+   wire n_1402;
+   wire n_1403;
+   wire n_1404;
+   wire n_1405;
+   wire n_1409;
+   wire n_1410;
+   wire n_1411;
+   wire n_1412;
+   wire n_1413;
+   wire n_1414;
+   wire n_1415;
+   wire n_1416;
+   wire n_1417;
+   wire n_1418;
+   wire n_1419;
+   wire n_1420;
+   wire n_1422;
+   wire n_1423;
+   wire n_1424;
+   wire n_1425;
+   wire n_1426;
+   wire n_1427;
+   wire n_1428;
+   wire n_1429;
+   wire n_1430;
+   wire n_1431;
+   wire n_1433;
+   wire n_1434;
+   wire n_1435;
+   wire n_1436;
+   wire n_1437;
+   wire n_1438;
+   wire n_1439;
+   wire n_1440;
+   wire n_1441;
+   wire n_1442;
+   wire n_1444;
+   wire n_1445;
+   wire n_1446;
+   wire n_1447;
+   wire n_1449;
+   wire n_1451;
+   wire n_1452;
+   wire n_1453;
+   wire n_1454;
+   wire n_1455;
+   wire n_1456;
+   wire n_1457;
+   wire n_1458;
+   wire n_1459;
+   wire n_1460;
+   wire n_1464;
+   wire n_1465;
+   wire n_1466;
+   wire n_1467;
+   wire n_1468;
+   wire n_1469;
+   wire n_1471;
+   wire n_1472;
+   wire n_1473;
+   wire n_1474;
+   wire n_1475;
+   wire n_1477;
+   wire n_1479;
+   wire n_1480;
+   wire n_1481;
+   wire n_1482;
+   wire n_1484;
+   wire n_1485;
+   wire n_1489;
+   wire n_1490;
+   wire n_1492;
+   wire n_1493;
+   wire n_1494;
+   wire n_1495;
+   wire n_1496;
+   wire n_1497;
+   wire n_1498;
+   wire n_1500;
+   wire n_1502;
+   wire n_1503;
+   wire n_1505;
+   wire n_1506;
+   wire n_1507;
+   wire n_1508;
+   wire n_1509;
+   wire n_1510;
+   wire n_1512;
+   wire n_1513;
+   wire n_1514;
+   wire n_1515;
+   wire n_1516;
+   wire n_1517;
+   wire n_1519;
+   wire n_1520;
+   wire n_1521;
+   wire n_1522;
+   wire n_1523;
+   wire n_1524;
+   wire n_1525;
+   wire n_1526;
+   wire n_1527;
+   wire n_1528;
+   wire n_1529;
+   wire n_1530;
+   wire n_1531;
+   wire n_1532;
+   wire n_1533;
+   wire n_1534;
+   wire n_1535;
+   wire n_1536;
+   wire n_1537;
+   wire n_1538;
+   wire n_1539;
+   wire n_1540;
+   wire n_1541;
+   wire n_1542;
+   wire n_1543;
+   wire n_1544;
+   wire n_1545;
+   wire n_1546;
+   wire n_1547;
+   wire n_1568;
+   wire n_1569;
+   wire n_1570;
+   wire n_1571;
+   wire n_1572;
+   wire n_1573;
+   wire n_1574;
+   wire n_1575;
+   wire n_1576;
+   wire n_1577;
+   wire n_1578;
+   wire n_1579;
+   wire n_1580;
+   wire n_1581;
+   wire n_1582;
+   wire n_1583;
+   wire n_1584;
+   wire n_1585;
+   wire n_1586;
+   wire n_1587;
+   wire n_1588;
+   wire n_1589;
+   wire n_1590;
+   wire n_1591;
+   wire n_1592;
+   wire n_1593;
+   wire n_1594;
+   wire n_1595;
+   wire n_1596;
+   wire n_1597;
+   wire n_1598;
+   wire n_1599;
+   wire n_1600;
+   wire n_1601;
+   wire n_1602;
+   wire n_1603;
+   wire n_1604;
+   wire n_1605;
+   wire n_1607;
+   wire n_1608;
+   wire n_1609;
+   wire n_1610;
+   wire n_1611;
+   wire n_1612;
+   wire n_1613;
+   wire n_1614;
+   wire n_1615;
+   wire n_1616;
+   wire n_1617;
+   wire n_1618;
+   wire n_1619;
+   wire n_1620;
+   wire n_1621;
+   wire n_1622;
+   wire n_1623;
+   wire n_1625;
+   wire n_1626;
+   wire n_1627;
+   wire n_1628;
+   wire n_1629;
+   wire n_1630;
+   wire n_1631;
+   wire n_1632;
+   wire n_1633;
+   wire n_1634;
+   wire n_1635;
+   wire n_1636;
+   wire n_1637;
+   wire n_1638;
+   wire n_1639;
+   wire n_1640;
+   wire n_1641;
+   wire n_1642;
+   wire n_1643;
+   wire n_1644;
+   wire n_1646;
+   wire n_1647;
+   wire n_1648;
+   wire n_1649;
+   wire n_1650;
+   wire n_1651;
+   wire n_1652;
+   wire n_1653;
+   wire n_1654;
+   wire n_1655;
+   wire n_1656;
+   wire n_1657;
+   wire n_1658;
+   wire n_1659;
+   wire n_1660;
+   wire n_1661;
+   wire n_1662;
+   wire n_1663;
+   wire n_1664;
+   wire n_1665;
+   wire n_1666;
+   wire n_1667;
+   wire n_1668;
+   wire n_1669;
+   wire n_1670;
+   wire n_1671;
+   wire n_1672;
+   wire n_1673;
+   wire n_1675;
+   wire n_1676;
+   wire n_1677;
+   wire n_1678;
+   wire n_1679;
+   wire n_1680;
+   wire n_1681;
+   wire n_1682;
+   wire n_1683;
+   wire n_1684;
+   wire n_1685;
+   wire n_1686;
+   wire n_1687;
+   wire n_1688;
+   wire n_1689;
+   wire n_1690;
+   wire n_1691;
+   wire n_1692;
+   wire n_1693;
+   wire n_1694;
+   wire n_1695;
+   wire n_1696;
+   wire n_1697;
+   wire n_1698;
+   wire n_1700;
+   wire n_1702;
+   wire n_1703;
+   wire n_1704;
+   wire n_1705;
+   wire n_1706;
+   wire n_1707;
+   wire n_1708;
+   wire n_1710;
+   wire n_1712;
+   wire n_1713;
+   wire n_1714;
+   wire n_1715;
+   wire n_1716;
+   wire n_1717;
+   wire n_1718;
+   wire n_1719;
+   wire n_1721;
+   wire n_1722;
+   wire n_1724;
+   wire n_1725;
+   wire n_1726;
+   wire n_1727;
+   wire n_1728;
+   wire n_1729;
+   wire n_1730;
+   wire n_1731;
+   wire n_1732;
+   wire n_1733;
+   wire n_1734;
+   wire n_1735;
+   wire n_1736;
+   wire n_1737;
+   wire n_1738;
+   wire n_1739;
+   wire n_1740;
+   wire n_1741;
+   wire n_1742;
+   wire n_1743;
+   wire n_1744;
+   wire n_1745;
+   wire n_1746;
+   wire n_1747;
+   wire n_1748;
+   wire n_1749;
+   wire n_1750;
+   wire n_1751;
+   wire n_1752;
+   wire n_1753;
+   wire n_1754;
+   wire n_1755;
+   wire n_1756;
+   wire n_1757;
+   wire n_1758;
+   wire n_1759;
+   wire n_1760;
+   wire n_1761;
+   wire n_1762;
+   wire n_1763;
+   wire n_1764;
+   wire n_1765;
+   wire n_1766;
+   wire n_1767;
+   wire n_1768;
+   wire n_1769;
+   wire n_1770;
+   wire n_1772;
+   wire n_1773;
+   wire n_1774;
+   wire n_1775;
+   wire n_1776;
+   wire n_1777;
+   wire n_1778;
+   wire n_1780;
+   wire n_1790;
+   wire n_1791;
+   wire n_1792;
+   wire n_1793;
+   wire n_1794;
+   wire n_1796;
+   wire n_1799;
+   wire n_1803;
+   wire n_1805;
+   wire n_1806;
+   wire n_1807;
+   wire n_1808;
+   wire n_1811;
+   wire n_1815;
+   wire n_1817;
+   wire n_1818;
+   wire n_1819;
+   wire n_1821;
+   wire n_1828;
+   wire n_1829;
+   wire n_1830;
+   wire n_1831;
+   wire n_1832;
+   wire n_1833;
+   wire n_1834;
+   wire n_1835;
+   wire n_1836;
+   wire n_1837;
+   wire n_1838;
+   wire n_1839;
+   wire n_1840;
+   wire n_1841;
+   wire n_1842;
+   wire n_1843;
+   wire n_1844;
+   wire n_1845;
+   wire n_1846;
+   wire n_1847;
+   wire n_1848;
+   wire n_1849;
+   wire n_1850;
+   wire n_1852;
+   wire n_1853;
+   wire n_1858;
+   wire n_1859;
+   wire n_1860;
+   wire n_1861;
+   wire n_1862;
+   wire n_1865;
+   wire n_1867;
+   wire n_1869;
+   wire n_1870;
+   wire n_1871;
+   wire n_1872;
+   wire n_1873;
+   wire n_1875;
+   wire n_1876;
+   wire n_1877;
+   wire n_1878;
+   wire n_1879;
+   wire n_1880;
+   wire n_1881;
+   wire n_1882;
+   wire n_1884;
+   wire n_1885;
+   wire n_1887;
+   wire n_1888;
+   wire n_1889;
+   wire n_1890;
+   wire n_1891;
+   wire n_1892;
+   wire n_1893;
+   wire n_1894;
+   wire n_1895;
+   wire n_1896;
+   wire n_1897;
+   wire n_1898;
+   wire n_1901;
+   wire n_1902;
+   wire n_1903;
+   wire n_1905;
+   wire n_1906;
+   wire n_1907;
+   wire n_1908;
+   wire n_1909;
+   wire n_1911;
+   wire n_1912;
+   wire n_1913;
+   wire n_1914;
+   wire n_1915;
+   wire n_1916;
+   wire n_1917;
+   wire n_1918;
+   wire n_1919;
+   wire n_1920;
+   wire n_1921;
+   wire n_1922;
+   wire n_1924;
+   wire n_1925;
+   wire n_1929;
+   wire n_1930;
+   wire n_1931;
+   wire n_1932;
+   wire n_1933;
+   wire n_1934;
+   wire n_1935;
+   wire n_1936;
+   wire n_1937;
+   wire n_1938;
+   wire n_1939;
+   wire n_1940;
+   wire n_1941;
+   wire n_1942;
+   wire n_1943;
+   wire n_1944;
+   wire n_1945;
+   wire n_1946;
+   wire n_1947;
+   wire n_1948;
+   wire n_1949;
+   wire n_1950;
+   wire n_1951;
+   wire n_1952;
+   wire n_1953;
+   wire n_1954;
+   wire n_1955;
+   wire n_1956;
+   wire n_1957;
+   wire n_1958;
+   wire n_1959;
+   wire n_1960;
+   wire n_1961;
+   wire n_1962;
+   wire n_1963;
+   wire n_1965;
+   wire n_1966;
+   wire n_1967;
+   wire n_1968;
+   wire n_1970;
+   wire n_1971;
+   wire n_1972;
+   wire n_1973;
+   wire n_1974;
+   wire n_1975;
+   wire n_1976;
+   wire n_1977;
+   wire n_1978;
+   wire n_1979;
+   wire n_1980;
+   wire n_1981;
+   wire n_1982;
+   wire n_1983;
+   wire n_1984;
+   wire n_1985;
+   wire n_1986;
+   wire n_1987;
+   wire n_1988;
+   wire n_1989;
+   wire n_1990;
+   wire n_1991;
+   wire n_1992;
+   wire n_1993;
+   wire n_1994;
+   wire n_1995;
+   wire n_1996;
+   wire n_1997;
+   wire n_1998;
+   wire n_1999;
+   wire n_2000;
+   wire n_2001;
+   wire n_2002;
+   wire n_2003;
+   wire n_2004;
+   wire n_2005;
+   wire n_2006;
+   wire n_2007;
+   wire n_2008;
+   wire n_2009;
+   wire n_2010;
+   wire n_2011;
+   wire n_2012;
+   wire n_2013;
+   wire n_2014;
+   wire n_2015;
+   wire n_2016;
+   wire n_2017;
+   wire n_2018;
+   wire n_2019;
+   wire n_2020;
+   wire n_2021;
+   wire n_2022;
+   wire n_2025;
+   wire n_2026;
+   wire n_2027;
+   wire n_2028;
+   wire n_2029;
+   wire n_2030;
+   wire n_2031;
+   wire n_2033;
+   wire n_2034;
+   wire n_2036;
+   wire n_2037;
+   wire n_2038;
+   wire n_2039;
+   wire n_2040;
+   wire n_2041;
+   wire n_2042;
+   wire n_2043;
+   wire n_2044;
+   wire n_2045;
+   wire n_2046;
+   wire n_2047;
+   wire n_2048;
+   wire n_2049;
+   wire n_2050;
+   wire n_2051;
+   wire n_2052;
+   wire n_2053;
+   wire n_2054;
+   wire n_2055;
+   wire n_2056;
+   wire n_2057;
+   wire n_2058;
+   wire n_2059;
+   wire n_2060;
+   wire n_2061;
+   wire n_2062;
+   wire n_2063;
+   wire n_2064;
+   wire n_2065;
+   wire n_2066;
+   wire n_2067;
+   wire n_2068;
+   wire n_2069;
+   wire n_2070;
+   wire n_2071;
+   wire n_2072;
+   wire n_2073;
+   wire n_2074;
+   wire n_2075;
+   wire n_2076;
+   wire n_2077;
+   wire n_2078;
+   wire n_2079;
+   wire n_2080;
+   wire n_2081;
+   wire n_2082;
+   wire n_2083;
+   wire n_2084;
+   wire n_2085;
+   wire n_2086;
+   wire n_2087;
+   wire n_2088;
+   wire n_2089;
+   wire n_2090;
+   wire n_2091;
+   wire n_2092;
+   wire n_2093;
+   wire n_2094;
+   wire n_2095;
+   wire n_2096;
+   wire n_2097;
+   wire n_2098;
+   wire n_2099;
+   wire n_2100;
+   wire n_2101;
+   wire n_2102;
+   wire n_2103;
+   wire n_2104;
+   wire n_2105;
+   wire n_2106;
+   wire n_2107;
+   wire n_2108;
+   wire n_2109;
+   wire n_2110;
+   wire n_2111;
+   wire n_2112;
+   wire n_2113;
+   wire n_2114;
+   wire n_2115;
+   wire n_2116;
+   wire n_2117;
+   wire n_2118;
+   wire n_2119;
+   wire n_2120;
+   wire n_2121;
+   wire n_2122;
+   wire n_2123;
+   wire n_2124;
+   wire n_2125;
+   wire n_2126;
+   wire n_2127;
+   wire n_2128;
+   wire n_2129;
+   wire n_2130;
+   wire n_2131;
+   wire n_2132;
+   wire n_2133;
+   wire n_2134;
+   wire n_2135;
+   wire n_2136;
+   wire n_2137;
+   wire n_2138;
+   wire n_2139;
+   wire n_2140;
+   wire n_2141;
+   wire n_2142;
+   wire n_2143;
+   wire n_2144;
+   wire n_2145;
+   wire n_2146;
+   wire n_2147;
+   wire n_2148;
+   wire n_2149;
+   wire n_2150;
+   wire n_2151;
+   wire n_2152;
+   wire n_2153;
+   wire n_2154;
+   wire n_2155;
+   wire n_2156;
+   wire n_2157;
+   wire n_2167;
+   wire n_2183;
+   wire n_2192;
+   wire n_2212;
+   wire n_2266;
+   wire n_2296;
+   wire n_2317;
+   wire n_2362;
+   wire n_2363;
+   wire n_2364;
+   wire n_2365;
+   wire n_2366;
+   wire n_2367;
+   wire n_2368;
+   wire n_2369;
+   wire n_2370;
+   wire n_2371;
+   wire n_2374;
+   wire n_2375;
+   wire n_2376;
+   wire n_2377;
+   wire n_2378;
+   wire n_2379;
+   wire n_2380;
+   wire n_2381;
+   wire n_2382;
+   wire n_2383;
+   wire n_2384;
+   wire n_2385;
+   wire n_2386;
+   wire n_2387;
+   wire n_2388;
+   wire n_2389;
+   wire n_2390;
+   wire n_2391;
+   wire n_2392;
+   wire n_2393;
+   wire n_2394;
+   wire n_2395;
+   wire n_2396;
+   wire n_2397;
+   wire n_2398;
+   wire n_2399;
+   wire n_2400;
+   wire n_2401;
+   wire n_2402;
+   wire n_2403;
+   wire n_2404;
+   wire n_2405;
+   wire n_2406;
+   wire n_2407;
+   wire n_2408;
+   wire n_2409;
+   wire n_2410;
+   wire n_2411;
+   wire n_2412;
+   wire n_2413;
+   wire n_2414;
+   wire n_2415;
+   wire n_2416;
+   wire n_2417;
+   wire n_2419;
+   wire n_2420;
+   wire n_2421;
+   wire n_2423;
+   wire n_2424;
+   wire n_2425;
+   wire n_2426;
+   wire n_2427;
+   wire n_2430;
+   wire n_2431;
+   wire n_2432;
+   wire n_2433;
+   wire n_2434;
+   wire n_2435;
+   wire n_2436;
+   wire n_2437;
+   wire n_2438;
+   wire n_2439;
+   wire n_2440;
+   wire n_2442;
+   wire n_2443;
+   wire n_2446;
+   wire n_2447;
+   wire n_2448;
+   wire n_2451;
+   wire n_2452;
+   wire n_2453;
+   wire n_2454;
+   wire n_2455;
+   wire n_2458;
+   wire n_2459;
+   wire n_2460;
+   wire n_2461;
+   wire n_2462;
+   wire n_2463;
+   wire n_2464;
+   wire n_2465;
+   wire n_2466;
+   wire n_2467;
+   wire n_2468;
+   wire n_2469;
+   wire n_2470;
+   wire n_2471;
+   wire n_2472;
+   wire n_2473;
+   wire n_2475;
+   wire n_2476;
+   wire n_2477;
+   wire n_2478;
+   wire n_2479;
+   wire n_2481;
+   wire n_2482;
+   wire n_2484;
+   wire n_2485;
+   wire n_2486;
+   wire n_2487;
+   wire n_2488;
+   wire n_2489;
+   wire n_2490;
+   wire n_2491;
+   wire n_2492;
+   wire n_2493;
+   wire n_2494;
+   wire n_2495;
+   wire n_2496;
+   wire n_2497;
+   wire n_2498;
+   wire n_2500;
+   wire n_2501;
+   wire n_2502;
+   wire n_2503;
+   wire n_2504;
+   wire n_2505;
+   wire n_2506;
+   wire n_2507;
+   wire n_2508;
+   wire n_2509;
+   wire n_2510;
+   wire n_2511;
+   wire n_2512;
+   wire n_2513;
+   wire n_2514;
+   wire n_2515;
+   wire n_2516;
+   wire n_2517;
+   wire n_2518;
+   wire n_2519;
+   wire n_2520;
+   wire n_2521;
+   wire n_2523;
+   wire n_2524;
+   wire n_2525;
+   wire n_2526;
+   wire n_2527;
+   wire n_2528;
+   wire n_2529;
+   wire n_2530;
+   wire n_2531;
+   wire n_2532;
+   wire n_2534;
+   wire n_2535;
+   wire n_2536;
+   wire n_2537;
+   wire n_2538;
+   wire n_2539;
+   wire n_2540;
+   wire n_2541;
+   wire n_2542;
+   wire n_2543;
+   wire n_2544;
+   wire n_2545;
+   wire n_2546;
+   wire n_2548;
+   wire n_2549;
+   wire n_2550;
+   wire n_2551;
+   wire n_2552;
+   wire n_2553;
+   wire n_2554;
+   wire n_2555;
+   wire n_2556;
+   wire n_2557;
+   wire n_2558;
+   wire n_2559;
+   wire n_2560;
+   wire n_2561;
+   wire n_2562;
+   wire n_2563;
+   wire n_2564;
+   wire n_2565;
+   wire n_2566;
+   wire n_2567;
+   wire n_2568;
+   wire n_2569;
+   wire n_2570;
+   wire n_2571;
+   wire n_2572;
+   wire n_2573;
+   wire n_2574;
+   wire n_2575;
+   wire n_2576;
+   wire n_2577;
+   wire n_2578;
+   wire n_2579;
+   wire n_2580;
+   wire n_2581;
+   wire n_2582;
+   wire n_2583;
+   wire n_2584;
+   wire n_2585;
+   wire n_2586;
+   wire n_2587;
+   wire n_2588;
+   wire n_2589;
+   wire n_2591;
+   wire n_2592;
+   wire n_2593;
+   wire n_2594;
+   wire n_2595;
+   wire n_2596;
+   wire n_2597;
+   wire n_2598;
+   wire n_2599;
+   wire n_2600;
+   wire n_2601;
+   wire n_2602;
+   wire n_2603;
+   wire n_2604;
+   wire n_2605;
+   wire n_2606;
+   wire n_2607;
+   wire n_2608;
+   wire n_2609;
+   wire n_2610;
+   wire n_2611;
+   wire n_2612;
+   wire n_2613;
+   wire n_2615;
+   wire n_2616;
+   wire n_2617;
+   wire n_2618;
+   wire n_2619;
+   wire n_2620;
+   wire n_2621;
+   wire n_2622;
+   wire n_2623;
+   wire n_2624;
+   wire n_2625;
+   wire n_2626;
+   wire n_2627;
+   wire n_2628;
+   wire n_2629;
+   wire n_2630;
+   wire n_2631;
+   wire n_2632;
+   wire n_2633;
+   wire n_2634;
+   wire n_2635;
+   wire n_2636;
+   wire n_2637;
+   wire n_2638;
+   wire n_2639;
+   wire n_2640;
+   wire n_2642;
+   wire n_2643;
+   wire n_2644;
+   wire n_2645;
+   wire n_2646;
+   wire n_2647;
+   wire n_2648;
+   wire n_2649;
+   wire n_2651;
+   wire n_2652;
+   wire n_2654;
+   wire n_2655;
+   wire n_2656;
+   wire n_2657;
+   wire n_2658;
+   wire n_2660;
+   wire n_2662;
+   wire n_2663;
+   wire n_2664;
+   wire n_2665;
+   wire n_2666;
+   wire n_2667;
+   wire n_2668;
+   wire n_2669;
+   wire n_2670;
+   wire n_2671;
+   wire n_2672;
+   wire n_2673;
+   wire n_2675;
+   wire n_2676;
+   wire n_2677;
+   wire n_2680;
+   wire n_2681;
+   wire n_2682;
+   wire n_2683;
+   wire n_2684;
+   wire n_2685;
+   wire n_2686;
+   wire n_2687;
+   wire n_2688;
+   wire n_2689;
+   wire n_2690;
+   wire n_2691;
+   wire n_2692;
+   wire n_2693;
+   wire n_2694;
+   wire n_2695;
+   wire n_2696;
+   wire n_2697;
+   wire n_2698;
+   wire n_2699;
+   wire n_2700;
+   wire n_2701;
+   wire n_2702;
+   wire n_2703;
+   wire n_2704;
+   wire n_2705;
+   wire n_2706;
+   wire n_2707;
+   wire n_2708;
+   wire n_2709;
+   wire n_2710;
+   wire n_2711;
+   wire n_2712;
+   wire n_2713;
+   wire n_2714;
+   wire n_2715;
+   wire n_2716;
+   wire n_2717;
+   wire n_2718;
+   wire n_2719;
+   wire n_2720;
+   wire n_2721;
+   wire n_2722;
+   wire n_2723;
+   wire n_2724;
+   wire n_2725;
+   wire n_2726;
+   wire n_2727;
+   wire n_2728;
+   wire n_2729;
+   wire n_2730;
+   wire n_2731;
+   wire n_2732;
+   wire n_2733;
+   wire n_2734;
+   wire n_2735;
+   wire n_2736;
+   wire n_2737;
+   wire n_2738;
+   wire n_2739;
+   wire n_2740;
+   wire n_2741;
+   wire n_2742;
+   wire n_2743;
+   wire n_2744;
+   wire n_2745;
+   wire n_2746;
+   wire n_2747;
+   wire n_2748;
+   wire n_2749;
+   wire n_2750;
+   wire n_2751;
+   wire n_2752;
+   wire n_2753;
+   wire n_2754;
+   wire n_2755;
+   wire n_2756;
+   wire n_2757;
+   wire n_2758;
+   wire n_2759;
+   wire n_2760;
+   wire n_2761;
+   wire n_2762;
+   wire n_2763;
+   wire n_2764;
+   wire n_2765;
+   wire n_2766;
+   wire n_2767;
+   wire n_2768;
+   wire n_2769;
+   wire n_2770;
+   wire n_2773;
+   wire n_2774;
+   wire n_2775;
+   wire n_2776;
+   wire n_2777;
+   wire n_2778;
+   wire n_2779;
+   wire n_2780;
+   wire n_2782;
+   wire n_2784;
+   wire n_2785;
+   wire n_2786;
+   wire n_2787;
+   wire n_2788;
+   wire n_2789;
+   wire n_2790;
+   wire n_2791;
+   wire n_2792;
+   wire n_2794;
+   wire n_2795;
+   wire n_2796;
+   wire n_2798;
+   wire n_2800;
+   wire n_2801;
+   wire n_2802;
+   wire n_2803;
+   wire n_2805;
+   wire n_2807;
+   wire n_2808;
+   wire n_2809;
+   wire n_2810;
+   wire n_2811;
+   wire n_2812;
+   wire n_2813;
+   wire n_2814;
+   wire n_2815;
+   wire n_2816;
+   wire n_2817;
+   wire n_2818;
+   wire n_2820;
+   wire n_2822;
+   wire n_2824;
+   wire n_2826;
+   wire n_2828;
+   wire n_2830;
+   wire n_2832;
+   wire n_2834;
+   wire n_2836;
+   wire n_2838;
+   wire n_2840;
+   wire n_2842;
+   wire n_2844;
+   wire n_2846;
+   wire n_2848;
+   wire n_2850;
+   wire n_2852;
+   wire n_2854;
+   wire n_2855;
+   wire n_2857;
+   wire n_2859;
+   wire n_2861;
+   wire n_2863;
+   wire n_2865;
+   wire n_2866;
+   wire n_2867;
+   wire n_2869;
+   wire n_2871;
+   wire n_2873;
+   wire n_2874;
+   wire n_2876;
+   wire n_2878;
+   wire n_2879;
+   wire n_2880;
+   wire n_2881;
+   wire n_2882;
+   wire n_2884;
+   wire n_2885;
+   wire n_2886;
+   wire n_2887;
+   wire n_2888;
+   wire n_2890;
+   wire n_2891;
+   wire n_2892;
+   wire n_2894;
+   wire n_2895;
+   wire n_2896;
+   wire n_2897;
+   wire n_2899;
+   wire n_2901;
+   wire n_2903;
+   wire n_2904;
+   wire n_2906;
+   wire n_2907;
+   wire n_2908;
+   wire n_2909;
+   wire n_2910;
+   wire n_2911;
+   wire n_2912;
+   wire n_2914;
+   wire n_2915;
+   wire n_2917;
+   wire n_2918;
+   wire n_2919;
+   wire n_2921;
+   wire n_2923;
+   wire n_2925;
+   wire n_2927;
+   wire n_2928;
+   wire n_2929;
+   wire n_2931;
+   wire n_2932;
+   wire n_2933;
+   wire n_2934;
+   wire n_2936;
+   wire n_2938;
+   wire n_2939;
+   wire n_2940;
+   wire n_2941;
+   wire n_2942;
+   wire n_2943;
+   wire n_2944;
+   wire n_2945;
+   wire n_2946;
+   wire n_2947;
+   wire n_2948;
+   wire n_2949;
+   wire n_2950;
+   wire n_2951;
+   wire n_2952;
+   wire n_2953;
+   wire n_2954;
+   wire n_2955;
+   wire n_2956;
+   wire n_2957;
+   wire n_2958;
+   wire n_2959;
+   wire n_2960;
+   wire n_2961;
+   wire n_2962;
+   wire n_2963;
+   wire n_2964;
+   wire n_2965;
+   wire n_2966;
+   wire n_2968;
+   wire n_2970;
+   wire n_2971;
+   wire n_2972;
+   wire n_2973;
+   wire n_2974;
+   wire n_2975;
+   wire n_2976;
+   wire n_2977;
+   wire n_2978;
+   wire n_2979;
+   wire n_2980;
+   wire n_2981;
+   wire n_2982;
+   wire n_2983;
+   wire n_2984;
+   wire n_2985;
+   wire n_2986;
+   wire n_2987;
+   wire n_2988;
+   wire n_2989;
+   wire n_2990;
+   wire n_2991;
+   wire n_2992;
+   wire n_2993;
+   wire n_2994;
+   wire n_2995;
+   wire n_2996;
+   wire n_2997;
+   wire n_2998;
+   wire n_2999;
+   wire n_3000;
+   wire n_3002;
+   wire n_3003;
+   wire n_3004;
+   wire n_3005;
+   wire n_3006;
+   wire n_3007;
+   wire n_3008;
+   wire n_3009;
+   wire n_3010;
+   wire n_3011;
+   wire n_3012;
+   wire n_3013;
+   wire n_3014;
+   wire n_3015;
+   wire n_3016;
+   wire n_3017;
+   wire n_3018;
+   wire n_3019;
+   wire n_3020;
+   wire n_3021;
+   wire n_3022;
+   wire n_3023;
+   wire n_3024;
+   wire n_3025;
+   wire n_3026;
+   wire n_3027;
+   wire n_3028;
+   wire n_3029;
+   wire n_3030;
+   wire n_3031;
+   wire n_3032;
+   wire n_3033;
+   wire n_3034;
+   wire n_3035;
+   wire n_3036;
+   wire n_3037;
+   wire n_3038;
+   wire n_3039;
+   wire n_3040;
+   wire n_3041;
+   wire n_3042;
+   wire n_3043;
+   wire n_3044;
+   wire n_3045;
+   wire n_3046;
+   wire n_3047;
+   wire n_3048;
+   wire n_3049;
+   wire n_3050;
+   wire n_3051;
+   wire n_3052;
+   wire n_3053;
+   wire n_3054;
+   wire n_3055;
+   wire n_3056;
+   wire n_3057;
+   wire n_3058;
+   wire n_3059;
+   wire n_3060;
+   wire n_3061;
+   wire n_3062;
+   wire n_3063;
+   wire n_3064;
+   wire n_3065;
+   wire n_3066;
+   wire n_3067;
+   wire n_3068;
+   wire n_3069;
+   wire n_3070;
+   wire n_3071;
+   wire n_3072;
+   wire n_3073;
+   wire n_3074;
+   wire n_3075;
+   wire n_3076;
+   wire n_3077;
+   wire n_3078;
+   wire n_3079;
+   wire n_3080;
+   wire n_3081;
+   wire n_3082;
+   wire n_3083;
+   wire n_3084;
+   wire n_3085;
+   wire n_3086;
+   wire n_3087;
+   wire n_3088;
+   wire n_3089;
+   wire n_3090;
+   wire n_3091;
+   wire n_3092;
+   wire n_3093;
+   wire n_3094;
+   wire n_3095;
+   wire n_3096;
+   wire n_3097;
+   wire n_3098;
+   wire n_3099;
+   wire n_3100;
+   wire n_3101;
+   wire n_3102;
+   wire n_3103;
+   wire n_3104;
+   wire n_3105;
+   wire n_3106;
+   wire n_3107;
+   wire n_3108;
+   wire n_3109;
+   wire n_3110;
+   wire n_3111;
+   wire n_3112;
+   wire n_3113;
+   wire n_3114;
+   wire n_3115;
+   wire n_3116;
+   wire n_3117;
+   wire n_3118;
+   wire n_3119;
+   wire n_3120;
+   wire n_3121;
+   wire n_3122;
+   wire n_3123;
+   wire n_3124;
+   wire n_3125;
+   wire n_3126;
+   wire n_3127;
+   wire n_3128;
+   wire n_3129;
+   wire n_3130;
+   wire n_3131;
+   wire n_3132;
+   wire n_3133;
+   wire n_3134;
+   wire n_3135;
+   wire n_3136;
+   wire n_3137;
+   wire n_3138;
+   wire n_3139;
+   wire n_3140;
+   wire n_3141;
+   wire n_3142;
+   wire n_3143;
+   wire n_3144;
+   wire n_3145;
+   wire n_3146;
+   wire n_3147;
+   wire n_3148;
+   wire n_3149;
+   wire n_3150;
+   wire n_3151;
+   wire n_3152;
+   wire n_3153;
+   wire n_3154;
+   wire n_3155;
+   wire n_3156;
+   wire n_3157;
+   wire n_3158;
+   wire n_3159;
+   wire n_3160;
+   wire n_3161;
+   wire n_3162;
+   wire n_3163;
+   wire n_3164;
+   wire n_3165;
+   wire n_3166;
+   wire n_3167;
+   wire n_3168;
+   wire n_3169;
+   wire n_3170;
+   wire n_3171;
+   wire n_3172;
+   wire n_3173;
+   wire n_3174;
+   wire n_3175;
+   wire n_3176;
+   wire n_3177;
+   wire n_3178;
+   wire n_3179;
+   wire n_3180;
+   wire n_3181;
+   wire n_3182;
+   wire n_3183;
+   wire n_3184;
+   wire n_3185;
+   wire n_3186;
+   wire n_3187;
+   wire n_3188;
+   wire n_3189;
+   wire n_3190;
+   wire n_3191;
+   wire n_3192;
+   wire n_3193;
+   wire n_3194;
+   wire n_3195;
+   wire n_3196;
+   wire n_3197;
+   wire n_3198;
+   wire n_3199;
+   wire n_3200;
+   wire n_3201;
+   wire n_3202;
+   wire n_3203;
+   wire n_3204;
+   wire n_3205;
+   wire n_3206;
+   wire n_3207;
+   wire n_3210;
+   wire n_3211;
+   wire n_3212;
+   wire n_3214;
+   wire n_3216;
+   wire n_3217;
+   wire n_3218;
+   wire n_3219;
+   wire n_3223;
+   wire n_3233;
+   wire n_3244;
+   wire n_3254;
+   wire n_3255;
+   wire n_3256;
+   wire n_3258;
+   wire n_3276;
+   wire n_3277;
+   wire n_3278;
+   wire n_3279;
+   wire n_3280;
+   wire n_3281;
+   wire n_3304;
+   wire n_3308;
+   wire n_3309;
+   wire n_3310;
+   wire n_3311;
+   wire n_3312;
+   wire n_3313;
+   wire n_3314;
+   wire n_3315;
+   wire n_3316;
+   wire n_3317;
+   wire n_3318;
+   wire n_3319;
+   wire n_3320;
+   wire n_3321;
+   wire n_3322;
+   wire n_3323;
+   wire n_3324;
+   wire n_3325;
+   wire n_3326;
+   wire n_3327;
+   wire n_3328;
+   wire n_3329;
+   wire n_3330;
+   wire n_3331;
+   wire n_3332;
+   wire n_3333;
+   wire n_3334;
+   wire n_3335;
+   wire n_3336;
+   wire n_3337;
+   wire n_3338;
+   wire n_3339;
+   wire n_3341;
+   wire n_3342;
+   wire n_3343;
+   wire n_3344;
+   wire n_3345;
+   wire n_3346;
+   wire n_3347;
+   wire n_3348;
+   wire n_3349;
+   wire n_3350;
+   wire n_3351;
+   wire n_3352;
+   wire n_3353;
+   wire n_3354;
+   wire n_3355;
+   wire n_3356;
+   wire n_3357;
+   wire n_3358;
+   wire n_3359;
+   wire n_3360;
+   wire n_3361;
+   wire n_3362;
+   wire n_3363;
+   wire n_3364;
+   wire n_3365;
+   wire n_3366;
+   wire n_3367;
+   wire n_3368;
+   wire n_3369;
+   wire n_3370;
+   wire n_3371;
+   wire n_3372;
+   wire n_3373;
+   wire n_3374;
+   wire n_3375;
+   wire n_3376;
+   wire n_3377;
+   wire n_3378;
+   wire n_3379;
+   wire n_3380;
+   wire n_3381;
+   wire n_3382;
+   wire n_3383;
+   wire n_3384;
+   wire n_3385;
+   wire n_3386;
+   wire n_3387;
+   wire n_3388;
+   wire n_3389;
+   wire n_3391;
+   wire n_3392;
+   wire n_3393;
+   wire n_3394;
+   wire n_3395;
+   wire n_3396;
+   wire n_3397;
+   wire n_3398;
+   wire n_3399;
+   wire n_3400;
+   wire n_3401;
+   wire n_3402;
+   wire n_3403;
+   wire n_3404;
+   wire n_3405;
+   wire n_3406;
+   wire n_3407;
+   wire n_3408;
+   wire n_3409;
+   wire n_3410;
+   wire n_3411;
+   wire n_3412;
+   wire n_3413;
+   wire n_3414;
+   wire n_3415;
+   wire n_3416;
+   wire n_3417;
+   wire n_3418;
+   wire n_3419;
+   wire n_3420;
+   wire n_3421;
+   wire n_3423;
+   wire n_3425;
+   wire n_3426;
+   wire n_3427;
+   wire n_3428;
+   wire n_3429;
+   wire n_3430;
+   wire n_3431;
+   wire n_3432;
+   wire n_3433;
+   wire n_3435;
+   wire n_3436;
+   wire n_3437;
+   wire n_3438;
+   wire n_3439;
+   wire n_3440;
+   wire n_3441;
+   wire n_3442;
+   wire n_3443;
+   wire n_3444;
+   wire n_3445;
+   wire n_3446;
+   wire n_3447;
+   wire n_3448;
+   wire n_3449;
+   wire n_3450;
+   wire n_3453;
+   wire n_3454;
+   wire n_3455;
+   wire n_3456;
+   wire n_3457;
+   wire n_3458;
+   wire n_3459;
+   wire n_3460;
+   wire n_3463;
+   wire n_3464;
+   wire n_3465;
+   wire n_3466;
+   wire n_3467;
+   wire n_3468;
+   wire n_3469;
+   wire n_3470;
+   wire n_3471;
+   wire n_3472;
+   wire n_3473;
+   wire n_3474;
+   wire n_3475;
+   wire n_3476;
+   wire n_3477;
+   wire n_3478;
+   wire n_3479;
+   wire n_3480;
+   wire n_3481;
+   wire n_3482;
+   wire n_3483;
+   wire n_3484;
+   wire n_3485;
+   wire n_3486;
+   wire n_3487;
+   wire n_3488;
+   wire n_3489;
+   wire n_3490;
+   wire n_3491;
+   wire n_3492;
+   wire n_3493;
+   wire n_3494;
+   wire n_3495;
+   wire n_3496;
+   wire n_3497;
+   wire n_3498;
+   wire n_3499;
+   wire n_3500;
+   wire n_3501;
+   wire n_3502;
+   wire n_3503;
+   wire n_3504;
+   wire n_3505;
+   wire n_3506;
+   wire n_3507;
+   wire n_3508;
+   wire n_3509;
+   wire n_3510;
+   wire n_3511;
+   wire n_3512;
+   wire n_3513;
+   wire n_3514;
+   wire n_3515;
+   wire n_3516;
+   wire n_3517;
+   wire n_3518;
+   wire n_3519;
+   wire n_3520;
+   wire n_3521;
+   wire n_3522;
+   wire n_3523;
+   wire n_3524;
+   wire n_3525;
+   wire n_3526;
+   wire n_3527;
+   wire n_3528;
+   wire n_3529;
+   wire n_3530;
+   wire n_3531;
+   wire n_3532;
+   wire n_3533;
+   wire n_3534;
+   wire n_3535;
+   wire n_3536;
+   wire n_3537;
+   wire n_3538;
+   wire n_3539;
+   wire n_3540;
+   wire n_3541;
+   wire n_3542;
+   wire n_3543;
+   wire n_3544;
+   wire n_3545;
+   wire n_3546;
+   wire n_3547;
+   wire n_3548;
+   wire n_3549;
+   wire n_3550;
+   wire n_3551;
+   wire n_3552;
+   wire n_3553;
+   wire n_3554;
+   wire n_3555;
+   wire n_3556;
+   wire n_3557;
+   wire n_3558;
+   wire n_3559;
+   wire n_3560;
+   wire n_3561;
+   wire n_3562;
+   wire n_3563;
+   wire n_3564;
+   wire n_3565;
+   wire n_3566;
+   wire n_3567;
+   wire n_3568;
+   wire n_3569;
+   wire n_3570;
+   wire n_3571;
+   wire n_3572;
+   wire n_3573;
+   wire n_3574;
+   wire n_3575;
+   wire n_3576;
+   wire n_3577;
+   wire n_3578;
+   wire n_3579;
+   wire n_3580;
+   wire n_3581;
+   wire n_3582;
+   wire n_3583;
+   wire n_3584;
+   wire n_3585;
+   wire n_3586;
+   wire n_3587;
+   wire n_3588;
+   wire n_3589;
+   wire n_3590;
+   wire n_3591;
+   wire n_3592;
+   wire n_3593;
+   wire n_3594;
+   wire n_3595;
+   wire n_3596;
+   wire n_3597;
+   wire n_3598;
+   wire n_3599;
+   wire n_3600;
+   wire n_3601;
+   wire n_3602;
+   wire n_3603;
+   wire n_3604;
+   wire n_3605;
+   wire n_3606;
+   wire n_3607;
+   wire n_3608;
+   wire n_3609;
+   wire n_3610;
+   wire n_3611;
+   wire n_3612;
+   wire n_3613;
+   wire n_3614;
+   wire n_3615;
+   wire n_3616;
+   wire n_3617;
+   wire n_3618;
+   wire n_3619;
+   wire n_3620;
+   wire n_3621;
+   wire n_3622;
+   wire n_3623;
+   wire n_3624;
+   wire n_3625;
+   wire n_3626;
+   wire n_3627;
+   wire n_3628;
+   wire n_3629;
+   wire n_3630;
+   wire n_3631;
+   wire n_3632;
+   wire n_3633;
+   wire n_3634;
+   wire n_3635;
+   wire n_3636;
+   wire n_3637;
+   wire n_3638;
+   wire n_3639;
+   wire n_3640;
+   wire n_3641;
+   wire n_3642;
+   wire n_3643;
+   wire n_3644;
+   wire n_3645;
+   wire n_3646;
+   wire n_3647;
+   wire n_3648;
+   wire n_3649;
+   wire n_3650;
+   wire n_3651;
+   wire n_3652;
+   wire n_3653;
+   wire n_3654;
+   wire n_3655;
+   wire n_3656;
+   wire n_3657;
+   wire n_3658;
+   wire n_3659;
+   wire n_3660;
+   wire n_3661;
+   wire n_3662;
+   wire n_3663;
+   wire n_3664;
+   wire n_3665;
+   wire n_3666;
+   wire n_3667;
+   wire n_3668;
+   wire n_3669;
+   wire n_3670;
+   wire n_3671;
+   wire n_3672;
+   wire n_3673;
+   wire n_3674;
+   wire n_3675;
+   wire n_3676;
+   wire n_3677;
+   wire n_3678;
+   wire n_3679;
+   wire n_3680;
+   wire n_3681;
+   wire n_3682;
+   wire n_3683;
+   wire n_3684;
+   wire n_3685;
+   wire n_3686;
+   wire n_3687;
+   wire n_3688;
+   wire n_3689;
+   wire n_3690;
+   wire n_3691;
+   wire n_3692;
+   wire n_3693;
+   wire n_3694;
+   wire n_3695;
+   wire n_3696;
+   wire n_3697;
+   wire n_3698;
+   wire n_3699;
+   wire n_3700;
+   wire n_3701;
+   wire n_3702;
+   wire n_3703;
+   wire n_3704;
+   wire n_3705;
+   wire n_3706;
+   wire n_3707;
+   wire n_3708;
+   wire n_3710;
+   wire n_3711;
+   wire n_3712;
+   wire n_3713;
+   wire n_3714;
+   wire n_3715;
+   wire n_3716;
+   wire n_3717;
+   wire n_3718;
+   wire n_3719;
+   wire n_3720;
+   wire n_3721;
+   wire n_3723;
+   wire n_3724;
+   wire n_3725;
+   wire n_3726;
+   wire n_3727;
+   wire n_3728;
+   wire n_3729;
+   wire n_3730;
+   wire n_3731;
+   wire n_3732;
+   wire n_3733;
+   wire n_3734;
+   wire n_3735;
+   wire n_3736;
+   wire n_3737;
+   wire n_3738;
+   wire n_3739;
+   wire n_3741;
+   wire n_3742;
+   wire n_3743;
+   wire n_3744;
+   wire n_3745;
+   wire n_3746;
+   wire n_3747;
+   wire n_3748;
+   wire n_3749;
+   wire n_3750;
+   wire n_3751;
+   wire n_3752;
+   wire n_3754;
+   wire n_3755;
+   wire n_3757;
+   wire n_3758;
+   wire n_3759;
+   wire n_3760;
+   wire n_3761;
+   wire n_3762;
+   wire n_3763;
+   wire n_3764;
+   wire n_3765;
+   wire n_3766;
+   wire n_3767;
+   wire n_3768;
+   wire n_3769;
+   wire n_3770;
+   wire n_3771;
+   wire n_3772;
+   wire n_3773;
+   wire n_3774;
+   wire n_3775;
+   wire n_3776;
+   wire n_3777;
+   wire n_3778;
+   wire n_3779;
+   wire n_3780;
+   wire n_3781;
+   wire n_3782;
+   wire n_3783;
+   wire n_3784;
+   wire n_3785;
+   wire n_3786;
+   wire n_3787;
+   wire n_3788;
+   wire n_3789;
+   wire n_3790;
+   wire n_3791;
+   wire n_3792;
+   wire n_3793;
+   wire n_3794;
+   wire n_3795;
+   wire n_3796;
+   wire n_3797;
+   wire n_3798;
+   wire n_3799;
+   wire n_3800;
+   wire n_3801;
+   wire n_3802;
+   wire n_3803;
+   wire n_3804;
+   wire n_3805;
+   wire n_3806;
+   wire n_3807;
+   wire n_3808;
+   wire n_3809;
+   wire n_3810;
+   wire n_3811;
+   wire n_3812;
+   wire n_3813;
+   wire n_3814;
+   wire n_3815;
+   wire n_3817;
+   wire n_3818;
+   wire n_3819;
+   wire n_3820;
+   wire n_3822;
+   wire n_3823;
+   wire n_3824;
+   wire n_3825;
+   wire n_3826;
+   wire n_3827;
+   wire n_3828;
+   wire n_3829;
+   wire n_3830;
+   wire n_3831;
+   wire n_3832;
+   wire n_3835;
+   wire n_3836;
+   wire n_3837;
+   wire n_3838;
+   wire n_3840;
+   wire n_3841;
+   wire n_3842;
+   wire n_3844;
+   wire n_3845;
+   wire n_3846;
+   wire n_3847;
+   wire n_3848;
+   wire n_3849;
+   wire n_3850;
+   wire n_3853;
+   wire n_3859;
+   wire n_3860;
+   wire n_3861;
+   wire n_3862;
+   wire n_3863;
+   wire n_3864;
+   wire n_3865;
+   wire n_3866;
+   wire n_3867;
+   wire n_3868;
+   wire n_3869;
+   wire n_3870;
+   wire n_3871;
+   wire n_3872;
+   wire n_3873;
+   wire n_3874;
+   wire n_3875;
+   wire n_3876;
+   wire n_3877;
+   wire n_3878;
+   wire n_3879;
+   wire n_3880;
+   wire n_3881;
+   wire n_3882;
+   wire n_3883;
+   wire n_3884;
+   wire n_3885;
+   wire n_3886;
+   wire n_3888;
+   wire n_3889;
+   wire n_3890;
+   wire n_3891;
+   wire n_3892;
+   wire n_3893;
+   wire n_3894;
+   wire n_3895;
+   wire n_3896;
+   wire n_3897;
+   wire n_3898;
+   wire n_3899;
+   wire n_3900;
+   wire n_3901;
+   wire n_3902;
+   wire n_3903;
+   wire n_3904;
+   wire n_3905;
+   wire n_3906;
+   wire n_3907;
+   wire n_3908;
+   wire n_3909;
+   wire n_3910;
+   wire n_3911;
+   wire n_3912;
+   wire n_3913;
+   wire n_3914;
+   wire n_3915;
+   wire n_3916;
+   wire n_3917;
+   wire n_3918;
+   wire n_3919;
+   wire n_3920;
+   wire n_3921;
+   wire n_3922;
+   wire n_3923;
+   wire n_3924;
+   wire n_3925;
+   wire n_3928;
+   wire n_3930;
+   wire n_3931;
+   wire n_3932;
+   wire n_3933;
+   wire n_3934;
+   wire n_3935;
+   wire n_3936;
+   wire n_3937;
+   wire n_3938;
+   wire n_3939;
+   wire n_3940;
+   wire n_3941;
+   wire n_3943;
+   wire n_3944;
+   wire n_3945;
+   wire n_3946;
+   wire n_3947;
+   wire n_3948;
+   wire n_3949;
+   wire n_3950;
+   wire n_3951;
+   wire n_3952;
+   wire n_3953;
+   wire n_3954;
+   wire n_3955;
+   wire n_3956;
+   wire n_3957;
+   wire n_3958;
+   wire n_3959;
+   wire n_3960;
+   wire n_3961;
+   wire n_3962;
+   wire n_3963;
+   wire n_3964;
+   wire n_3965;
+   wire n_3966;
+   wire n_3967;
+   wire n_3968;
+   wire n_3969;
+   wire n_3970;
+   wire n_3971;
+   wire n_3972;
+   wire n_3974;
+   wire n_3975;
+   wire n_3976;
+   wire n_3977;
+   wire n_3978;
+   wire n_3979;
+   wire n_3980;
+   wire n_3981;
+   wire n_3982;
+   wire n_3983;
+   wire n_3984;
+   wire n_3985;
+   wire n_3986;
+   wire n_3987;
+   wire n_3988;
+   wire n_3989;
+   wire n_3990;
+   wire n_3992;
+   wire n_3993;
+   wire n_3994;
+   wire n_3995;
+   wire n_3996;
+   wire n_3997;
+   wire n_3998;
+   wire n_3999;
+   wire n_4000;
+   wire n_4001;
+   wire n_4003;
+   wire n_4004;
+   wire n_4005;
+   wire n_4006;
+   wire n_4007;
+   wire n_4008;
+   wire n_4009;
+   wire n_4010;
+   wire n_4011;
+   wire n_4012;
+   wire n_4013;
+   wire n_4014;
+   wire n_4015;
+   wire n_4016;
+   wire n_4017;
+   wire n_4018;
+   wire n_4019;
+   wire n_4020;
+   wire n_4021;
+   wire n_4025;
+   wire n_4026;
+   wire n_4027;
+   wire n_4028;
+   wire n_4030;
+   wire n_4031;
+   wire n_4032;
+   wire n_4033;
+   wire n_4034;
+   wire n_4035;
+   wire n_4036;
+   wire n_4037;
+   wire n_4038;
+   wire n_4039;
+   wire n_4040;
+   wire n_4041;
+   wire n_4042;
+   wire n_4043;
+   wire n_4044;
+   wire n_4045;
+   wire n_4046;
+   wire n_4047;
+   wire n_4048;
+   wire n_4049;
+   wire n_4050;
+   wire n_4051;
+   wire n_4052;
+   wire n_4053;
+   wire n_4054;
+   wire n_4055;
+   wire n_4056;
+   wire n_4057;
+   wire n_4058;
+   wire n_4059;
+   wire n_4060;
+   wire n_4061;
+   wire n_4062;
+   wire n_4063;
+   wire n_4064;
+   wire n_4065;
+   wire n_4066;
+   wire n_4067;
+   wire n_4068;
+   wire n_4069;
+   wire n_4070;
+   wire n_4071;
+   wire n_4072;
+   wire n_4073;
+   wire n_4074;
+   wire n_4075;
+   wire n_4076;
+   wire n_4077;
+   wire n_4078;
+   wire n_4079;
+   wire n_4080;
+   wire n_4081;
+   wire n_4082;
+   wire n_4083;
+   wire n_4084;
+   wire n_4085;
+   wire n_4086;
+   wire n_4087;
+   wire n_4088;
+   wire n_4089;
+   wire n_4090;
+   wire n_4091;
+   wire n_4092;
+   wire n_4093;
+   wire n_4094;
+   wire n_4095;
+   wire n_4096;
+   wire n_4097;
+   wire n_4098;
+   wire n_4099;
+   wire n_4100;
+   wire n_4101;
+   wire n_4102;
+   wire n_4103;
+   wire n_4104;
+   wire n_4105;
+   wire n_4106;
+   wire n_4107;
+   wire n_4108;
+   wire n_4109;
+   wire n_4110;
+   wire n_4111;
+   wire n_4112;
+   wire n_4113;
+   wire n_4114;
+   wire n_4115;
+   wire n_4116;
+   wire n_4117;
+   wire n_4118;
+   wire n_4119;
+   wire n_4120;
+   wire n_4121;
+   wire n_4122;
+   wire n_4123;
+   wire n_4124;
+   wire n_4125;
+   wire n_4126;
+   wire n_4127;
+   wire n_4128;
+   wire n_4129;
+   wire n_4130;
+   wire n_4131;
+   wire n_4132;
+   wire n_4133;
+   wire n_4134;
+   wire n_4135;
+   wire n_4136;
+   wire n_4137;
+   wire n_4138;
+   wire n_4139;
+   wire n_4140;
+   wire n_4141;
+   wire n_4142;
+   wire n_4143;
+   wire n_4144;
+   wire n_4145;
+   wire n_4146;
+   wire n_4147;
+   wire n_4148;
+   wire n_4149;
+   wire n_4150;
+   wire n_4151;
+   wire n_4152;
+   wire n_4153;
+   wire n_4154;
+   wire n_4155;
+   wire n_4156;
+   wire n_4157;
+   wire n_4158;
+   wire n_4159;
+   wire n_4160;
+   wire n_4161;
+   wire n_4162;
+   wire n_4163;
+   wire n_4164;
+   wire n_4165;
+   wire n_4166;
+   wire n_4167;
+   wire n_4168;
+   wire n_4169;
+   wire n_4170;
+   wire n_4171;
+   wire n_4172;
+   wire n_4173;
+   wire n_4174;
+   wire n_4175;
+   wire n_4176;
+   wire n_4177;
+   wire n_4178;
+   wire n_4179;
+   wire n_4180;
+   wire n_4181;
+   wire n_4182;
+   wire n_4183;
+   wire n_4184;
+   wire n_4185;
+   wire n_4186;
+   wire n_4187;
+   wire n_4188;
+   wire n_4189;
+   wire n_4190;
+   wire n_4191;
+   wire n_4192;
+   wire n_4194;
+   wire n_4195;
+   wire n_4196;
+   wire n_4197;
+   wire n_4198;
+   wire n_4199;
+   wire n_4200;
+   wire n_4201;
+   wire n_4202;
+   wire n_4203;
+   wire n_4204;
+   wire n_4205;
+   wire n_4206;
+   wire n_4207;
+   wire n_4208;
+   wire n_4209;
+   wire n_4210;
+   wire n_4211;
+   wire n_4212;
+   wire n_4213;
+   wire n_4214;
+   wire n_4215;
+   wire n_4216;
+   wire n_4217;
+   wire n_4218;
+   wire n_4219;
+   wire n_4220;
+   wire n_4221;
+   wire n_4222;
+   wire n_4223;
+   wire n_4224;
+   wire n_4225;
+   wire n_4226;
+   wire n_4227;
+   wire n_4228;
+   wire n_4229;
+   wire n_4230;
+   wire n_4231;
+   wire n_4232;
+   wire n_4233;
+   wire n_4234;
+   wire n_4235;
+   wire n_4236;
+   wire n_4237;
+   wire n_4238;
+   wire n_4239;
+   wire n_4240;
+   wire n_4241;
+   wire n_4242;
+   wire n_4243;
+   wire n_4244;
+   wire n_4245;
+   wire n_4246;
+   wire n_4247;
+   wire n_4248;
+   wire n_4249;
+   wire n_4250;
+   wire n_4251;
+   wire n_4252;
+   wire n_4253;
+   wire n_4254;
+   wire n_4255;
+   wire n_4257;
+   wire n_4258;
+   wire n_4259;
+   wire n_4260;
+   wire n_4261;
+   wire n_4262;
+   wire n_4263;
+   wire n_4264;
+   wire n_4265;
+   wire n_4266;
+   wire n_4267;
+   wire n_4268;
+   wire n_4269;
+   wire n_4271;
+   wire n_4272;
+   wire n_4273;
+   wire n_4274;
+   wire n_4275;
+   wire n_4276;
+   wire n_4277;
+   wire n_4278;
+   wire n_4279;
+   wire n_4280;
+   wire n_4281;
+   wire n_4282;
+   wire n_4283;
+   wire n_4284;
+   wire n_4285;
+   wire n_4286;
+   wire n_4287;
+   wire n_4288;
+   wire n_4289;
+   wire n_4290;
+   wire n_4291;
+   wire n_4292;
+   wire n_4293;
+   wire n_4294;
+   wire n_4295;
+   wire n_4296;
+   wire n_4297;
+   wire n_4298;
+   wire n_4299;
+   wire n_4300;
+   wire n_4301;
+   wire n_4302;
+   wire n_4303;
+   wire n_4304;
+   wire n_4305;
+   wire n_4306;
+   wire n_4307;
+   wire n_4308;
+   wire n_4309;
+   wire n_4310;
+   wire n_4311;
+   wire n_4312;
+   wire n_4313;
+   wire n_4314;
+   wire n_4315;
+   wire n_4316;
+   wire n_4317;
+   wire n_4318;
+   wire n_4319;
+   wire n_4320;
+   wire n_4321;
+   wire n_4322;
+   wire n_4323;
+   wire n_4324;
+   wire n_4325;
+   wire n_4326;
+   wire n_4327;
+   wire n_4328;
+   wire n_4329;
+   wire n_4330;
+   wire n_4331;
+   wire n_4332;
+   wire n_4333;
+   wire n_4334;
+   wire n_4335;
+   wire n_4336;
+   wire n_4337;
+   wire n_4338;
+   wire n_4339;
+   wire n_4340;
+   wire n_4341;
+   wire n_4342;
+   wire n_4343;
+   wire n_4344;
+   wire n_4345;
+   wire n_4346;
+   wire n_4347;
+   wire n_4348;
+   wire n_4349;
+   wire n_4350;
+   wire n_4351;
+   wire n_4352;
+   wire n_4353;
+   wire n_4354;
+   wire n_4355;
+   wire n_4356;
+   wire n_4357;
+   wire n_4358;
+   wire n_4359;
+   wire n_4360;
+   wire n_4361;
+   wire n_4362;
+   wire n_4363;
+   wire n_4364;
+   wire n_4365;
+   wire n_4366;
+   wire n_4367;
+   wire n_4368;
+   wire n_4369;
+   wire n_4370;
+   wire n_4371;
+   wire n_4372;
+   wire n_4373;
+   wire n_4374;
+   wire n_4375;
+   wire n_4376;
+   wire n_4377;
+   wire n_4378;
+   wire n_4379;
+   wire n_4380;
+   wire n_4381;
+   wire n_4382;
+   wire n_4383;
+   wire n_4384;
+   wire n_4385;
+   wire n_4386;
+   wire n_4387;
+   wire n_4388;
+   wire n_4389;
+   wire n_4390;
+   wire n_4391;
+   wire n_4392;
+   wire n_4393;
+   wire n_4394;
+   wire n_4395;
+   wire n_4396;
+   wire n_4397;
+   wire n_4398;
+   wire n_4399;
+   wire n_4400;
+   wire n_4401;
+   wire n_4402;
+   wire n_4403;
+   wire n_4404;
+   wire n_4405;
+   wire n_4406;
+   wire n_4407;
+   wire n_4408;
+   wire n_4409;
+   wire n_4410;
+   wire n_4411;
+   wire n_4412;
+   wire n_4413;
+   wire n_4414;
+   wire n_4415;
+   wire n_4416;
+   wire n_4417;
+   wire n_4418;
+   wire n_4419;
+   wire n_4420;
+   wire n_4421;
+   wire n_4422;
+   wire n_4423;
+   wire n_4424;
+   wire n_4425;
+   wire n_4426;
+   wire n_4427;
+   wire n_4428;
+   wire n_4429;
+   wire n_4430;
+   wire n_4431;
+   wire n_4432;
+   wire n_4433;
+   wire n_4434;
+   wire n_4435;
+   wire n_4436;
+   wire n_4437;
+   wire n_4438;
+   wire n_4439;
+   wire n_4440;
+   wire n_4441;
+   wire n_4442;
+   wire n_4443;
+   wire n_4444;
+   wire n_4445;
+   wire n_4446;
+   wire n_4447;
+   wire n_4448;
+   wire n_4449;
+   wire n_4450;
+   wire n_4451;
+   wire n_4452;
+   wire n_4453;
+   wire n_4454;
+   wire n_4455;
+   wire n_4456;
+   wire n_4457;
+   wire n_4458;
+   wire n_4459;
+   wire n_4460;
+   wire n_4461;
+   wire n_4462;
+   wire n_4463;
+   wire n_4464;
+   wire n_4465;
+   wire n_4466;
+   wire n_4467;
+   wire n_4468;
+   wire n_4469;
+   wire n_4470;
+   wire n_4471;
+   wire n_4472;
+   wire n_4473;
+   wire n_4474;
+   wire n_4475;
+   wire n_4476;
+   wire n_4477;
+   wire n_4478;
+   wire n_4479;
+   wire n_4480;
+   wire n_4481;
+   wire n_4482;
+   wire n_4483;
+   wire n_4484;
+   wire n_4485;
+   wire n_4486;
+   wire n_4487;
+   wire n_4488;
+   wire n_4489;
+   wire n_4490;
+   wire n_4492;
+   wire n_4493;
+   wire n_4494;
+   wire n_4496;
+   wire n_4498;
+   wire n_4499;
+   wire n_4500;
+   wire n_4501;
+   wire n_4502;
+   wire n_4503;
+   wire n_4504;
+   wire n_4505;
+   wire n_4506;
+   wire n_4507;
+   wire n_4508;
+   wire n_4509;
+   wire n_4510;
+   wire n_4511;
+   wire n_4512;
+   wire n_4513;
+   wire n_4514;
+   wire n_4515;
+   wire n_4516;
+   wire n_4518;
+   wire n_4519;
+   wire n_4520;
+   wire n_4521;
+   wire n_4522;
+   wire n_4523;
+   wire n_4524;
+   wire n_4525;
+   wire n_4526;
+   wire n_4527;
+   wire n_4528;
+   wire n_4529;
+   wire n_4530;
+   wire n_4531;
+   wire n_4532;
+   wire n_4533;
+   wire n_4534;
+   wire n_4535;
+   wire n_4536;
+   wire n_4537;
+   wire n_4538;
+   wire n_4539;
+   wire n_4540;
+   wire n_4541;
+   wire n_4542;
+   wire n_4543;
+   wire n_4544;
+   wire n_4545;
+   wire n_4546;
+   wire n_4547;
+   wire n_4548;
+   wire n_4549;
+   wire n_4550;
+   wire n_4551;
+   wire n_4552;
+   wire n_4553;
+   wire n_4554;
+   wire n_4555;
+   wire n_4556;
+   wire n_4557;
+   wire n_4558;
+   wire n_4559;
+   wire n_4560;
+   wire n_4561;
+   wire n_4562;
+   wire n_4563;
+   wire n_4564;
+   wire n_4565;
+   wire n_4566;
+   wire n_4567;
+   wire n_4568;
+   wire n_4569;
+   wire n_4570;
+   wire n_4571;
+   wire n_4572;
+   wire n_4573;
+   wire n_4574;
+   wire n_4575;
+   wire n_4576;
+   wire n_4577;
+   wire n_4578;
+   wire n_4579;
+   wire n_4580;
+   wire n_4581;
+   wire n_4582;
+   wire n_4583;
+   wire n_4584;
+   wire n_4585;
+   wire n_4586;
+   wire n_4587;
+   wire n_4588;
+   wire n_4589;
+   wire n_4590;
+   wire n_4591;
+   wire n_4592;
+   wire n_4593;
+   wire n_4594;
+   wire n_4595;
+   wire n_4596;
+   wire n_4597;
+   wire n_4598;
+   wire n_4599;
+   wire n_4600;
+   wire n_4601;
+   wire n_4602;
+   wire n_4603;
+   wire n_4604;
+   wire n_4605;
+   wire n_4606;
+   wire n_4607;
+   wire n_4608;
+   wire n_4609;
+   wire n_4610;
+   wire n_4611;
+   wire n_4612;
+   wire n_4613;
+   wire n_4614;
+   wire n_4615;
+   wire n_4616;
+   wire n_4617;
+   wire n_4618;
+   wire n_4619;
+   wire n_4620;
+   wire n_4621;
+   wire n_4622;
+   wire n_4623;
+   wire n_4624;
+   wire n_4625;
+   wire n_4626;
+   wire n_4627;
+   wire n_4628;
+   wire n_4629;
+   wire n_4630;
+   wire n_4631;
+   wire n_4632;
+   wire n_4633;
+   wire n_4634;
+   wire n_4635;
+   wire n_4636;
+   wire n_4637;
+   wire n_4638;
+   wire n_4639;
+   wire n_4640;
+   wire n_4641;
+   wire n_4643;
+   wire n_4644;
+   wire n_4645;
+   wire n_4646;
+   wire n_4647;
+   wire n_4648;
+   wire n_4649;
+   wire n_4650;
+   wire n_4651;
+   wire n_4652;
+   wire n_4653;
+   wire n_4654;
+   wire n_4655;
+   wire n_4656;
+   wire n_4657;
+   wire n_4658;
+   wire n_4659;
+   wire n_4660;
+   wire n_4661;
+   wire n_4662;
+   wire n_4663;
+   wire n_4664;
+   wire n_4665;
+   wire n_4666;
+   wire n_4667;
+   wire n_4668;
+   wire n_4669;
+   wire n_4670;
+   wire n_4671;
+   wire n_4672;
+   wire n_4673;
+   wire n_4674;
+   wire n_4675;
+   wire n_4676;
+   wire n_4677;
+   wire n_4678;
+   wire n_4679;
+   wire n_4680;
+   wire n_4681;
+   wire n_4682;
+   wire n_4683;
+   wire n_4684;
+   wire n_4685;
+   wire n_4686;
+   wire n_4687;
+   wire n_4688;
+   wire n_4689;
+   wire n_4690;
+   wire n_4691;
+   wire n_4692;
+   wire n_4693;
+   wire n_4694;
+   wire n_4695;
+   wire n_4696;
+   wire n_4697;
+   wire n_4698;
+   wire n_4699;
+   wire n_4700;
+   wire n_4701;
+   wire n_4702;
+   wire n_4703;
+   wire n_4704;
+   wire n_4705;
+   wire n_4706;
+   wire n_4707;
+   wire n_4708;
+   wire n_4709;
+   wire n_4710;
+   wire n_4711;
+   wire n_4712;
+   wire n_4714;
+   wire n_4716;
+   wire n_4717;
+   wire n_4718;
+   wire n_4719;
+   wire n_4736;
+   wire n_4738;
+   wire n_4739;
+   wire n_4740;
+   wire n_4741;
+   wire n_4742;
+   wire n_4743;
+   wire n_4744;
+   wire n_4745;
+   wire n_4746;
+   wire n_4747;
+   wire n_4748;
+   wire n_4749;
+   wire n_4750;
+   wire n_4751;
+   wire n_4752;
+   wire n_4753;
+   wire n_4754;
+   wire n_4755;
+   wire n_4756;
+   wire n_4757;
+   wire n_4758;
+   wire n_4759;
+   wire n_4760;
+   wire n_4761;
+   wire n_4762;
+   wire n_4763;
+   wire n_4764;
+   wire n_4765;
+   wire n_4766;
+   wire n_4767;
+   wire n_4768;
+   wire n_4769;
+   wire n_4770;
+   wire n_4771;
+   wire n_4772;
+   wire n_4773;
+   wire n_4774;
+   wire n_4775;
+   wire n_4776;
+   wire n_4777;
+   wire n_4778;
+   wire n_4779;
+   wire n_4780;
+   wire n_4781;
+   wire n_4782;
+   wire n_4783;
+   wire n_4784;
+   wire n_4785;
+   wire n_4786;
+   wire n_4787;
+   wire n_4788;
+   wire n_4789;
+   wire n_4790;
+   wire n_4791;
+   wire n_4792;
+   wire n_4793;
+   wire n_4794;
+   wire n_4795;
+   wire n_4796;
+   wire n_4797;
+   wire n_4798;
+   wire n_4799;
+   wire n_4800;
+   wire n_4801;
+   wire n_4802;
+   wire n_4803;
+   wire n_4804;
+   wire n_4805;
+   wire n_4806;
+   wire n_4807;
+   wire n_4808;
+   wire n_4809;
+   wire n_4810;
+   wire n_4811;
+   wire n_4812;
+   wire n_4813;
+   wire n_4814;
+   wire n_4815;
+   wire n_4816;
+   wire n_4817;
+   wire n_4818;
+   wire n_4819;
+   wire n_4820;
+   wire n_4821;
+   wire n_4822;
+   wire n_4823;
+   wire n_4824;
+   wire n_4825;
+   wire n_4826;
+   wire n_4827;
+   wire n_4828;
+   wire n_4829;
+   wire n_4830;
+   wire n_4831;
+   wire n_4832;
+   wire n_4833;
+   wire n_4834;
+   wire n_4835;
+   wire n_4836;
+   wire n_4837;
+   wire n_4838;
+   wire n_4839;
+   wire n_4840;
+   wire n_4841;
+   wire n_4842;
+   wire n_4843;
+   wire n_4844;
+   wire n_4845;
+   wire n_4846;
+   wire n_4847;
+   wire n_4848;
+   wire n_4849;
+   wire n_4850;
+   wire n_4851;
+   wire n_4852;
+   wire n_4853;
+   wire n_4854;
+   wire n_4855;
+   wire n_4856;
+   wire n_4857;
+   wire n_4858;
+   wire n_4859;
+   wire n_4860;
+   wire n_4861;
+   wire n_4862;
+   wire n_4863;
+   wire n_4864;
+   wire n_4865;
+   wire n_4866;
+   wire n_4867;
+   wire n_4868;
+   wire n_4869;
+   wire n_4870;
+   wire n_4871;
+   wire n_4872;
+   wire n_4873;
+   wire n_4874;
+   wire n_4875;
+   wire n_4876;
+   wire n_4877;
+   wire n_4878;
+   wire n_4879;
+   wire n_4880;
+   wire n_4881;
+   wire n_4882;
+   wire n_4883;
+   wire n_4884;
+   wire n_4885;
+   wire n_4886;
+   wire n_4887;
+   wire n_4888;
+   wire n_4889;
+   wire n_4890;
+   wire n_4891;
+   wire n_4892;
+   wire n_4893;
+   wire n_4894;
+   wire n_4895;
+   wire n_4896;
+   wire n_4897;
+   wire n_4898;
+   wire n_4899;
+   wire n_4900;
+   wire n_4901;
+   wire n_4902;
+   wire n_4903;
+   wire n_4904;
+   wire n_4905;
+   wire n_4906;
+   wire n_4907;
+   wire n_4908;
+   wire n_4909;
+   wire n_4910;
+   wire n_4911;
+   wire n_4912;
+   wire n_4913;
+   wire n_4914;
+   wire n_4915;
+   wire n_4916;
+   wire n_4917;
+   wire n_4918;
+   wire n_4919;
+   wire n_4920;
+   wire n_4921;
+   wire n_4922;
+   wire n_4923;
+   wire n_4924;
+   wire n_4925;
+   wire n_4926;
+   wire n_4927;
+   wire n_4928;
+   wire n_4929;
+   wire n_4930;
+   wire n_4931;
+   wire n_4932;
+   wire n_4933;
+   wire n_4934;
+   wire n_4935;
+   wire n_4936;
+   wire n_4937;
+   wire n_4938;
+   wire n_4939;
+   wire n_4940;
+   wire n_4941;
+   wire n_4942;
+   wire n_4943;
+   wire n_4944;
+   wire n_4945;
+   wire n_4946;
+   wire n_4947;
+   wire n_4948;
+   wire n_4949;
+   wire n_4950;
+   wire n_4951;
+   wire n_4952;
+   wire n_4953;
+   wire n_4954;
+   wire n_4955;
+   wire n_4956;
+   wire n_4957;
+   wire n_4958;
+   wire n_4959;
+   wire n_4960;
+   wire n_4961;
+   wire n_4962;
+   wire n_4963;
+   wire n_4964;
+   wire n_4965;
+   wire n_4966;
+   wire n_4967;
+   wire n_4968;
+   wire n_4969;
+   wire n_4970;
+   wire n_4971;
+   wire n_4972;
+   wire n_4973;
+   wire n_4974;
+   wire n_4975;
+   wire n_4976;
+   wire n_4977;
+   wire n_4978;
+   wire n_4979;
+   wire n_4980;
+   wire n_4981;
+   wire n_4982;
+   wire n_4983;
+   wire n_4984;
+   wire n_4985;
+   wire n_4986;
+   wire n_4987;
+   wire n_4988;
+   wire n_4989;
+   wire n_4990;
+   wire n_4991;
+   wire n_4992;
+   wire n_4993;
+   wire n_4994;
+   wire n_4995;
+   wire n_4996;
+   wire n_4997;
+   wire n_4998;
+   wire n_5000;
+   wire n_5001;
+   wire n_5002;
+   wire n_5003;
+   wire n_5004;
+   wire n_5005;
+   wire n_5006;
+   wire n_5007;
+   wire n_5008;
+   wire n_5009;
+   wire n_5010;
+   wire n_5011;
+   wire n_5012;
+   wire n_5014;
+   wire n_5015;
+   wire n_5016;
+   wire n_5017;
+   wire n_5018;
+   wire n_5019;
+   wire n_5020;
+   wire n_5021;
+   wire n_5022;
+   wire n_5023;
+   wire n_5025;
+   wire n_5026;
+   wire n_5027;
+   wire n_5028;
+   wire n_5029;
+   wire n_5030;
+   wire n_5031;
+   wire n_5032;
+   wire n_5033;
+   wire n_5034;
+   wire n_5035;
+   wire n_5036;
+   wire n_5037;
+   wire n_5038;
+   wire n_5039;
+   wire n_5040;
+   wire n_5041;
+   wire n_5042;
+   wire n_5043;
+   wire n_5045;
+   wire n_5046;
+   wire n_5047;
+   wire n_5048;
+   wire n_5049;
+   wire n_5050;
+   wire n_5051;
+   wire n_5053;
+   wire n_5054;
+   wire n_5055;
+   wire n_5056;
+   wire n_5057;
+   wire n_5058;
+   wire n_5059;
+   wire n_5060;
+   wire n_5061;
+   wire n_5062;
+   wire n_5063;
+   wire n_5064;
+   wire n_5065;
+   wire n_5066;
+   wire n_5067;
+   wire n_5068;
+   wire n_5069;
+   wire n_5070;
+   wire n_5071;
+   wire n_5072;
+   wire n_5073;
+   wire n_5074;
+   wire n_5075;
+   wire n_5076;
+   wire n_5077;
+   wire n_5078;
+   wire n_5079;
+   wire n_5080;
+   wire n_5081;
+   wire n_5082;
+   wire n_5083;
+   wire n_5084;
+   wire n_5085;
+   wire n_5086;
+   wire n_5087;
+   wire n_5088;
+   wire n_5089;
+   wire n_5090;
+   wire n_5091;
+   wire n_5092;
+   wire n_5093;
+   wire n_5094;
+   wire n_5095;
+   wire n_5096;
+   wire n_5097;
+   wire n_5098;
+   wire n_5099;
+   wire n_5100;
+   wire n_5101;
+   wire n_5102;
+   wire n_5103;
+   wire n_5104;
+   wire n_5105;
+   wire n_5106;
+   wire n_5107;
+   wire n_5108;
+   wire n_5109;
+   wire n_5110;
+   wire n_5111;
+   wire n_5112;
+   wire n_5113;
+   wire n_5114;
+   wire n_5115;
+   wire n_5116;
+   wire n_5117;
+   wire n_5118;
+   wire n_5119;
+   wire n_5120;
+   wire n_5121;
+   wire n_5122;
+   wire n_5123;
+   wire n_5124;
+   wire n_5125;
+   wire n_5126;
+   wire n_5127;
+   wire n_5128;
+   wire n_5129;
+   wire n_5130;
+   wire n_5131;
+   wire n_5132;
+   wire n_5133;
+   wire n_5134;
+   wire n_5135;
+   wire n_5136;
+   wire n_5137;
+   wire n_5138;
+   wire n_5139;
+   wire n_5141;
+   wire n_5142;
+   wire n_5143;
+   wire n_5144;
+   wire n_5145;
+   wire n_5146;
+   wire n_5147;
+   wire n_5148;
+   wire n_5149;
+   wire n_5150;
+   wire n_5151;
+   wire n_5152;
+   wire n_5153;
+   wire n_5154;
+   wire n_5155;
+   wire n_5156;
+   wire n_5157;
+   wire n_5158;
+   wire n_5159;
+   wire n_5160;
+   wire n_5161;
+   wire n_5162;
+   wire n_5163;
+   wire n_5164;
+   wire n_5165;
+   wire n_5166;
+   wire n_5167;
+   wire n_5168;
+   wire n_5169;
+   wire n_5171;
+   wire n_5172;
+   wire n_5173;
+   wire n_5174;
+   wire n_5175;
+   wire n_5176;
+   wire n_5177;
+   wire n_5178;
+   wire n_5179;
+   wire n_5180;
+   wire n_5181;
+   wire n_5182;
+   wire n_5183;
+   wire n_5184;
+   wire n_5185;
+   wire n_5187;
+   wire n_5188;
+   wire n_5189;
+   wire n_5190;
+   wire n_5191;
+   wire n_5192;
+   wire n_5193;
+   wire n_5194;
+   wire n_5195;
+   wire n_5196;
+   wire n_5197;
+   wire n_5198;
+   wire n_5199;
+   wire n_5200;
+   wire n_5201;
+   wire n_5202;
+   wire n_5203;
+   wire n_5204;
+   wire n_5205;
+   wire n_5206;
+   wire n_5207;
+   wire n_5208;
+   wire n_5209;
+   wire n_5210;
+   wire n_5211;
+   wire n_5212;
+   wire n_5213;
+   wire n_5214;
+   wire n_5215;
+   wire n_5216;
+   wire n_5217;
+   wire n_5218;
+   wire n_5219;
+   wire n_5220;
+   wire n_5221;
+   wire n_5222;
+   wire n_5223;
+   wire n_5224;
+   wire n_5225;
+   wire n_5226;
+   wire n_5227;
+   wire n_5228;
+   wire n_5229;
+   wire n_5230;
+   wire n_5231;
+   wire n_5232;
+   wire n_5233;
+   wire n_5234;
+   wire n_5235;
+   wire n_5236;
+   wire n_5237;
+   wire n_5238;
+   wire n_5239;
+   wire n_5240;
+   wire n_5241;
+   wire n_5242;
+   wire n_5243;
+   wire n_5244;
+   wire n_5245;
+   wire n_5246;
+   wire n_5247;
+   wire n_5248;
+   wire n_5249;
+   wire n_5250;
+   wire n_5251;
+   wire n_5252;
+   wire n_5253;
+   wire n_5254;
+   wire n_5255;
+   wire n_5256;
+   wire n_5257;
+   wire n_5258;
+   wire n_5259;
+   wire n_5260;
+   wire n_5261;
+   wire n_5262;
+   wire n_5263;
+   wire n_5264;
+   wire n_5265;
+   wire n_5266;
+   wire n_5267;
+   wire n_5268;
+   wire n_5269;
+   wire n_5270;
+   wire n_5271;
+   wire n_5272;
+   wire n_5273;
+   wire n_5274;
+   wire n_5275;
+   wire n_5276;
+   wire n_5277;
+   wire n_5278;
+   wire n_5279;
+   wire n_5280;
+   wire n_5281;
+   wire n_5282;
+   wire n_5283;
+   wire n_5284;
+   wire n_5285;
+   wire n_5286;
+   wire n_5287;
+   wire n_5288;
+   wire n_5289;
+   wire n_5290;
+   wire n_5291;
+   wire n_5292;
+   wire n_5293;
+   wire n_5294;
+   wire n_5295;
+   wire n_5296;
+   wire n_5297;
+   wire n_5298;
+   wire n_5299;
+   wire n_5300;
+   wire n_5301;
+   wire n_5302;
+   wire n_5303;
+   wire n_5304;
+   wire n_5305;
+   wire n_5306;
+   wire n_5307;
+   wire n_5308;
+   wire n_5309;
+   wire n_5310;
+   wire n_5311;
+   wire n_5312;
+   wire n_5313;
+   wire n_5314;
+   wire n_5315;
+   wire n_5316;
+   wire n_5317;
+   wire n_5318;
+   wire n_5319;
+   wire n_5320;
+   wire n_5321;
+   wire n_5322;
+   wire n_5323;
+   wire n_5324;
+   wire n_5325;
+   wire n_5326;
+   wire n_5327;
+   wire n_5329;
+   wire n_5331;
+   wire n_5332;
+   wire n_5333;
+   wire n_5334;
+   wire n_5335;
+   wire n_5336;
+   wire n_5337;
+   wire n_5338;
+   wire n_5339;
+   wire n_5340;
+   wire n_5341;
+   wire n_5342;
+   wire n_5343;
+   wire n_5344;
+   wire n_5345;
+   wire n_5346;
+   wire n_5347;
+   wire n_5348;
+   wire n_5349;
+   wire n_5350;
+   wire n_5351;
+   wire n_5352;
+   wire n_5353;
+   wire n_5354;
+   wire n_5355;
+   wire n_5356;
+   wire n_5357;
+   wire n_5358;
+   wire n_5359;
+   wire n_5360;
+   wire n_5361;
+   wire n_5362;
+   wire n_5363;
+   wire n_5364;
+   wire n_5365;
+   wire n_5366;
+   wire n_5367;
+   wire n_5368;
+   wire n_5369;
+   wire n_5370;
+   wire n_5371;
+   wire n_5372;
+   wire n_5373;
+   wire n_5374;
+   wire n_5375;
+   wire n_5376;
+   wire n_5377;
+   wire n_5378;
+   wire n_5379;
+   wire n_5380;
+   wire n_5381;
+   wire n_5382;
+   wire n_5383;
+   wire n_5384;
+   wire n_5385;
+   wire n_5386;
+   wire n_5387;
+   wire n_5388;
+   wire n_5389;
+   wire n_5390;
+   wire n_5391;
+   wire n_5392;
+   wire n_5393;
+   wire n_5394;
+   wire n_5395;
+   wire n_5396;
+   wire n_5397;
+   wire n_5398;
+   wire n_5399;
+   wire n_5400;
+   wire n_5401;
+   wire n_5402;
+   wire n_5403;
+   wire n_5404;
+   wire n_5405;
+   wire n_5406;
+   wire n_5407;
+   wire n_5408;
+   wire n_5409;
+   wire n_5410;
+   wire n_5411;
+   wire n_5412;
+   wire n_5413;
+   wire n_5414;
+   wire n_5415;
+   wire n_5416;
+   wire n_5417;
+   wire n_5418;
+   wire n_5419;
+   wire n_5420;
+   wire n_5421;
+   wire n_5422;
+   wire n_5423;
+   wire n_5424;
+   wire n_5425;
+   wire n_5426;
+   wire n_5427;
+   wire n_5428;
+   wire n_5429;
+   wire n_5430;
+   wire n_5431;
+   wire n_5432;
+   wire n_5433;
+   wire n_5434;
+   wire n_5435;
+   wire n_5436;
+   wire n_5437;
+   wire n_5438;
+   wire n_5439;
+   wire n_5440;
+   wire n_5441;
+   wire n_5442;
+   wire n_5443;
+   wire n_5444;
+   wire n_5445;
+   wire n_5446;
+   wire n_5447;
+   wire n_5448;
+   wire n_5449;
+   wire n_5450;
+   wire n_5451;
+   wire n_5452;
+   wire n_5453;
+   wire n_5454;
+   wire n_5455;
+   wire n_5456;
+   wire n_5457;
+   wire n_5458;
+   wire n_5459;
+   wire n_5460;
+   wire n_5461;
+   wire n_5462;
+   wire n_5463;
+   wire n_5464;
+   wire n_5465;
+   wire n_5466;
+   wire n_5467;
+   wire n_5468;
+   wire n_5469;
+   wire n_5470;
+   wire n_5471;
+   wire n_5472;
+   wire n_5473;
+   wire n_5474;
+   wire n_5475;
+   wire n_5476;
+   wire n_5477;
+   wire n_5478;
+   wire n_5479;
+   wire n_5480;
+   wire n_5481;
+   wire n_5482;
+   wire n_5483;
+   wire n_5484;
+   wire n_5485;
+   wire n_5486;
+   wire n_5487;
+   wire n_5488;
+   wire n_5489;
+   wire n_5490;
+   wire n_5491;
+   wire n_5492;
+   wire n_5493;
+   wire n_5494;
+   wire n_5495;
+   wire n_5496;
+   wire n_5497;
+   wire n_5498;
+   wire n_5499;
+   wire n_5500;
+   wire n_5501;
+   wire n_5502;
+   wire n_5503;
+   wire n_5504;
+   wire n_5505;
+   wire n_5506;
+   wire n_5507;
+   wire n_5508;
+   wire n_5509;
+   wire n_5510;
+   wire n_5511;
+   wire n_5512;
+   wire n_5513;
+   wire n_5514;
+   wire n_5515;
+   wire n_5516;
+   wire n_5517;
+   wire n_5518;
+   wire n_5519;
+   wire n_5520;
+   wire n_5521;
+   wire n_5522;
+   wire n_5523;
+   wire n_5524;
+   wire n_5525;
+   wire n_5526;
+   wire n_5527;
+   wire n_5528;
+   wire n_5529;
+   wire n_5530;
+   wire n_5531;
+   wire n_5532;
+   wire n_5533;
+   wire n_5534;
+   wire n_5535;
+   wire n_5536;
+   wire n_5537;
+   wire n_5538;
+   wire n_5539;
+   wire n_5540;
+   wire n_5541;
+   wire n_5542;
+   wire n_5543;
+   wire n_5544;
+   wire n_5545;
+   wire n_5546;
+   wire n_5547;
+   wire n_5548;
+   wire n_5549;
+   wire n_5550;
+   wire n_5551;
+   wire n_5552;
+   wire n_5553;
+   wire n_5554;
+   wire n_5555;
+   wire n_5556;
+   wire n_5557;
+   wire n_5558;
+   wire n_5559;
+   wire n_5560;
+   wire n_5561;
+   wire n_5562;
+   wire n_5563;
+   wire n_5564;
+   wire n_5565;
+   wire n_5566;
+   wire n_5567;
+   wire n_5568;
+   wire n_5569;
+   wire n_5570;
+   wire n_5571;
+   wire n_5572;
+   wire n_5573;
+   wire n_5574;
+   wire n_5575;
+   wire n_5576;
+   wire n_5577;
+   wire n_5578;
+   wire n_5579;
+   wire n_5580;
+   wire n_5581;
+   wire n_5582;
+   wire n_5583;
+   wire n_5584;
+   wire n_5585;
+   wire n_5586;
+   wire n_5587;
+   wire n_5588;
+   wire n_5589;
+   wire n_5590;
+   wire n_5591;
+   wire n_5592;
+   wire n_5593;
+   wire n_5594;
+   wire n_5595;
+   wire n_5596;
+   wire n_5597;
+   wire n_5598;
+   wire n_5599;
+   wire n_5600;
+   wire n_5601;
+   wire n_5602;
+   wire n_5603;
+   wire n_5604;
+   wire n_5605;
+   wire n_5606;
+   wire n_5608;
+   wire n_5609;
+   wire n_5612;
+   wire n_5613;
+   wire n_5614;
+   wire n_5615;
+   wire n_5616;
+   wire n_5617;
+   wire n_5619;
+   wire n_5620;
+   wire n_5621;
+   wire n_5622;
+   wire n_5623;
+   wire n_5624;
+   wire n_5626;
+   wire n_5627;
+   wire n_5628;
+   wire n_5629;
+   wire n_5630;
+   wire n_5631;
+   wire n_5632;
+   wire n_5633;
+   wire n_5634;
+   wire n_5635;
+   wire n_5636;
+   wire n_5637;
+   wire n_5638;
+   wire n_5639;
+   wire n_5640;
+   wire n_5641;
+   wire n_5642;
+   wire n_5643;
+   wire n_5644;
+   wire n_5645;
+   wire n_5646;
+   wire n_5647;
+   wire n_5648;
+   wire n_5649;
+   wire n_5650;
+   wire n_5651;
+   wire n_5652;
+   wire n_5653;
+   wire n_5654;
+   wire n_5655;
+   wire n_5656;
+   wire n_5657;
+   wire n_5658;
+   wire n_5659;
+   wire n_5660;
+   wire n_5661;
+   wire n_5662;
+   wire n_5663;
+   wire n_5664;
+   wire n_5665;
+   wire n_5666;
+   wire n_5667;
+   wire n_5668;
+   wire n_5669;
+   wire n_5670;
+   wire n_5671;
+   wire n_5672;
+   wire n_5673;
+   wire n_5674;
+   wire n_5675;
+   wire n_5676;
+   wire n_5677;
+   wire n_5678;
+   wire n_5679;
+   wire n_5680;
+   wire n_5681;
+   wire n_5682;
+   wire n_5683;
+   wire n_5684;
+   wire n_5685;
+   wire n_5686;
+   wire n_5687;
+   wire n_5688;
+   wire n_5689;
+   wire n_5690;
+   wire n_5691;
+   wire n_5692;
+   wire n_5693;
+   wire n_5694;
+   wire n_5695;
+   wire n_5696;
+   wire n_5697;
+   wire n_5698;
+   wire n_5699;
+   wire n_5700;
+   wire n_5701;
+   wire n_5702;
+   wire n_5703;
+   wire n_5704;
+   wire n_5705;
+   wire n_5706;
+   wire n_5707;
+   wire n_5708;
+   wire n_5709;
+   wire n_5710;
+   wire n_5711;
+   wire n_5712;
+   wire n_5713;
+   wire n_5714;
+   wire n_5715;
+   wire n_5716;
+   wire n_5717;
+   wire n_5718;
+   wire n_5719;
+   wire n_5720;
+   wire n_5721;
+   wire n_5722;
+   wire n_5723;
+   wire n_5724;
+   wire n_5725;
+   wire n_5726;
+   wire n_5727;
+   wire n_5728;
+   wire n_5729;
+   wire n_5730;
+   wire n_5731;
+   wire n_5732;
+   wire n_5733;
+   wire n_5734;
+   wire n_5735;
+   wire n_5736;
+   wire n_5737;
+   wire n_5738;
+   wire n_5739;
+   wire n_5740;
+   wire n_5741;
+   wire n_5742;
+   wire n_5743;
+   wire n_5744;
+   wire n_5745;
+   wire n_5746;
+   wire n_5747;
+   wire n_5748;
+   wire n_5749;
+   wire n_5750;
+   wire n_5751;
+   wire n_5752;
+   wire n_5753;
+   wire n_5754;
+   wire n_5755;
+   wire n_5756;
+   wire n_5757;
+   wire n_5758;
+   wire n_5759;
+   wire n_5760;
+   wire n_5761;
+   wire n_5762;
+   wire n_5763;
+   wire n_5764;
+   wire n_5765;
+   wire n_5766;
+   wire n_5767;
+   wire n_5768;
+   wire n_5769;
+   wire n_5770;
+   wire n_5771;
+   wire n_5772;
+   wire n_5773;
+   wire n_5774;
+   wire n_5775;
+   wire n_5776;
+   wire n_5777;
+   wire n_5778;
+   wire n_5779;
+   wire n_5780;
+   wire n_5781;
+   wire n_5782;
+   wire n_5783;
+   wire n_5784;
+   wire n_5785;
+   wire n_5786;
+   wire n_5787;
+   wire n_5788;
+   wire n_5789;
+   wire n_5790;
+   wire n_5791;
+   wire n_5792;
+   wire n_5793;
+   wire n_5794;
+   wire n_5795;
+   wire n_5796;
+   wire n_5797;
+   wire n_5798;
+   wire n_5799;
+   wire n_5800;
+   wire n_5801;
+   wire n_5802;
+   wire n_5803;
+   wire n_5804;
+   wire n_5805;
+   wire n_5806;
+   wire n_5807;
+   wire n_5808;
+   wire n_5809;
+   wire n_5810;
+   wire n_5811;
+   wire n_5812;
+   wire n_5813;
+   wire n_5814;
+   wire n_5815;
+   wire n_5816;
+   wire n_5817;
+   wire n_5818;
+   wire n_5819;
+   wire n_5820;
+   wire n_5821;
+   wire n_5822;
+   wire n_5823;
+   wire n_5824;
+   wire n_5825;
+   wire n_5826;
+   wire n_5827;
+   wire n_5828;
+   wire n_5829;
+   wire n_5830;
+   wire n_5831;
+   wire n_5832;
+   wire n_5833;
+   wire n_5834;
+   wire n_5835;
+   wire n_5836;
+   wire n_5837;
+   wire n_5838;
+   wire n_5839;
+   wire n_5840;
+   wire n_5841;
+   wire n_5842;
+   wire n_5843;
+   wire n_5844;
+   wire n_5845;
+   wire n_5846;
+   wire n_5847;
+   wire n_5848;
+   wire n_5849;
+   wire n_5850;
+   wire n_5851;
+   wire n_5852;
+   wire n_5853;
+   wire n_5854;
+   wire n_5855;
+   wire n_5856;
+   wire n_5857;
+   wire n_5858;
+   wire n_5859;
+   wire n_5860;
+   wire n_5861;
+   wire n_5862;
+   wire n_5863;
+   wire n_5864;
+   wire n_5865;
+   wire n_5866;
+   wire n_5867;
+   wire n_5868;
+   wire n_5869;
+   wire n_5870;
+   wire n_5871;
+   wire n_5872;
+   wire n_5873;
+   wire n_5874;
+   wire n_5875;
+   wire n_5876;
+   wire n_5877;
+   wire n_5878;
+   wire n_5879;
+   wire n_5880;
+   wire n_5881;
+   wire n_5882;
+   wire n_5883;
+   wire n_5884;
+   wire n_5885;
+   wire n_5886;
+   wire n_5888;
+   wire n_5889;
+   wire n_5890;
+   wire n_5891;
+   wire n_5892;
+   wire n_5893;
+   wire n_5894;
+   wire n_5895;
+   wire n_5896;
+   wire n_5897;
+   wire n_5898;
+   wire n_5899;
+   wire n_5900;
+   wire n_5901;
+   wire n_5902;
+   wire n_5903;
+   wire n_5904;
+   wire n_5905;
+   wire n_5906;
+   wire n_5907;
+   wire n_5908;
+   wire n_5909;
+   wire n_5910;
+   wire n_5911;
+   wire n_5912;
+   wire n_5913;
+   wire n_5914;
+   wire n_5915;
+   wire n_5916;
+   wire n_5917;
+   wire n_5918;
+   wire n_5919;
+   wire n_5920;
+   wire n_5921;
+   wire n_5922;
+   wire n_5923;
+   wire n_5924;
+   wire n_5925;
+   wire n_5926;
+   wire n_5927;
+   wire n_5928;
+   wire n_5929;
+   wire n_5930;
+   wire n_5931;
+   wire n_5932;
+   wire n_5933;
+   wire n_5934;
+   wire n_5935;
+   wire n_5936;
+   wire n_5937;
+   wire n_5938;
+   wire n_5939;
+   wire n_5940;
+   wire n_5941;
+   wire n_5942;
+   wire n_5943;
+   wire n_5944;
+   wire n_5945;
+   wire n_5946;
+   wire n_5947;
+   wire n_5948;
+   wire n_5949;
+   wire n_5950;
+   wire n_5951;
+   wire n_5952;
+   wire n_5953;
+   wire n_5954;
+   wire n_5955;
+   wire n_5956;
+   wire n_5957;
+   wire n_5958;
+   wire n_5959;
+   wire n_5960;
+   wire n_5961;
+   wire n_5962;
+   wire n_5963;
+   wire n_5964;
+   wire n_5965;
+   wire n_5966;
+   wire n_5967;
+   wire n_5968;
+   wire n_5969;
+   wire n_5970;
+   wire n_5971;
+   wire n_5972;
+   wire n_5973;
+   wire n_5974;
+   wire n_5975;
+   wire n_5976;
+   wire n_5977;
+   wire n_5978;
+   wire n_5979;
+   wire n_5980;
+   wire n_5981;
+   wire n_5982;
+   wire n_5983;
+   wire n_5984;
+   wire n_5985;
+   wire n_5986;
+   wire n_5987;
+   wire n_5988;
+   wire n_5989;
+   wire n_5990;
+   wire n_5991;
+   wire n_5992;
+   wire n_5993;
+   wire n_5994;
+   wire n_5995;
+   wire n_5996;
+   wire n_5997;
+   wire n_5998;
+   wire n_6001;
+   wire n_6003;
+   wire n_6004;
+   wire n_6005;
+   wire n_6006;
+   wire n_6007;
+   wire n_6008;
+   wire n_6009;
+   wire n_6010;
+   wire n_6012;
+   wire n_6013;
+   wire n_6014;
+   wire n_6015;
+   wire n_6016;
+   wire n_6018;
+   wire n_6019;
+   wire n_6021;
+   wire n_6024;
+   wire n_6025;
+   wire n_6026;
+   wire n_6027;
+   wire n_6029;
+   wire n_6030;
+   wire n_6031;
+   wire n_6032;
+   wire n_6033;
+   wire n_6034;
+   wire n_6035;
+   wire n_6036;
+   wire n_6037;
+   wire n_6038;
+   wire n_6039;
+   wire n_6040;
+   wire n_6041;
+   wire n_6042;
+   wire n_6043;
+   wire n_6044;
+   wire n_6045;
+   wire n_6046;
+   wire n_6047;
+   wire n_6048;
+   wire n_6049;
+   wire n_6050;
+   wire n_6051;
+   wire n_6052;
+   wire n_6053;
+   wire n_6054;
+   wire n_6055;
+   wire n_6056;
+   wire n_6057;
+   wire n_6058;
+   wire n_6059;
+   wire n_6060;
+   wire n_6061;
+   wire n_6062;
+   wire n_6063;
+   wire n_6064;
+   wire n_6065;
+   wire n_6066;
+   wire n_6067;
+   wire n_6068;
+   wire n_6069;
+   wire n_6070;
+   wire n_6071;
+   wire n_6072;
+   wire n_6073;
+   wire n_6074;
+   wire n_6075;
+   wire n_6076;
+   wire n_6077;
+   wire n_6078;
+   wire n_6079;
+   wire n_6080;
+   wire n_6081;
+   wire n_6082;
+   wire n_6083;
+   wire n_6084;
+   wire n_6085;
+   wire n_6086;
+   wire n_6087;
+   wire n_6088;
+   wire n_6089;
+   wire n_6090;
+   wire n_6091;
+   wire n_6092;
+   wire n_6093;
+   wire n_6094;
+   wire n_6095;
+   wire n_6096;
+   wire n_6097;
+   wire n_6098;
+   wire n_6099;
+   wire n_6100;
+   wire n_6101;
+   wire n_6102;
+   wire n_6103;
+   wire n_6104;
+   wire n_6105;
+   wire n_6106;
+   wire n_6107;
+   wire n_6108;
+   wire n_6109;
+   wire n_6110;
+   wire n_6111;
+   wire n_6112;
+   wire n_6113;
+   wire n_6114;
+   wire n_6115;
+   wire n_6116;
+   wire n_6117;
+   wire n_6118;
+   wire n_6119;
+   wire n_6120;
+   wire n_6121;
+   wire n_6122;
+   wire n_6123;
+   wire n_6124;
+   wire n_6125;
+   wire n_6126;
+   wire n_6127;
+   wire n_6128;
+   wire n_6129;
+   wire n_6130;
+   wire n_6131;
+   wire n_6132;
+   wire n_6133;
+   wire n_6134;
+   wire n_6135;
+   wire n_6136;
+   wire n_6137;
+   wire n_6138;
+   wire n_6139;
+   wire n_6140;
+   wire n_6141;
+   wire n_6142;
+   wire n_6143;
+   wire n_6144;
+   wire n_6145;
+   wire n_6146;
+   wire n_6147;
+   wire n_6148;
+   wire n_6149;
+   wire n_6150;
+   wire n_6151;
+   wire n_6152;
+   wire n_6153;
+   wire n_6154;
+   wire n_6155;
+   wire n_6156;
+   wire n_6157;
+   wire n_6158;
+   wire n_6159;
+   wire n_6160;
+   wire n_6161;
+   wire n_6162;
+   wire n_6163;
+   wire n_6164;
+   wire n_6165;
+   wire n_6166;
+   wire n_6167;
+   wire n_6168;
+   wire n_6169;
+   wire n_6170;
+   wire n_6171;
+   wire n_6172;
+   wire n_6173;
+   wire n_6174;
+   wire n_6175;
+   wire n_6176;
+   wire n_6177;
+   wire n_6178;
+   wire n_6179;
+   wire n_6180;
+   wire n_6181;
+   wire n_6182;
+   wire n_6183;
+   wire n_6184;
+   wire n_6185;
+   wire n_6186;
+   wire n_6187;
+   wire n_6188;
+   wire n_6189;
+   wire n_6190;
+   wire n_6191;
+   wire n_6193;
+   wire n_6194;
+   wire n_6195;
+   wire n_6196;
+   wire n_6197;
+   wire n_6198;
+   wire n_6199;
+   wire n_6200;
+   wire n_6201;
+   wire n_6202;
+   wire n_6203;
+   wire n_6204;
+   wire n_6205;
+   wire n_6206;
+   wire n_6207;
+   wire n_6208;
+   wire n_6209;
+   wire n_6210;
+   wire n_6211;
+   wire n_6212;
+   wire n_6213;
+   wire n_6214;
+   wire n_6215;
+   wire n_6216;
+   wire n_6217;
+   wire n_6218;
+   wire n_6219;
+   wire n_6220;
+   wire n_6221;
+   wire n_6222;
+   wire n_6223;
+   wire n_6224;
+   wire n_6225;
+   wire n_6226;
+   wire n_6227;
+   wire n_6228;
+   wire n_6229;
+   wire n_6230;
+   wire n_6231;
+   wire n_6232;
+   wire n_6233;
+   wire n_6234;
+   wire n_6235;
+   wire n_6236;
+   wire n_6237;
+   wire n_6238;
+   wire n_6239;
+   wire n_6240;
+   wire n_6241;
+   wire n_6242;
+   wire n_6243;
+   wire n_6244;
+   wire n_6245;
+   wire n_6246;
+   wire n_6247;
+   wire n_6248;
+   wire n_6249;
+   wire n_6250;
+   wire n_6251;
+   wire n_6253;
+   wire n_6254;
+   wire n_6255;
+   wire n_6256;
+   wire n_6257;
+   wire n_6258;
+   wire n_6259;
+   wire n_6260;
+   wire n_6261;
+   wire n_6262;
+   wire n_6263;
+   wire n_6264;
+   wire n_6265;
+   wire n_6266;
+   wire n_6267;
+   wire n_6268;
+   wire n_6269;
+   wire n_6270;
+   wire n_6271;
+   wire n_6272;
+   wire n_6273;
+   wire n_6274;
+   wire n_6275;
+   wire n_6276;
+   wire n_6277;
+   wire n_6278;
+   wire n_6279;
+   wire n_6280;
+   wire n_6281;
+   wire n_6282;
+   wire n_6283;
+   wire n_6284;
+   wire n_6285;
+   wire n_6286;
+   wire n_6287;
+   wire n_6288;
+   wire n_6289;
+   wire n_6290;
+   wire n_6291;
+   wire n_6292;
+   wire n_6293;
+   wire n_6294;
+   wire n_6295;
+   wire n_6296;
+   wire n_6297;
+   wire n_6298;
+   wire n_6299;
+   wire n_6300;
+   wire n_6301;
+   wire n_6302;
+   wire n_6303;
+   wire n_6304;
+   wire n_6305;
+   wire n_6306;
+   wire n_6307;
+   wire n_6308;
+   wire n_6309;
+   wire n_6310;
+   wire n_6311;
+   wire n_6312;
+   wire n_6313;
+   wire n_6314;
+   wire n_6315;
+   wire n_6316;
+   wire n_6317;
+   wire n_6318;
+   wire n_6319;
+   wire n_6320;
+   wire n_6321;
+   wire n_6322;
+   wire n_6323;
+   wire n_6324;
+   wire n_6325;
+   wire n_6326;
+   wire n_6327;
+   wire n_6328;
+   wire n_6329;
+   wire n_6330;
+   wire n_6331;
+   wire n_6332;
+   wire n_6333;
+   wire n_6334;
+   wire n_6335;
+   wire n_6336;
+   wire n_6337;
+   wire n_6338;
+   wire n_6339;
+   wire n_6340;
+   wire n_6341;
+   wire n_6342;
+   wire n_6343;
+   wire n_6344;
+   wire n_6345;
+   wire n_6346;
+   wire n_6347;
+   wire n_6348;
+   wire n_6349;
+   wire n_6350;
+   wire n_6351;
+   wire n_6352;
+   wire n_6353;
+   wire n_6354;
+   wire n_6355;
+   wire n_6356;
+   wire n_6357;
+   wire n_6358;
+   wire n_6359;
+   wire n_6360;
+   wire n_6361;
+   wire n_6362;
+   wire n_6363;
+   wire n_6364;
+   wire n_6365;
+   wire n_6366;
+   wire n_6367;
+   wire n_6368;
+   wire n_6369;
+   wire n_6370;
+   wire n_6371;
+   wire n_6372;
+   wire n_6373;
+   wire n_6374;
+   wire n_6375;
+   wire n_6376;
+   wire n_6377;
+   wire n_6378;
+   wire n_6379;
+   wire n_6380;
+   wire n_6382;
+   wire n_6383;
+   wire n_6385;
+   wire n_6386;
+   wire n_6388;
+   wire n_6389;
+   wire n_6390;
+   wire n_6391;
+   wire n_6393;
+   wire n_6394;
+   wire n_6395;
+   wire n_6396;
+   wire n_6397;
+   wire n_6398;
+   wire n_6399;
+   wire n_6400;
+   wire n_6401;
+   wire n_6402;
+   wire n_6403;
+   wire n_6404;
+   wire n_6405;
+   wire n_6406;
+   wire n_6407;
+   wire n_6408;
+   wire n_6409;
+   wire n_6410;
+   wire n_6411;
+   wire n_6412;
+   wire n_6413;
+   wire n_6414;
+   wire n_6415;
+   wire n_6416;
+   wire n_6417;
+   wire n_6418;
+   wire n_6419;
+   wire n_6420;
+   wire n_6421;
+   wire n_6422;
+   wire n_6423;
+   wire n_6424;
+   wire n_6425;
+   wire n_6426;
+   wire n_6427;
+   wire n_6428;
+   wire n_6429;
+   wire n_6430;
+   wire n_6431;
+   wire n_6432;
+   wire n_6433;
+   wire n_6434;
+   wire n_6435;
+   wire n_6436;
+   wire n_6437;
+   wire n_6438;
+   wire n_6439;
+   wire n_6440;
+   wire n_6441;
+   wire n_6442;
+   wire n_6443;
+   wire n_6444;
+   wire n_6445;
+   wire n_6446;
+   wire n_6447;
+   wire n_6448;
+   wire n_6449;
+   wire n_6451;
+   wire n_6452;
+   wire n_6453;
+   wire n_6454;
+   wire n_6455;
+   wire n_6456;
+   wire n_6457;
+   wire n_6458;
+   wire n_6459;
+   wire n_6460;
+   wire n_6461;
+   wire n_6462;
+   wire n_6463;
+   wire n_6464;
+   wire n_6465;
+   wire n_6466;
+   wire n_6467;
+   wire n_6468;
+   wire n_6469;
+   wire n_6470;
+   wire n_6471;
+   wire n_6472;
+   wire n_6473;
+   wire n_6474;
+   wire n_6475;
+   wire n_6476;
+   wire n_6477;
+   wire n_6478;
+   wire n_6479;
+   wire n_6480;
+   wire n_6481;
+   wire n_6482;
+   wire n_6483;
+   wire n_6484;
+   wire n_6485;
+   wire n_6486;
+   wire n_6487;
+   wire n_6488;
+   wire n_6489;
+   wire n_6490;
+   wire n_6491;
+   wire n_6492;
+   wire n_6493;
+   wire n_6494;
+   wire n_6495;
+   wire n_6496;
+   wire n_6497;
+   wire n_6498;
+   wire n_6499;
+   wire n_6500;
+   wire n_6501;
+   wire n_6502;
+   wire n_6503;
+   wire n_6504;
+   wire n_6505;
+   wire n_6506;
+   wire n_6507;
+   wire n_6508;
+   wire n_6509;
+   wire n_6510;
+   wire n_6511;
+   wire n_6512;
+   wire n_6513;
+   wire n_6514;
+   wire n_6515;
+   wire n_6516;
+   wire n_6517;
+   wire n_6518;
+   wire n_6519;
+   wire n_6520;
+   wire n_6521;
+   wire n_6522;
+   wire n_6523;
+   wire n_6524;
+   wire n_6525;
+   wire n_6526;
+   wire n_6527;
+   wire n_6528;
+   wire n_6529;
+   wire n_6530;
+   wire n_6531;
+   wire n_6532;
+   wire n_6533;
+   wire n_6534;
+   wire n_6535;
+   wire n_6536;
+   wire n_6537;
+   wire n_6538;
+   wire n_6539;
+   wire n_6540;
+   wire n_6541;
+   wire n_6542;
+   wire n_6543;
+   wire n_6544;
+   wire n_6545;
+   wire n_6546;
+   wire n_6547;
+   wire n_6548;
+   wire n_6549;
+   wire n_6550;
+   wire n_6551;
+   wire n_6552;
+   wire n_6553;
+   wire n_6554;
+   wire n_6555;
+   wire n_6556;
+   wire n_6557;
+   wire n_6558;
+   wire n_6559;
+   wire n_6560;
+   wire n_6561;
+   wire n_6562;
+   wire n_6563;
+   wire n_6564;
+   wire n_6565;
+   wire n_6566;
+   wire n_6567;
+   wire n_6568;
+   wire n_6569;
+   wire n_6570;
+   wire n_6571;
+   wire n_6572;
+   wire n_6573;
+   wire n_6574;
+   wire n_6575;
+   wire n_6576;
+   wire n_6577;
+   wire n_6578;
+   wire n_6579;
+   wire n_6580;
+   wire n_6581;
+   wire n_6582;
+   wire n_6583;
+   wire n_6584;
+   wire n_6585;
+   wire n_6586;
+   wire n_6587;
+   wire n_6588;
+   wire n_6589;
+   wire n_6590;
+   wire n_6591;
+   wire n_6592;
+   wire n_6593;
+   wire n_6594;
+   wire n_6595;
+   wire n_6596;
+   wire n_6597;
+   wire n_6598;
+   wire n_6599;
+   wire n_6600;
+   wire n_6601;
+   wire n_6602;
+   wire n_6603;
+   wire n_6604;
+   wire n_6605;
+   wire n_6606;
+   wire n_6607;
+   wire n_6608;
+   wire n_6609;
+   wire n_6610;
+   wire n_6611;
+   wire n_6612;
+   wire n_6613;
+   wire n_6614;
+   wire n_6615;
+   wire n_6616;
+   wire n_6618;
+   wire n_6619;
+   wire n_6646;
+   wire n_6647;
+   wire n_6648;
+   wire n_6649;
+   wire n_6650;
+   wire n_6651;
+   wire n_6652;
+   wire n_6653;
+   wire n_6654;
+   wire n_6655;
+   wire n_6656;
+   wire n_6657;
+   wire n_6658;
+   wire n_6659;
+   wire n_6660;
+   wire n_6661;
+   wire n_6662;
+   wire n_6663;
+   wire n_6664;
+   wire n_6665;
+   wire n_6666;
+   wire n_6668;
+   wire n_6670;
+   wire n_6671;
+   wire n_6672;
+   wire n_6673;
+   wire n_6674;
+   wire n_6675;
+   wire n_6677;
+   wire n_6678;
+   wire n_6679;
+   wire n_6681;
+   wire n_6682;
+   wire n_6683;
+   wire n_6684;
+   wire n_6685;
+   wire n_6686;
+   wire n_6687;
+   wire n_6688;
+   wire n_6689;
+   wire n_6690;
+   wire n_6691;
+   wire n_6692;
+   wire n_6694;
+   wire n_6695;
+   wire n_6696;
+   wire n_6697;
+   wire n_6698;
+   wire n_6699;
+   wire n_6700;
+   wire n_6701;
+   wire n_6702;
+   wire n_6703;
+   wire n_6704;
+   wire n_6705;
+   wire n_6707;
+   wire n_6708;
+   wire n_6710;
+   wire n_6711;
+   wire n_6712;
+   wire n_6713;
+   wire n_6714;
+   wire n_6715;
+   wire n_6716;
+   wire n_6717;
+   wire n_6718;
+   wire n_6719;
+   wire n_6720;
+   wire n_6721;
+   wire n_6722;
+   wire n_6723;
+   wire n_6724;
+   wire n_6725;
+   wire n_6726;
+   wire n_6727;
+   wire n_6728;
+   wire n_6729;
+   wire n_6730;
+   wire n_6731;
+   wire n_6732;
+   wire n_6733;
+   wire n_6734;
+   wire n_6735;
+   wire n_6736;
+   wire n_6737;
+   wire n_6738;
+   wire n_6739;
+   wire n_6740;
+   wire n_6741;
+   wire n_6742;
+   wire n_6743;
+   wire n_6744;
+   wire n_6745;
+   wire n_6746;
+   wire n_6747;
+   wire n_6748;
+   wire n_6749;
+   wire n_6750;
+   wire n_6751;
+   wire n_6752;
+   wire n_6753;
+   wire n_6754;
+   wire n_6755;
+   wire n_6756;
+   wire n_6757;
+   wire n_6758;
+   wire n_6759;
+   wire n_6760;
+   wire n_6761;
+   wire n_6762;
+   wire n_6763;
+   wire n_6764;
+   wire n_6765;
+   wire n_6766;
+   wire n_6767;
+   wire n_6768;
+   wire n_6769;
+   wire n_6770;
+   wire n_6771;
+   wire n_6772;
+   wire n_6773;
+   wire n_6774;
+   wire n_6775;
+   wire n_6776;
+   wire n_6777;
+   wire n_6778;
+   wire n_6779;
+   wire n_6780;
+   wire n_6781;
+   wire n_6782;
+   wire n_6783;
+   wire n_6784;
+   wire n_6785;
+   wire n_6786;
+   wire n_6787;
+   wire n_6788;
+   wire n_6789;
+   wire n_6790;
+   wire n_6791;
+   wire n_6792;
+   wire n_6793;
+   wire n_6794;
+   wire n_6795;
+   wire n_6796;
+   wire n_6797;
+   wire n_6798;
+   wire n_6799;
+   wire n_6800;
+   wire n_6801;
+   wire n_6802;
+   wire n_6803;
+   wire n_6804;
+   wire n_6805;
+   wire n_6806;
+   wire n_6807;
+   wire n_6808;
+   wire n_6809;
+   wire n_6810;
+   wire n_6811;
+   wire n_6812;
+   wire n_6813;
+   wire n_6814;
+   wire n_6815;
+   wire n_6816;
+   wire n_6817;
+   wire n_6818;
+   wire n_6819;
+   wire n_6820;
+   wire n_6821;
+   wire n_6822;
+   wire n_6823;
+   wire n_6824;
+   wire n_6825;
+   wire n_6826;
+   wire n_6827;
+   wire n_6828;
+   wire n_6829;
+   wire n_6830;
+   wire n_6831;
+   wire n_6832;
+   wire n_6833;
+   wire n_6834;
+   wire n_6835;
+   wire n_6836;
+   wire n_6837;
+   wire n_6838;
+   wire n_6839;
+   wire n_6840;
+   wire n_6841;
+   wire n_6842;
+   wire n_6843;
+   wire n_6844;
+   wire n_6845;
+   wire n_6846;
+   wire n_6847;
+   wire n_6848;
+   wire n_6849;
+   wire n_6850;
+   wire n_6851;
+   wire n_6852;
+   wire n_6853;
+   wire n_6854;
+   wire n_6855;
+   wire n_6856;
+   wire n_6857;
+   wire n_6858;
+   wire n_6859;
+   wire n_6860;
+   wire n_6861;
+   wire n_6862;
+   wire n_6863;
+   wire n_6864;
+   wire n_6865;
+   wire n_6866;
+   wire n_6867;
+   wire n_6868;
+   wire n_6869;
+   wire n_6870;
+   wire n_6871;
+   wire n_6872;
+   wire n_6873;
+   wire n_6874;
+   wire n_6875;
+   wire n_6876;
+   wire n_6877;
+   wire n_6878;
+   wire n_6879;
+   wire n_6880;
+   wire n_6881;
+   wire n_6882;
+   wire n_6883;
+   wire n_6884;
+   wire n_6885;
+   wire n_6886;
+   wire n_6887;
+   wire n_6888;
+   wire n_6889;
+   wire n_6890;
+   wire n_6891;
+   wire n_6892;
+   wire n_6893;
+   wire n_6894;
+   wire n_6895;
+   wire n_6896;
+   wire n_6897;
+   wire n_6898;
+   wire n_6899;
+   wire n_6900;
+   wire n_6901;
+   wire n_6902;
+   wire n_6903;
+   wire n_6904;
+   wire n_6905;
+   wire n_6906;
+   wire n_6907;
+   wire n_6908;
+   wire n_6909;
+   wire n_6910;
+   wire n_6911;
+   wire n_6912;
+   wire n_6913;
+   wire n_6914;
+   wire n_6915;
+   wire n_6916;
+   wire n_6917;
+   wire n_6918;
+   wire n_6919;
+   wire n_6920;
+   wire n_6921;
+   wire n_6922;
+   wire n_6923;
+   wire n_6924;
+   wire n_6925;
+   wire n_6926;
+   wire n_6927;
+   wire n_6928;
+   wire n_6929;
+   wire n_6930;
+   wire n_6931;
+   wire n_6932;
+   wire n_6933;
+   wire n_6934;
+   wire n_6935;
+   wire n_6936;
+   wire n_6937;
+   wire n_6938;
+   wire n_6939;
+   wire n_6940;
+   wire n_6941;
+   wire n_6942;
+   wire n_6943;
+   wire n_6944;
+   wire n_6945;
+   wire n_6946;
+   wire n_6947;
+   wire n_6948;
+   wire n_6949;
+   wire n_6950;
+   wire n_6951;
+   wire n_6952;
+   wire n_6953;
+   wire n_6954;
+   wire n_6955;
+   wire n_6956;
+   wire n_6957;
+   wire n_6958;
+   wire n_6959;
+   wire n_6960;
+   wire n_6961;
+   wire n_6962;
+   wire n_6963;
+   wire n_6964;
+   wire n_6965;
+   wire n_6966;
+   wire n_6967;
+   wire n_6968;
+   wire n_6969;
+   wire n_6970;
+   wire n_6971;
+   wire n_6972;
+   wire n_6973;
+   wire n_6974;
+   wire n_6975;
+   wire n_6976;
+   wire n_6977;
+   wire n_6978;
+   wire n_6979;
+   wire n_6980;
+   wire n_6981;
+   wire n_6982;
+   wire n_6983;
+   wire n_6984;
+   wire n_6985;
+   wire n_6986;
+   wire n_6987;
+   wire n_6988;
+   wire n_6989;
+   wire n_6990;
+   wire n_6991;
+   wire n_6992;
+   wire n_6993;
+   wire n_6994;
+   wire n_6995;
+   wire n_6996;
+   wire n_6997;
+   wire n_6998;
+   wire n_6999;
+   wire n_7000;
+   wire n_7001;
+   wire n_7002;
+   wire n_7003;
+   wire n_7004;
+   wire n_7005;
+   wire n_7006;
+   wire n_7007;
+   wire n_7008;
+   wire n_7009;
+   wire n_7010;
+   wire n_7011;
+   wire n_7012;
+   wire n_7013;
+   wire n_7014;
+   wire n_7015;
+   wire n_7016;
+   wire n_7017;
+   wire n_7018;
+   wire n_7019;
+   wire n_7020;
+   wire n_7021;
+   wire n_7022;
+   wire n_7023;
+   wire n_7024;
+   wire n_7025;
+   wire n_7026;
+   wire n_7027;
+   wire n_7028;
+   wire n_7029;
+   wire n_7030;
+   wire n_7031;
+   wire n_7032;
+   wire n_7033;
+   wire n_7034;
+   wire n_7035;
+   wire n_7036;
+   wire n_7037;
+   wire n_7038;
+   wire n_7039;
+   wire n_7040;
+   wire n_7041;
+   wire n_7042;
+   wire n_7043;
+   wire n_7044;
+   wire n_7045;
+   wire n_7046;
+   wire n_7047;
+   wire n_7048;
+   wire n_7049;
+   wire n_7050;
+   wire n_7051;
+   wire n_7052;
+   wire n_7053;
+   wire n_7054;
+   wire n_7055;
+   wire n_7056;
+   wire n_7057;
+   wire n_7058;
+   wire n_7059;
+   wire n_7060;
+   wire n_7061;
+   wire n_7062;
+   wire n_7063;
+   wire n_7064;
+   wire n_7065;
+   wire n_7066;
+   wire n_7067;
+   wire n_7068;
+   wire n_7069;
+   wire n_7070;
+   wire n_7071;
+   wire n_7072;
+   wire n_7073;
+   wire n_7074;
+   wire n_7075;
+   wire n_7076;
+   wire n_7077;
+   wire n_7078;
+   wire n_7079;
+   wire n_7080;
+   wire n_7081;
+   wire n_7082;
+   wire n_7083;
+   wire n_7084;
+   wire n_7085;
+   wire n_7086;
+   wire n_7087;
+   wire n_7088;
+   wire n_7089;
+   wire n_7090;
+   wire n_7091;
+   wire n_7092;
+   wire n_7093;
+   wire n_7094;
+   wire n_7095;
+   wire n_7096;
+   wire n_7097;
+   wire n_7098;
+   wire n_7099;
+   wire n_7100;
+   wire n_7101;
+   wire n_7102;
+   wire n_7103;
+   wire n_7104;
+   wire n_7105;
+   wire n_7106;
+   wire n_7107;
+   wire n_7108;
+   wire n_7109;
+   wire n_7110;
+   wire n_7111;
+   wire n_7112;
+   wire n_7113;
+   wire n_7114;
+   wire n_7115;
+   wire n_7116;
+   wire n_7117;
+   wire n_7118;
+   wire n_7119;
+   wire n_7120;
+   wire n_7121;
+   wire n_7122;
+   wire n_7123;
+   wire n_7124;
+   wire n_7125;
+   wire n_7126;
+   wire n_7127;
+   wire n_7128;
+   wire n_7129;
+   wire n_7130;
+   wire n_7131;
+   wire n_7132;
+   wire n_7133;
+   wire n_7134;
+   wire n_7135;
+   wire n_7136;
+   wire n_7137;
+   wire n_7138;
+   wire n_7139;
+   wire n_7140;
+   wire n_7141;
+   wire n_7142;
+   wire n_7143;
+   wire n_7144;
+   wire n_7145;
+   wire n_7146;
+   wire n_7147;
+   wire n_7148;
+   wire n_7149;
+   wire n_7150;
+   wire n_7151;
+   wire n_7152;
+   wire n_7153;
+   wire n_7154;
+   wire n_7155;
+   wire n_7156;
+   wire n_7157;
+   wire n_7158;
+   wire n_7159;
+   wire n_7160;
+   wire n_7161;
+   wire n_7162;
+   wire n_7163;
+   wire n_7164;
+   wire n_7165;
+   wire n_7166;
+   wire n_7167;
+   wire n_7168;
+   wire n_7169;
+   wire n_7170;
+   wire n_7171;
+   wire n_7172;
+   wire n_7173;
+   wire n_7174;
+   wire n_7175;
+   wire n_7176;
+   wire n_7177;
+   wire n_7178;
+   wire n_7179;
+   wire n_7180;
+   wire n_7181;
+   wire n_7182;
+   wire n_7183;
+   wire n_7184;
+   wire n_7185;
+   wire n_7186;
+   wire n_7187;
+   wire n_7188;
+   wire n_7189;
+   wire n_7190;
+   wire n_7191;
+   wire n_7192;
+   wire n_7193;
+   wire n_7194;
+   wire n_7195;
+   wire n_7196;
+   wire n_7197;
+   wire n_7198;
+   wire n_7199;
+   wire n_7200;
+   wire n_7201;
+   wire n_7202;
+   wire n_7203;
+   wire n_7204;
+   wire n_7205;
+   wire n_7206;
+   wire n_7207;
+   wire n_7208;
+   wire n_7209;
+   wire n_7210;
+   wire n_7211;
+   wire n_7212;
+   wire n_7213;
+   wire n_7214;
+   wire n_7215;
+   wire n_7216;
+   wire n_7217;
+   wire n_7218;
+   wire n_7219;
+   wire n_7220;
+   wire n_7221;
+   wire n_7222;
+   wire n_7223;
+   wire n_7224;
+   wire n_7225;
+   wire n_7226;
+   wire n_7227;
+   wire n_7228;
+   wire n_7229;
+   wire n_7230;
+   wire n_7231;
+   wire n_7232;
+   wire n_7233;
+   wire n_7234;
+   wire n_7235;
+   wire n_7236;
+   wire n_7237;
+   wire n_7238;
+   wire n_7239;
+   wire n_7240;
+   wire n_7241;
+   wire n_7242;
+   wire n_7243;
+   wire n_7244;
+   wire n_7245;
+   wire n_7246;
+   wire n_7247;
+   wire n_7248;
+   wire n_7249;
+   wire n_7250;
+   wire n_7251;
+   wire n_7252;
+   wire n_7253;
+   wire n_7254;
+   wire n_7255;
+   wire n_7256;
+   wire n_7257;
+   wire n_7258;
+   wire n_7259;
+   wire n_7260;
+   wire n_7261;
+   wire n_7262;
+   wire n_7263;
+   wire n_7264;
+   wire n_7265;
+   wire n_7266;
+   wire n_7267;
+   wire n_7268;
+   wire n_7269;
+   wire n_7270;
+   wire n_7271;
+   wire n_7272;
+   wire n_7273;
+   wire n_7274;
+   wire n_7275;
+   wire n_7276;
+   wire n_7277;
+   wire n_7278;
+   wire n_7279;
+   wire n_7280;
+   wire n_7281;
+   wire n_7282;
+   wire n_7283;
+   wire n_7284;
+   wire n_7285;
+   wire n_7286;
+   wire n_7287;
+   wire n_7288;
+   wire n_7289;
+   wire n_7290;
+   wire n_7291;
+   wire n_7292;
+   wire n_7293;
+   wire n_7294;
+   wire n_7295;
+   wire n_7296;
+   wire n_7297;
+   wire n_7298;
+   wire n_7299;
+   wire n_7300;
+   wire n_7301;
+   wire n_7302;
+   wire n_7303;
+   wire n_7304;
+   wire n_7305;
+   wire n_7306;
+   wire n_7307;
+   wire n_7308;
+   wire n_7309;
+   wire n_7310;
+   wire n_7311;
+   wire n_7312;
+   wire n_7313;
+   wire n_7314;
+   wire n_7315;
+   wire n_7316;
+   wire n_7317;
+   wire n_7318;
+   wire n_7319;
+   wire n_7320;
+   wire n_7321;
+   wire n_7322;
+   wire n_7323;
+   wire n_7324;
+   wire n_7325;
+   wire n_7326;
+   wire n_7327;
+   wire n_7328;
+   wire n_7329;
+   wire n_7330;
+   wire n_7331;
+   wire n_7332;
+   wire n_7333;
+   wire n_7334;
+   wire n_7335;
+   wire n_7336;
+   wire n_7337;
+   wire n_7338;
+   wire n_7339;
+   wire n_7340;
+   wire n_7341;
+   wire n_7342;
+   wire n_7343;
+   wire n_7344;
+   wire n_7345;
+   wire n_7346;
+   wire n_7347;
+   wire n_7348;
+   wire n_7349;
+   wire n_7350;
+   wire n_7351;
+   wire n_7352;
+   wire n_7353;
+   wire n_7354;
+   wire n_7355;
+   wire n_7356;
+   wire n_7357;
+   wire n_7358;
+   wire n_7359;
+   wire n_7360;
+   wire n_7361;
+   wire n_7362;
+   wire n_7363;
+   wire n_7364;
+   wire n_7365;
+   wire n_7366;
+   wire n_7367;
+   wire n_7368;
+   wire n_7369;
+   wire n_7370;
+   wire n_7371;
+   wire n_7372;
+   wire n_7373;
+   wire n_7374;
+   wire n_7375;
+   wire n_7376;
+   wire n_7377;
+   wire n_7378;
+   wire n_7379;
+   wire n_7380;
+   wire n_7381;
+   wire n_7382;
+   wire n_7383;
+   wire n_7384;
+   wire n_7385;
+   wire n_7386;
+   wire n_7387;
+   wire n_7388;
+   wire n_7389;
+   wire n_7390;
+   wire n_7391;
+   wire n_7392;
+   wire n_7393;
+   wire n_7394;
+   wire n_7395;
+   wire n_7396;
+   wire n_7397;
+   wire n_7398;
+   wire n_7399;
+   wire n_7400;
+   wire n_7401;
+   wire n_7402;
+   wire n_7403;
+   wire n_7404;
+   wire n_7405;
+   wire n_7406;
+   wire n_7407;
+   wire n_7408;
+   wire n_7409;
+   wire n_7410;
+   wire n_7411;
+   wire n_7412;
+   wire n_7413;
+   wire n_7414;
+   wire n_7415;
+   wire n_7416;
+   wire n_7417;
+   wire n_7418;
+   wire n_7419;
+   wire n_7420;
+   wire n_7421;
+   wire n_7422;
+   wire n_7423;
+   wire n_7424;
+   wire n_7425;
+   wire n_7426;
+   wire n_7427;
+   wire n_7428;
+   wire n_7429;
+   wire n_7430;
+   wire n_7431;
+   wire n_7432;
+   wire n_7433;
+   wire n_7434;
+   wire n_7435;
+   wire n_7436;
+   wire n_7437;
+   wire n_7438;
+   wire n_7439;
+   wire n_7440;
+   wire n_7441;
+   wire n_7442;
+   wire n_7443;
+   wire n_7444;
+   wire n_7445;
+   wire n_7446;
+   wire n_7447;
+   wire n_7448;
+   wire n_7449;
+   wire n_7450;
+   wire n_7451;
+   wire n_7452;
+   wire n_7453;
+   wire n_7454;
+   wire n_7455;
+   wire n_7456;
+   wire n_7457;
+   wire n_7458;
+   wire n_7459;
+   wire n_7460;
+   wire n_7461;
+   wire n_7462;
+   wire n_7463;
+   wire n_7464;
+   wire n_7465;
+   wire n_7466;
+   wire n_7467;
+   wire n_7468;
+   wire n_7469;
+   wire n_7470;
+   wire n_7471;
+   wire n_7472;
+   wire n_7473;
+   wire n_7474;
+   wire n_7475;
+   wire n_7476;
+   wire n_7477;
+   wire n_7478;
+   wire n_7479;
+   wire n_7480;
+   wire n_7481;
+   wire n_7482;
+   wire n_7483;
+   wire n_7484;
+   wire n_7485;
+   wire n_7486;
+   wire n_7487;
+   wire n_7488;
+   wire n_7489;
+   wire n_7490;
+   wire n_7491;
+   wire n_7492;
+   wire n_7493;
+   wire n_7494;
+   wire n_7495;
+   wire n_7496;
+   wire n_7497;
+   wire n_7498;
+   wire n_7499;
+   wire n_7500;
+   wire n_7501;
+   wire n_7502;
+   wire n_7503;
+   wire n_7504;
+   wire n_7505;
+   wire n_7506;
+   wire n_7507;
+   wire n_7508;
+   wire n_7509;
+   wire n_7510;
+   wire n_7511;
+   wire n_7512;
+   wire n_7513;
+   wire n_7514;
+   wire n_7515;
+   wire n_7516;
+   wire n_7517;
+   wire n_7518;
+   wire n_7519;
+   wire n_7520;
+   wire n_7521;
+   wire n_7522;
+   wire n_7523;
+   wire n_7524;
+   wire n_7525;
+   wire n_7526;
+   wire n_7527;
+   wire n_7528;
+   wire n_7529;
+   wire n_7530;
+   wire n_7531;
+   wire n_7532;
+   wire n_7533;
+   wire n_7534;
+   wire n_7535;
+   wire n_7536;
+   wire n_7537;
+   wire n_7538;
+   wire n_7539;
+   wire n_7540;
+   wire n_7541;
+   wire n_7542;
+   wire n_7543;
+   wire n_7544;
+   wire n_7545;
+   wire n_7546;
+   wire n_7547;
+   wire n_7548;
+   wire n_7549;
+   wire n_7550;
+   wire n_7551;
+   wire n_7552;
+   wire n_7553;
+   wire n_7554;
+   wire n_7555;
+   wire n_7556;
+   wire n_7557;
+   wire n_7558;
+   wire n_7559;
+   wire n_7560;
+   wire n_7561;
+   wire n_7562;
+   wire n_7563;
+   wire n_7564;
+   wire n_7565;
+   wire n_7566;
+   wire n_7567;
+   wire n_7568;
+   wire n_7569;
+   wire n_7570;
+   wire n_7571;
+   wire n_7572;
+   wire n_7573;
+   wire n_7574;
+   wire n_7575;
+   wire n_7576;
+   wire n_7577;
+   wire n_7578;
+   wire n_7579;
+   wire n_7580;
+   wire n_7581;
+   wire n_7582;
+   wire n_7583;
+   wire n_7584;
+   wire n_7585;
+   wire n_7586;
+   wire n_7587;
+   wire n_7588;
+   wire n_7589;
+   wire n_7590;
+   wire n_7591;
+   wire n_7592;
+   wire n_7593;
+   wire n_7594;
+   wire n_7595;
+   wire n_7596;
+   wire n_7597;
+   wire n_7598;
+   wire n_7599;
+   wire n_7600;
+   wire n_7601;
+   wire n_7602;
+   wire n_7603;
+   wire n_7604;
+   wire n_7605;
+   wire n_7606;
+   wire n_7607;
+   wire n_7608;
+   wire n_7609;
+   wire n_7610;
+   wire n_7611;
+   wire n_7612;
+   wire n_7613;
+   wire n_7614;
+   wire n_7615;
+   wire n_7616;
+   wire n_7617;
+   wire n_7618;
+   wire n_7619;
+   wire n_7620;
+   wire n_7621;
+   wire n_7622;
+   wire n_7623;
+   wire n_7624;
+   wire n_7625;
+   wire n_7626;
+   wire n_7627;
+   wire n_7628;
+   wire n_7629;
+   wire n_7630;
+   wire n_7631;
+   wire n_7632;
+   wire n_7633;
+   wire n_7634;
+   wire n_7635;
+   wire n_7636;
+   wire n_7637;
+   wire n_7638;
+   wire n_7639;
+   wire n_7640;
+   wire n_7641;
+   wire n_7642;
+   wire n_7643;
+   wire n_7644;
+   wire n_7645;
+   wire n_7646;
+   wire n_7647;
+   wire n_7648;
+   wire n_7649;
+   wire n_7650;
+   wire n_7651;
+   wire n_7652;
+   wire n_7653;
+   wire n_7654;
+   wire n_7655;
+   wire n_7656;
+   wire n_7657;
+   wire n_7658;
+   wire n_7659;
+   wire n_7660;
+   wire n_7661;
+   wire n_7662;
+   wire n_7663;
+   wire n_7664;
+   wire n_7665;
+   wire n_7666;
+   wire n_7667;
+   wire n_7668;
+   wire n_7669;
+   wire n_7670;
+   wire n_7671;
+   wire n_7672;
+   wire n_7673;
+   wire n_7674;
+   wire n_7675;
+   wire n_7676;
+   wire n_7677;
+   wire n_7678;
+   wire n_7679;
+   wire n_7680;
+   wire n_7681;
+   wire n_7682;
+   wire n_7683;
+   wire n_7684;
+   wire n_7685;
+   wire n_7686;
+   wire n_7687;
+   wire n_7688;
+   wire n_7689;
+   wire n_7690;
+   wire n_7691;
+   wire n_7692;
+   wire n_7693;
+   wire n_7694;
+   wire n_7695;
+   wire n_7696;
+   wire n_7697;
+   wire n_7698;
+   wire n_7699;
+   wire n_7700;
+   wire n_7701;
+   wire n_7702;
+   wire n_7703;
+   wire n_7704;
+   wire n_7705;
+   wire n_7706;
+   wire n_7707;
+   wire n_7708;
+   wire n_7709;
+   wire n_7710;
+   wire n_7711;
+   wire n_7712;
+   wire n_7713;
+   wire n_7714;
+   wire n_7715;
+   wire n_7716;
+   wire n_7717;
+   wire n_7718;
+   wire n_7719;
+   wire n_7720;
+   wire n_7721;
+   wire n_7722;
+   wire n_7723;
+   wire n_7724;
+   wire n_7725;
+   wire n_7726;
+   wire n_7727;
+   wire n_7728;
+   wire n_7729;
+   wire n_7730;
+   wire n_7731;
+   wire n_7732;
+   wire n_7733;
+   wire n_7734;
+   wire n_7735;
+   wire n_7736;
+   wire n_7737;
+   wire n_7738;
+   wire n_7739;
+   wire n_7740;
+   wire n_7741;
+   wire n_7742;
+   wire n_7743;
+   wire n_7744;
+   wire n_7745;
+   wire n_7746;
+   wire n_7747;
+   wire n_7748;
+   wire n_7749;
+   wire n_7750;
+   wire n_7751;
+   wire n_7752;
+   wire n_7753;
+   wire n_7754;
+   wire n_7755;
+   wire n_7756;
+   wire n_7757;
+   wire n_7758;
+   wire n_7759;
+   wire n_7760;
+   wire n_7761;
+   wire n_7762;
+   wire n_7763;
+   wire n_7764;
+   wire n_7765;
+   wire n_7766;
+   wire n_7767;
+   wire n_7768;
+   wire n_7769;
+   wire n_7770;
+   wire n_7771;
+   wire n_7772;
+   wire n_7773;
+   wire n_7774;
+   wire n_7775;
+   wire n_7776;
+   wire n_7777;
+   wire n_7778;
+   wire n_7779;
+   wire n_7780;
+   wire n_7781;
+   wire n_7782;
+   wire n_7783;
+   wire n_7784;
+   wire n_7785;
+   wire n_7786;
+   wire n_7787;
+   wire n_7788;
+   wire n_7789;
+   wire n_7790;
+   wire n_7791;
+   wire n_7792;
+   wire n_7793;
+   wire n_7794;
+   wire n_7795;
+   wire n_7796;
+   wire n_7797;
+   wire n_7798;
+   wire n_7799;
+   wire n_7800;
+   wire n_7801;
+   wire n_7802;
+   wire n_7803;
+   wire n_7804;
+   wire n_7805;
+   wire n_7806;
+   wire n_7807;
+   wire n_7808;
+   wire n_7809;
+   wire n_7810;
+   wire n_7811;
+   wire n_7812;
+   wire n_7813;
+   wire n_7814;
+   wire n_7815;
+   wire n_7816;
+   wire n_7817;
+   wire n_7818;
+   wire n_7819;
+   wire n_7820;
+   wire n_7821;
+   wire n_7822;
+   wire n_7823;
+   wire n_7824;
+   wire n_7825;
+   wire n_7826;
+   wire n_7827;
+   wire n_7828;
+   wire n_7829;
+   wire n_7830;
+   wire n_7831;
+   wire n_7832;
+   wire n_7833;
+   wire n_7834;
+   wire n_7835;
+   wire n_7836;
+   wire n_7837;
+   wire n_7838;
+   wire n_7839;
+   wire n_7840;
+   wire n_7841;
+   wire n_7842;
+   wire n_7843;
+   wire n_7844;
+   wire n_7845;
+   wire n_7846;
+   wire n_7847;
+   wire n_7848;
+   wire n_7849;
+   wire n_7850;
+   wire n_7851;
+   wire n_7852;
+   wire n_7853;
+   wire n_7854;
+   wire n_7855;
+   wire n_7856;
+   wire n_7857;
+   wire n_7858;
+   wire n_7859;
+   wire n_7860;
+   wire n_7861;
+   wire n_7862;
+   wire n_7863;
+   wire n_7864;
+   wire n_7865;
+   wire n_7866;
+   wire n_7867;
+   wire n_7868;
+   wire n_7869;
+   wire n_7870;
+   wire n_7871;
+   wire n_7872;
+   wire n_7873;
+   wire n_7874;
+   wire n_7875;
+   wire n_7877;
+   wire n_7878;
+   wire n_7879;
+   wire n_7880;
+   wire n_7881;
+   wire n_7882;
+   wire n_7883;
+   wire n_7884;
+   wire n_7885;
+   wire n_7886;
+   wire n_7887;
+   wire n_7888;
+   wire n_7889;
+   wire n_7890;
+   wire n_7891;
+   wire n_7892;
+   wire n_7893;
+   wire n_7894;
+   wire n_7895;
+   wire n_7896;
+   wire n_7897;
+   wire n_7898;
+   wire n_7899;
+   wire n_7900;
+   wire n_7901;
+   wire n_7902;
+   wire n_7903;
+   wire n_7904;
+   wire n_7905;
+   wire n_7906;
+   wire n_7907;
+   wire n_7908;
+   wire n_7909;
+   wire n_7910;
+   wire n_7911;
+   wire n_7912;
+   wire n_7913;
+   wire n_7914;
+   wire n_7915;
+   wire n_7916;
+   wire n_7917;
+   wire n_7918;
+   wire n_7919;
+   wire n_7920;
+   wire n_7921;
+   wire n_7922;
+   wire n_7923;
+   wire n_7924;
+   wire n_7925;
+   wire n_7926;
+   wire n_7927;
+   wire n_7928;
+   wire n_7929;
+   wire n_7930;
+   wire n_7931;
+   wire n_7932;
+   wire n_7933;
+   wire n_7934;
+   wire n_7935;
+   wire n_7936;
+   wire n_7937;
+   wire n_7938;
+   wire n_7939;
+   wire n_7940;
+   wire n_7941;
+   wire n_7942;
+   wire n_7943;
+   wire n_7944;
+   wire n_7945;
+   wire n_7946;
+   wire n_7947;
+   wire n_7948;
+   wire n_7949;
+   wire n_7950;
+   wire n_7951;
+   wire n_7952;
+   wire n_7953;
+   wire n_7954;
+   wire n_7955;
+   wire n_7956;
+   wire n_7957;
+   wire n_7958;
+   wire n_7959;
+   wire n_7960;
+   wire n_7961;
+   wire n_7962;
+   wire n_7963;
+   wire n_7964;
+   wire n_7965;
+   wire n_7966;
+   wire n_7967;
+   wire n_7968;
+   wire n_7969;
+   wire n_7970;
+   wire n_7971;
+   wire n_7972;
+   wire n_7973;
+   wire n_7974;
+   wire n_7975;
+   wire n_7976;
+   wire n_7977;
+   wire n_7978;
+   wire n_7979;
+   wire n_7980;
+   wire n_7981;
+   wire n_7982;
+   wire n_7983;
+   wire n_7984;
+   wire n_7985;
+   wire n_7986;
+   wire n_7987;
+   wire n_7988;
+   wire n_7989;
+   wire n_7990;
+   wire n_7991;
+   wire n_7992;
+   wire n_7993;
+   wire n_7994;
+   wire n_7995;
+   wire n_7996;
+   wire n_7997;
+   wire n_7998;
+   wire n_7999;
+   wire n_8000;
+   wire n_8001;
+   wire n_8002;
+   wire n_8003;
+   wire n_8004;
+   wire n_8005;
+   wire n_8006;
+   wire n_8007;
+   wire n_8008;
+   wire n_8009;
+   wire n_8010;
+   wire n_8011;
+   wire n_8012;
+   wire n_8013;
+   wire n_8014;
+   wire n_8015;
+   wire n_8016;
+   wire n_8017;
+   wire n_8018;
+   wire n_8019;
+   wire n_8020;
+   wire n_8021;
+   wire n_8022;
+   wire n_8023;
+   wire n_8024;
+   wire n_8025;
+   wire n_8026;
+   wire n_8027;
+   wire n_8028;
+   wire n_8029;
+   wire n_8030;
+   wire n_8031;
+   wire n_8032;
+   wire n_8033;
+   wire n_8034;
+   wire n_8035;
+   wire n_8036;
+   wire n_8037;
+   wire n_8038;
+   wire n_8039;
+   wire n_8040;
+   wire n_8041;
+   wire n_8042;
+   wire n_8043;
+   wire n_8044;
+   wire n_8045;
+   wire n_8046;
+   wire n_8047;
+   wire n_8048;
+   wire n_8049;
+   wire n_8050;
+   wire n_8051;
+   wire n_8052;
+   wire n_8053;
+   wire n_8054;
+   wire n_8055;
+   wire n_8056;
+   wire n_8057;
+   wire n_8058;
+   wire n_8059;
+   wire n_8060;
+   wire n_8061;
+   wire n_8062;
+   wire n_8063;
+   wire n_8064;
+   wire n_8065;
+   wire n_8066;
+   wire n_8067;
+   wire n_8068;
+   wire n_8069;
+   wire n_8070;
+   wire n_8071;
+   wire n_8072;
+   wire n_8073;
+   wire n_8074;
+   wire n_8075;
+   wire n_8076;
+   wire n_8077;
+   wire n_8078;
+   wire n_8079;
+   wire n_8080;
+   wire n_8081;
+   wire n_8082;
+   wire n_8083;
+   wire n_8084;
+   wire n_8085;
+   wire n_8086;
+   wire n_8087;
+   wire n_8088;
+   wire n_8089;
+   wire n_8090;
+   wire n_8091;
+   wire n_8092;
+   wire n_8093;
+   wire n_8094;
+   wire n_8095;
+   wire n_8096;
+   wire n_8097;
+   wire n_8098;
+   wire n_8099;
+   wire n_8100;
+   wire n_8101;
+   wire n_8102;
+   wire n_8103;
+   wire n_8104;
+   wire n_8105;
+   wire n_8106;
+   wire n_8107;
+   wire n_8108;
+   wire n_8109;
+   wire n_8110;
+   wire n_8111;
+   wire n_8112;
+   wire n_8113;
+   wire n_8114;
+   wire n_8115;
+   wire n_8116;
+   wire n_8117;
+   wire n_8118;
+   wire n_8119;
+   wire n_8120;
+   wire n_8121;
+   wire n_8122;
+   wire n_8123;
+   wire n_8124;
+   wire n_8125;
+   wire n_8126;
+   wire n_8127;
+   wire n_8128;
+   wire n_8129;
+   wire n_8130;
+   wire n_8131;
+   wire n_8132;
+   wire n_8133;
+   wire n_8134;
+   wire n_8135;
+   wire n_8136;
+   wire n_8137;
+   wire n_8138;
+   wire n_8139;
+   wire n_8140;
+   wire n_8141;
+   wire n_8142;
+   wire n_8143;
+   wire n_8144;
+   wire n_8145;
+   wire n_8146;
+   wire n_8147;
+   wire n_8148;
+   wire n_8149;
+   wire n_8150;
+   wire n_8151;
+   wire n_8152;
+   wire n_8153;
+   wire n_8154;
+   wire n_8155;
+   wire n_8156;
+   wire n_8157;
+   wire n_8158;
+   wire n_8159;
+   wire n_8160;
+   wire n_8161;
+   wire n_8162;
+   wire n_8163;
+   wire n_8164;
+   wire n_8165;
+   wire n_8166;
+   wire n_8167;
+   wire n_8168;
+   wire n_8169;
+   wire n_8170;
+   wire n_8171;
+   wire n_8172;
+   wire n_8173;
+   wire n_8174;
+   wire n_8175;
+   wire n_8176;
+   wire n_8177;
+   wire n_8178;
+   wire n_8179;
+   wire n_8180;
+   wire n_8181;
+   wire n_8182;
+   wire n_8183;
+   wire n_8184;
+   wire n_8185;
+   wire n_8186;
+   wire n_8187;
+   wire n_8188;
+   wire n_8189;
+   wire n_8190;
+   wire n_8191;
+   wire n_8192;
+   wire n_8193;
+   wire n_8194;
+   wire n_8195;
+   wire n_8196;
+   wire n_8197;
+   wire n_8198;
+   wire n_8199;
+   wire n_8200;
+   wire n_8201;
+   wire n_8202;
+   wire n_8203;
+   wire n_8204;
+   wire n_8205;
+   wire n_8206;
+   wire n_8207;
+   wire n_8208;
+   wire n_8209;
+   wire n_8210;
+   wire n_8211;
+   wire n_8212;
+   wire n_8213;
+   wire n_8214;
+   wire n_8215;
+   wire n_8216;
+   wire n_8217;
+   wire n_8218;
+   wire n_8219;
+   wire n_8220;
+   wire n_8221;
+   wire n_8222;
+   wire n_8223;
+   wire n_8224;
+   wire n_8225;
+   wire n_8226;
+   wire n_8227;
+   wire n_8228;
+   wire n_8229;
+   wire n_8230;
+   wire n_8231;
+   wire n_8232;
+   wire n_8233;
+   wire n_8234;
+   wire n_8235;
+   wire n_8236;
+   wire n_8237;
+   wire n_8238;
+   wire n_8239;
+   wire n_8240;
+   wire n_8241;
+   wire n_8242;
+   wire n_8243;
+   wire n_8244;
+   wire n_8245;
+   wire n_8246;
+   wire n_8247;
+   wire n_8248;
+   wire n_8249;
+   wire n_8250;
+   wire n_8251;
+   wire n_8252;
+   wire n_8253;
+   wire n_8254;
+   wire n_8255;
+   wire n_8256;
+   wire n_8257;
+   wire n_8258;
+   wire n_8259;
+   wire n_8260;
+   wire n_8261;
+   wire n_8262;
+   wire n_8263;
+   wire n_8264;
+   wire n_8265;
+   wire n_8266;
+   wire n_8267;
+   wire n_8268;
+   wire n_8269;
+   wire n_8270;
+   wire n_8271;
+   wire n_8272;
+   wire n_8273;
+   wire n_8274;
+   wire n_8275;
+   wire n_8276;
+   wire n_8277;
+   wire n_8278;
+   wire n_8279;
+   wire n_8280;
+   wire n_8281;
+   wire n_8282;
+   wire n_8283;
+   wire n_8284;
+   wire n_8285;
+   wire n_8286;
+   wire n_8287;
+   wire n_8288;
+   wire n_8289;
+   wire n_8290;
+   wire n_8291;
+   wire n_8292;
+   wire n_8293;
+   wire n_8294;
+   wire n_8295;
+   wire n_8296;
+   wire n_8297;
+   wire n_8298;
+   wire n_8299;
+   wire n_8300;
+   wire n_8301;
+   wire n_8302;
+   wire n_8303;
+   wire n_8304;
+   wire n_8305;
+   wire n_8306;
+   wire n_8307;
+   wire n_8308;
+   wire n_8309;
+   wire n_8310;
+   wire n_8311;
+   wire n_8312;
+   wire n_8313;
+   wire n_8314;
+   wire n_8315;
+   wire n_8316;
+   wire n_8317;
+   wire n_8318;
+   wire n_8319;
+   wire n_8320;
+   wire n_8321;
+   wire n_8322;
+   wire n_8323;
+   wire n_8324;
+   wire n_8325;
+   wire n_8326;
+   wire n_8327;
+   wire n_8328;
+   wire n_8329;
+   wire n_8330;
+   wire n_8331;
+   wire n_8332;
+   wire n_8333;
+   wire n_8334;
+   wire n_8335;
+   wire n_8336;
+   wire n_8337;
+   wire n_8338;
+   wire n_8339;
+   wire n_8340;
+   wire n_8341;
+   wire n_8342;
+   wire n_8343;
+   wire n_8344;
+   wire n_8345;
+   wire n_8346;
+   wire n_8347;
+   wire n_8348;
+   wire n_8349;
+   wire n_8350;
+   wire n_8351;
+   wire n_8352;
+   wire n_8353;
+   wire n_8354;
+   wire n_8355;
+   wire n_8356;
+   wire n_8357;
+   wire n_8358;
+   wire n_8359;
+   wire n_8360;
+   wire n_8361;
+   wire n_8362;
+   wire n_8363;
+   wire n_8364;
+   wire n_8365;
+   wire n_8366;
+   wire n_8367;
+   wire n_8368;
+   wire n_8370;
+   wire n_8371;
+   wire n_8372;
+   wire n_8373;
+   wire n_8374;
+   wire n_8375;
+   wire n_8376;
+   wire n_8377;
+   wire n_8379;
+   wire n_8380;
+   wire n_8381;
+   wire n_8382;
+   wire n_8383;
+   wire n_8384;
+   wire n_8385;
+   wire n_8386;
+   wire n_8387;
+   wire n_8388;
+   wire n_8389;
+   wire n_8390;
+   wire n_8391;
+   wire n_8392;
+   wire n_8393;
+   wire n_8394;
+   wire n_8395;
+   wire n_8396;
+   wire n_8397;
+   wire n_8398;
+   wire n_8399;
+   wire n_8400;
+   wire n_8401;
+   wire n_8402;
+   wire n_8403;
+   wire n_8404;
+   wire n_8405;
+   wire n_8407;
+   wire n_8408;
+   wire n_8409;
+   wire n_8410;
+   wire n_8411;
+   wire n_8412;
+   wire n_8413;
+   wire n_8414;
+   wire n_8415;
+   wire n_8416;
+   wire n_8417;
+   wire n_8418;
+   wire n_8419;
+   wire n_8420;
+   wire n_8421;
+   wire n_8422;
+   wire n_8423;
+   wire n_8424;
+   wire n_8425;
+   wire n_8426;
+   wire n_8427;
+   wire n_8428;
+   wire n_8429;
+   wire n_8430;
+   wire n_8431;
+   wire n_8432;
+   wire n_8433;
+   wire n_8434;
+   wire n_8435;
+   wire n_8436;
+   wire n_8437;
+   wire n_8438;
+   wire n_8439;
+   wire n_8440;
+   wire n_8441;
+   wire n_8442;
+   wire n_8443;
+   wire n_8444;
+   wire n_8445;
+   wire n_8446;
+   wire n_8447;
+   wire n_8448;
+   wire n_8449;
+   wire n_8450;
+   wire n_8451;
+   wire n_8452;
+   wire n_8453;
+   wire n_8454;
+   wire n_8455;
+   wire n_8456;
+   wire n_8457;
+   wire n_8458;
+   wire n_8459;
+   wire n_8460;
+   wire n_8461;
+   wire n_8462;
+   wire n_8463;
+   wire n_8464;
+   wire n_8465;
+   wire n_8466;
+   wire n_8467;
+   wire n_8468;
+   wire n_8469;
+   wire n_8470;
+   wire n_8471;
+   wire n_8472;
+   wire n_8473;
+   wire n_8474;
+   wire n_8475;
+   wire n_8476;
+   wire n_8477;
+   wire n_8478;
+   wire n_8479;
+   wire n_8480;
+   wire n_8481;
+   wire n_8482;
+   wire n_8483;
+   wire n_8484;
+   wire n_8485;
+   wire n_8486;
+   wire n_8487;
+   wire n_8488;
+   wire n_8489;
+   wire n_8490;
+   wire n_8491;
+   wire n_8492;
+   wire n_8493;
+   wire n_8494;
+   wire n_8495;
+   wire n_8496;
+   wire n_8497;
+   wire n_8498;
+   wire n_8499;
+   wire n_8500;
+   wire n_8501;
+   wire n_8502;
+   wire n_8503;
+   wire n_8504;
+   wire n_8505;
+   wire n_8506;
+   wire n_8507;
+   wire n_8508;
+   wire n_8509;
+   wire n_8510;
+   wire n_8511;
+   wire n_8512;
+   wire n_8513;
+   wire n_8514;
+   wire n_8515;
+   wire n_8516;
+   wire n_8517;
+   wire n_8518;
+   wire n_8519;
+   wire n_8520;
+   wire n_8521;
+   wire n_8522;
+   wire n_8523;
+   wire n_8524;
+   wire n_8525;
+   wire n_8526;
+   wire n_8527;
+   wire n_8528;
+   wire n_8529;
+   wire n_8530;
+   wire n_8531;
+   wire n_8532;
+   wire n_8533;
+   wire n_8534;
+   wire n_8535;
+   wire n_8536;
+   wire n_8537;
+   wire n_8539;
+   wire n_8540;
+   wire n_8541;
+   wire n_8542;
+   wire n_8543;
+   wire n_8544;
+   wire n_8545;
+   wire n_8546;
+   wire n_8547;
+   wire n_8548;
+   wire n_8549;
+   wire n_8550;
+   wire n_8551;
+   wire n_8552;
+   wire n_8553;
+   wire n_8554;
+   wire n_8555;
+   wire n_8556;
+   wire n_8557;
+   wire n_8558;
+   wire n_8559;
+   wire n_8560;
+   wire n_8561;
+   wire n_8562;
+   wire n_8563;
+   wire n_8564;
+   wire n_8565;
+   wire n_8566;
+   wire n_8567;
+   wire n_8568;
+   wire n_8569;
+   wire n_8570;
+   wire n_8571;
+   wire n_8572;
+   wire n_8573;
+   wire n_8574;
+   wire n_8575;
+   wire n_8576;
+   wire n_8577;
+   wire n_8578;
+   wire n_8579;
+   wire n_8580;
+   wire n_8581;
+   wire n_8582;
+   wire n_8583;
+   wire n_8584;
+   wire n_8585;
+   wire n_8586;
+   wire n_8587;
+   wire n_8588;
+   wire n_8589;
+   wire n_8590;
+   wire n_8591;
+   wire n_8592;
+   wire n_8593;
+   wire n_8595;
+   wire n_8596;
+   wire n_8597;
+   wire n_8598;
+   wire n_8599;
+   wire n_8600;
+   wire n_8601;
+   wire n_8602;
+   wire n_8603;
+   wire n_8604;
+   wire n_8605;
+   wire n_8606;
+   wire n_8607;
+   wire n_8608;
+   wire n_8609;
+   wire n_8610;
+   wire n_8611;
+   wire n_8612;
+   wire n_8613;
+   wire n_8614;
+   wire n_8615;
+   wire n_8616;
+   wire n_8617;
+   wire n_8618;
+   wire n_8619;
+   wire n_8620;
+   wire n_8621;
+   wire n_8622;
+   wire n_8623;
+   wire n_8624;
+   wire n_8625;
+   wire n_8626;
+   wire n_8627;
+   wire n_8628;
+   wire n_8629;
+   wire n_8630;
+   wire n_8631;
+   wire n_8632;
+   wire n_8633;
+   wire n_8634;
+   wire n_8636;
+   wire n_8638;
+   wire n_8639;
+   wire n_8640;
+   wire n_8641;
+   wire n_8642;
+   wire n_8643;
+   wire n_8644;
+   wire n_8645;
+   wire n_8646;
+   wire n_8647;
+   wire n_8649;
+   wire n_8656;
+   wire n_8658;
+   wire n_8659;
+   wire n_8660;
+   wire n_8662;
+   wire n_8664;
+   wire n_8665;
+   wire n_8666;
+   wire n_8667;
+   wire n_8668;
+   wire n_8669;
+   wire n_8670;
+   wire n_8671;
+   wire n_8672;
+   wire n_8673;
+   wire n_8674;
+   wire n_8675;
+   wire n_8676;
+   wire n_8677;
+   wire n_8678;
+   wire n_8679;
+   wire n_8680;
+   wire n_8681;
+   wire n_8682;
+   wire n_8683;
+   wire n_8684;
+   wire n_8685;
+   wire n_8686;
+   wire n_8687;
+   wire n_8688;
+   wire n_8689;
+   wire n_8690;
+   wire n_8691;
+   wire n_8692;
+   wire n_8693;
+   wire n_8694;
+   wire n_8695;
+   wire n_8696;
+   wire n_8697;
+   wire n_8698;
+   wire n_8699;
+   wire n_8701;
+   wire n_8702;
+   wire n_8703;
+   wire n_8704;
+   wire n_8705;
+   wire n_8706;
+   wire n_8707;
+   wire n_8708;
+   wire n_8709;
+   wire n_8710;
+   wire n_8711;
+   wire n_8712;
+   wire n_8713;
+   wire n_8714;
+   wire n_8715;
+   wire n_8716;
+   wire n_8717;
+   wire n_8718;
+   wire n_8719;
+   wire n_8720;
+   wire n_8721;
+   wire n_8722;
+   wire n_8723;
+   wire n_8724;
+   wire n_8725;
+   wire n_8726;
+   wire n_8727;
+   wire n_8728;
+   wire n_8729;
+   wire n_8730;
+   wire n_8731;
+   wire n_8732;
+   wire n_8733;
+   wire n_8734;
+   wire n_8735;
+   wire n_8736;
+   wire n_8737;
+   wire n_8738;
+   wire n_8739;
+   wire n_8740;
+   wire n_8741;
+   wire n_8742;
+   wire n_8743;
+   wire n_8744;
+   wire n_8745;
+   wire n_8746;
+   wire n_8747;
+   wire n_8748;
+   wire n_8749;
+   wire n_8750;
+   wire n_8751;
+   wire n_8752;
+   wire n_8753;
+   wire n_8754;
+   wire n_8755;
+   wire n_8756;
+   wire n_8757;
+   wire n_8758;
+   wire n_8759;
+   wire n_8760;
+   wire n_8761;
+   wire n_8762;
+   wire n_8763;
+   wire n_8764;
+   wire n_8765;
+   wire n_8766;
+   wire n_8767;
+   wire n_8768;
+   wire n_8769;
+   wire n_8770;
+   wire n_8771;
+   wire n_8772;
+   wire n_8773;
+   wire n_8774;
+   wire n_8775;
+   wire n_8776;
+   wire n_8777;
+   wire n_8778;
+   wire n_8779;
+   wire n_8780;
+   wire n_8781;
+   wire n_8782;
+   wire n_8783;
+   wire n_8784;
+   wire n_8785;
+   wire n_8786;
+   wire n_8787;
+   wire n_8788;
+   wire n_8789;
+   wire n_8790;
+   wire n_8791;
+   wire n_8792;
+   wire n_8793;
+   wire n_8794;
+   wire n_8795;
+   wire n_8796;
+   wire n_8797;
+   wire n_8798;
+   wire n_8799;
+   wire n_8800;
+   wire n_8801;
+   wire n_8802;
+   wire n_8803;
+   wire n_8851;
+   wire n_8852;
+   wire n_8853;
+   wire n_8854;
+   wire n_8855;
+   wire n_8856;
+   wire n_8857;
+   wire n_8858;
+   wire n_8859;
+   wire n_8860;
+   wire n_8861;
+   wire n_8862;
+   wire n_8864;
+   wire n_8865;
+   wire n_8866;
+   wire n_8867;
+   wire n_8868;
+   wire n_8869;
+   wire n_8870;
+   wire n_8871;
+   wire n_8872;
+   wire n_8873;
+   wire n_8874;
+   wire n_8875;
+   wire n_8876;
+   wire n_8877;
+   wire n_8879;
+   wire n_8880;
+   wire n_8881;
+   wire n_8882;
+   wire n_8883;
+   wire n_8884;
+   wire n_8885;
+   wire n_8886;
+   wire n_8887;
+   wire n_8888;
+   wire n_8889;
+   wire n_8890;
+   wire n_8892;
+   wire n_8893;
+   wire n_8894;
+   wire n_8895;
+   wire n_8896;
+   wire n_8897;
+   wire n_8898;
+   wire n_8899;
+   wire n_8900;
+   wire n_8901;
+   wire n_8902;
+   wire n_8903;
+   wire n_8904;
+   wire n_8905;
+   wire n_8906;
+   wire n_8907;
+   wire n_8908;
+   wire n_8909;
+   wire n_8910;
+   wire n_8911;
+   wire n_8912;
+   wire n_8913;
+   wire n_8915;
+   wire n_8929;
+   wire n_8933;
+   wire n_8950;
+   wire n_8951;
+   wire n_8952;
+   wire n_8953;
+   wire n_8954;
+   wire n_8955;
+   wire n_8957;
+   wire n_8958;
+   wire n_8959;
+   wire n_8960;
+   wire n_8961;
+   wire n_8962;
+   wire n_8964;
+   wire n_8965;
+   wire n_8966;
+   wire n_8967;
+   wire n_8968;
+   wire n_8969;
+   wire n_8970;
+   wire n_8971;
+   wire n_8975;
+   wire n_8976;
+   wire n_8977;
+   wire n_8978;
+   wire n_8979;
+   wire n_8980;
+   wire n_8981;
+   wire n_8982;
+   wire n_8983;
+   wire n_8984;
+   wire n_8985;
+   wire n_8986;
+   wire n_8987;
+   wire n_8989;
+   wire n_8990;
+   wire n_8992;
+   wire n_8993;
+   wire n_8994;
+   wire n_8995;
+   wire n_8996;
+   wire n_8997;
+   wire n_8998;
+   wire n_9000;
+   wire n_9001;
+   wire n_9002;
+   wire n_9003;
+   wire n_9004;
+   wire n_9005;
+   wire n_9007;
+   wire n_9008;
+   wire n_9009;
+   wire n_9010;
+   wire n_9011;
+   wire n_9012;
+   wire n_9013;
+   wire n_9014;
+   wire n_9022;
+   wire n_9023;
+   wire n_9024;
+   wire n_9025;
+   wire n_9026;
+   wire n_9041;
+   wire n_9042;
+   wire n_9045;
+   wire n_9046;
+   wire n_9047;
+   wire n_9048;
+   wire n_9049;
+   wire n_9050;
+   wire n_9051;
+   wire n_9052;
+   wire n_9053;
+   wire n_9054;
+   wire n_9055;
+   wire n_9056;
+   wire n_9059;
+   wire n_9060;
+   wire n_9063;
+   wire n_9064;
+   wire n_9065;
+   wire n_9066;
+   wire n_9067;
+   wire n_9068;
+   wire n_9069;
+   wire n_9070;
+   wire n_9071;
+   wire n_9072;
+   wire n_9073;
+   wire n_9074;
+   wire n_9075;
+   wire n_9076;
+   wire n_9077;
+   wire n_9078;
+   wire n_9079;
+   wire n_9080;
+   wire n_9081;
+   wire n_9082;
+   wire n_9083;
+   wire n_9084;
+   wire n_9085;
+   wire n_9086;
+   wire n_9087;
+   wire n_9088;
+   wire n_9089;
+   wire n_9090;
+   wire n_9091;
+   wire n_9092;
+   wire n_9093;
+   wire n_9094;
+   wire n_9095;
+   wire n_9096;
+   wire n_9098;
+   wire n_9099;
+   wire n_9100;
+   wire n_9101;
+   wire n_9102;
+   wire n_9103;
+   wire n_9104;
+   wire n_9105;
+   wire n_9106;
+   wire n_9107;
+   wire n_9108;
+   wire n_9109;
+   wire n_9110;
+   wire n_9111;
+   wire n_9112;
+   wire n_9113;
+   wire n_9114;
+   wire n_9115;
+   wire n_9116;
+   wire n_9117;
+   wire n_9118;
+   wire n_9119;
+   wire n_9120;
+   wire n_9121;
+   wire n_9122;
+   wire n_9123;
+   wire n_9124;
+   wire n_9125;
+   wire n_9126;
+   wire n_9127;
+   wire n_9128;
+   wire n_9129;
+   wire n_9130;
+   wire n_9131;
+   wire n_9132;
+   wire n_9133;
+   wire n_9134;
+   wire n_9135;
+   wire n_9136;
+   wire n_9137;
+   wire n_9138;
+   wire n_9139;
+   wire n_9140;
+   wire n_9141;
+   wire n_9142;
+   wire n_9143;
+   wire n_9144;
+   wire n_9145;
+   wire n_9146;
+   wire n_9147;
+   wire n_9148;
+   wire n_9149;
+   wire n_9150;
+   wire n_9151;
+   wire n_9152;
+   wire n_9153;
+   wire n_9154;
+   wire n_9155;
+   wire n_9156;
+   wire n_9157;
+   wire n_9158;
+   wire n_9159;
+   wire n_9160;
+   wire n_9161;
+   wire n_9162;
+   wire n_9163;
+   wire n_9164;
+   wire n_9165;
+   wire n_9166;
+   wire n_9167;
+   wire n_9168;
+   wire n_9169;
+   wire n_9170;
+   wire n_9171;
+   wire n_9172;
+   wire n_9173;
+   wire n_9174;
+   wire n_9175;
+   wire n_9176;
+   wire n_9177;
+   wire n_9178;
+   wire n_9179;
+   wire n_9180;
+   wire n_9181;
+   wire n_9182;
+   wire n_9183;
+   wire n_9184;
+   wire n_9185;
+   wire n_9186;
+   wire n_9187;
+   wire n_9188;
+   wire n_9189;
+   wire n_9190;
+   wire n_9191;
+   wire n_9192;
+   wire n_9193;
+   wire n_9194;
+   wire n_9195;
+   wire n_9196;
+   wire n_9197;
+   wire n_9198;
+   wire n_9199;
+   wire n_9200;
+   wire n_9201;
+   wire n_9202;
+   wire n_9203;
+   wire n_9204;
+   wire n_9205;
+   wire n_9206;
+   wire n_9207;
+   wire n_9208;
+   wire n_9209;
+   wire n_9210;
+   wire n_9211;
+   wire n_9212;
+   wire n_9213;
+   wire n_9214;
+   wire n_9215;
+   wire n_9216;
+   wire n_9217;
+   wire n_9218;
+   wire n_9219;
+   wire n_9220;
+   wire n_9221;
+   wire n_9222;
+   wire n_9223;
+   wire n_9224;
+   wire n_9225;
+   wire n_9226;
+   wire n_9227;
+   wire n_9228;
+   wire n_9229;
+   wire n_9230;
+   wire n_9231;
+   wire n_9232;
+   wire n_9233;
+   wire n_9234;
+   wire n_9235;
+   wire n_9236;
+   wire n_9237;
+   wire n_9238;
+   wire n_9239;
+   wire n_9240;
+   wire n_9241;
+   wire n_9242;
+   wire n_9243;
+   wire n_9244;
+   wire n_9245;
+   wire n_9246;
+   wire n_9247;
+   wire n_9248;
+   wire n_9249;
+   wire n_9250;
+   wire n_9251;
+   wire n_9252;
+   wire n_9253;
+   wire n_9254;
+   wire n_9255;
+   wire n_9256;
+   wire n_9258;
+   wire n_9259;
+   wire n_9260;
+   wire n_9261;
+   wire n_9262;
+   wire n_9263;
+   wire n_9264;
+   wire n_9265;
+   wire n_9266;
+   wire n_9267;
+   wire n_9268;
+   wire n_9269;
+   wire n_9270;
+   wire n_9271;
+   wire n_9272;
+   wire n_9273;
+   wire n_9274;
+   wire n_9275;
+   wire n_9276;
+   wire n_9277;
+   wire n_9278;
+   wire n_9279;
+   wire n_9280;
+   wire n_9281;
+   wire n_9282;
+   wire n_9283;
+   wire n_9284;
+   wire n_9285;
+   wire n_9286;
+   wire n_9287;
+   wire n_9288;
+   wire n_9289;
+   wire n_9290;
+   wire n_9291;
+   wire n_9292;
+   wire n_9293;
+   wire n_9294;
+   wire n_9295;
+   wire n_9296;
+   wire n_9297;
+   wire n_9298;
+   wire n_9299;
+   wire n_9300;
+   wire n_9301;
+   wire n_9302;
+   wire n_9303;
+   wire n_9304;
+   wire n_9305;
+   wire n_9306;
+   wire n_9307;
+   wire n_9308;
+   wire n_9310;
+   wire n_9311;
+   wire n_9312;
+   wire n_9313;
+   wire n_9314;
+   wire n_9315;
+   wire n_9316;
+   wire n_9317;
+   wire n_9318;
+   wire n_9319;
+   wire n_9320;
+   wire n_9321;
+   wire n_9322;
+   wire n_9323;
+   wire n_9324;
+   wire n_9325;
+   wire n_9326;
+   wire n_9327;
+   wire n_9328;
+   wire n_9329;
+   wire n_9330;
+   wire n_9331;
+   wire n_9332;
+   wire n_9333;
+   wire n_9334;
+   wire n_9335;
+   wire n_9336;
+   wire n_9337;
+   wire n_9338;
+   wire n_9339;
+   wire n_9340;
+   wire n_9341;
+   wire n_9342;
+   wire n_9343;
+   wire n_9344;
+   wire n_9345;
+   wire n_9346;
+   wire n_9347;
+   wire n_9348;
+   wire n_9349;
+   wire n_9350;
+   wire n_9351;
+   wire n_9352;
+   wire n_9353;
+   wire n_9354;
+   wire n_9355;
+   wire n_9356;
+   wire n_9357;
+   wire n_9358;
+   wire n_9359;
+   wire n_9360;
+   wire n_9361;
+   wire n_9362;
+   wire n_9363;
+   wire n_9364;
+   wire n_9365;
+   wire n_9366;
+   wire n_9367;
+   wire n_9368;
+   wire n_9370;
+   wire n_9371;
+   wire n_9372;
+   wire n_9373;
+   wire n_9374;
+   wire n_9375;
+   wire n_9376;
+   wire n_9377;
+   wire n_9378;
+   wire n_9379;
+   wire n_9380;
+   wire n_9382;
+   wire n_9383;
+   wire n_9384;
+   wire n_9391;
+   wire n_9392;
+   wire n_9393;
+   wire n_9394;
+   wire n_9395;
+   wire n_9396;
+   wire n_9398;
+   wire n_9399;
+   wire n_9400;
+   wire n_9401;
+   wire n_9402;
+   wire n_9403;
+   wire n_9404;
+   wire n_9405;
+   wire n_9406;
+   wire n_9407;
+   wire n_9408;
+   wire n_9411;
+   wire n_9415;
+   wire n_9416;
+   wire n_9417;
+   wire n_9418;
+   wire n_9419;
+   wire n_9420;
+   wire n_9421;
+   wire n_9422;
+   wire n_9423;
+   wire n_9424;
+   wire n_9425;
+   wire n_9426;
+   wire n_9427;
+   wire n_9428;
+   wire n_9429;
+   wire n_9430;
+   wire n_9432;
+   wire n_9433;
+   wire n_9434;
+   wire n_9435;
+   wire n_9436;
+   wire n_9437;
+   wire n_9438;
+   wire n_9439;
+   wire n_9440;
+   wire n_9441;
+   wire n_9442;
+   wire n_9443;
+   wire n_9444;
+   wire n_9445;
+   wire n_9446;
+   wire n_9447;
+   wire n_9448;
+   wire n_9449;
+   wire n_9450;
+   wire n_9451;
+   wire n_9452;
+   wire n_9453;
+   wire n_9454;
+   wire n_9455;
+   wire n_9456;
+   wire n_9459;
+   wire n_9460;
+   wire n_9461;
+   wire n_9462;
+   wire n_9463;
+   wire n_9464;
+   wire n_9465;
+   wire n_9466;
+   wire n_9467;
+   wire n_9468;
+   wire n_9469;
+   wire n_9470;
+   wire n_9471;
+   wire n_9472;
+   wire n_9473;
+   wire n_9474;
+   wire n_9475;
+   wire n_9476;
+   wire n_9477;
+   wire n_9478;
+   wire n_9479;
+   wire n_9480;
+   wire n_9481;
+   wire n_9482;
+   wire n_9483;
+   wire n_9484;
+   wire n_9485;
+   wire n_9486;
+   wire n_9487;
+   wire n_9488;
+   wire n_9489;
+   wire n_9490;
+   wire n_9491;
+   wire n_9492;
+   wire n_9493;
+   wire n_9494;
+   wire n_9495;
+   wire n_9496;
+   wire n_9497;
+   wire n_9498;
+   wire n_9499;
+   wire n_9500;
+   wire n_9501;
+   wire n_9502;
+   wire n_9503;
+   wire n_9504;
+   wire n_9505;
+   wire n_9506;
+   wire n_9507;
+   wire n_9508;
+   wire n_9509;
+   wire n_9510;
+   wire n_9511;
+   wire n_9512;
+   wire n_9513;
+   wire n_9514;
+   wire n_9515;
+   wire n_9516;
+   wire n_9517;
+   wire n_9518;
+   wire n_9519;
+   wire n_9520;
+   wire n_9521;
+   wire n_9522;
+   wire n_9523;
+   wire n_9524;
+   wire n_9525;
+   wire n_9526;
+   wire n_9528;
+   wire n_9529;
+   wire n_9530;
+   wire n_9531;
+   wire n_9532;
+   wire n_9533;
+   wire n_9534;
+   wire n_9535;
+   wire n_9536;
+   wire n_9537;
+   wire n_9538;
+   wire n_9539;
+   wire n_9540;
+   wire n_9541;
+   wire n_9542;
+   wire n_9543;
+   wire n_9544;
+   wire n_9545;
+   wire n_9546;
+   wire n_9547;
+   wire n_9548;
+   wire n_9550;
+   wire n_9552;
+   wire n_9553;
+   wire n_9554;
+   wire n_9555;
+   wire n_9556;
+   wire n_9557;
+   wire n_9558;
+   wire n_9559;
+   wire n_9560;
+   wire n_9561;
+   wire n_9562;
+   wire n_9563;
+   wire n_9564;
+   wire n_9565;
+   wire n_9566;
+   wire n_9567;
+   wire n_9568;
+   wire n_9569;
+   wire n_9570;
+   wire n_9571;
+   wire n_9572;
+   wire n_9573;
+   wire n_9574;
+   wire n_9575;
+   wire n_9576;
+   wire n_9577;
+   wire n_9578;
+   wire n_9580;
+   wire n_9582;
+   wire n_9583;
+   wire n_9584;
+   wire n_9585;
+   wire n_9586;
+   wire n_9587;
+   wire n_9588;
+   wire n_9589;
+   wire n_9590;
+   wire n_9591;
+   wire n_9592;
+   wire n_9593;
+   wire n_9594;
+   wire n_9595;
+   wire n_9596;
+   wire n_9597;
+   wire n_9598;
+   wire n_9599;
+   wire n_9600;
+   wire n_9601;
+   wire n_9602;
+   wire n_9603;
+   wire n_9604;
+   wire n_9605;
+   wire n_9606;
+   wire n_9607;
+   wire n_9608;
+   wire n_9609;
+   wire n_9610;
+   wire n_9611;
+   wire n_9612;
+   wire n_9613;
+   wire n_9614;
+   wire n_9615;
+   wire n_9616;
+   wire n_9617;
+   wire n_9618;
+   wire n_9619;
+   wire n_9620;
+   wire n_9621;
+   wire n_9622;
+   wire n_9623;
+   wire n_9624;
+   wire n_9625;
+   wire n_9626;
+   wire n_9627;
+   wire n_9628;
+   wire n_9629;
+   wire n_9630;
+   wire n_9632;
+   wire n_9633;
+   wire n_9634;
+   wire n_9635;
+   wire n_9636;
+   wire n_9638;
+   wire n_9639;
+   wire n_9640;
+   wire n_9641;
+   wire n_9642;
+   wire n_9643;
+   wire n_9644;
+   wire n_9647;
+   wire n_9648;
+   wire n_9649;
+   wire n_9650;
+   wire n_9651;
+   wire n_9653;
+   wire n_9654;
+   wire n_9655;
+   wire n_9656;
+   wire n_9657;
+   wire n_9658;
+   wire n_9659;
+   wire n_9660;
+   wire n_9661;
+   wire n_9662;
+   wire n_9663;
+   wire n_9664;
+   wire n_9665;
+   wire n_9666;
+   wire n_9667;
+   wire n_9668;
+   wire n_9669;
+   wire n_9670;
+   wire n_9671;
+   wire n_9672;
+   wire n_9673;
+   wire n_9674;
+   wire n_9675;
+   wire n_9676;
+   wire n_9677;
+   wire n_9678;
+   wire n_9679;
+   wire n_9680;
+   wire n_9681;
+   wire n_9682;
+   wire n_9683;
+   wire n_9684;
+   wire n_9685;
+   wire n_9686;
+   wire n_9687;
+   wire n_9688;
+   wire n_9690;
+   wire n_9691;
+   wire n_9692;
+   wire n_9693;
+   wire n_9694;
+   wire n_9695;
+   wire n_9696;
+   wire n_9698;
+   wire n_9699;
+   wire n_9700;
+   wire n_9701;
+   wire n_9702;
+   wire n_9703;
+   wire n_9704;
+   wire n_9705;
+   wire n_9706;
+   wire n_9707;
+   wire n_9708;
+   wire n_9709;
+   wire n_9710;
+   wire n_9711;
+   wire n_9712;
+   wire n_9713;
+   wire n_9714;
+   wire n_9715;
+   wire n_9716;
+   wire n_9717;
+   wire n_9718;
+   wire n_9719;
+   wire n_9720;
+   wire n_9721;
+   wire n_9722;
+   wire n_9723;
+   wire n_9726;
+   wire n_9729;
+   wire n_9730;
+   wire n_9731;
+   wire n_9734;
+   wire n_9736;
+   wire n_9738;
+   wire n_9739;
+   wire n_9740;
+   wire n_9743;
+   wire n_9744;
+   wire n_9745;
+   wire n_9746;
+   wire n_9747;
+   wire n_9748;
+   wire n_9753;
+   wire n_9754;
+   wire n_9755;
+   wire n_9756;
+   wire n_9758;
+   wire n_9759;
+   wire n_9760;
+   wire n_9761;
+   wire n_9762;
+   wire n_9763;
+   wire n_9764;
+   wire n_9765;
+   wire n_9766;
+   wire n_9767;
+   wire n_9769;
+   wire n_9770;
+   wire n_9771;
+   wire n_9772;
+   wire n_9773;
+   wire n_9774;
+   wire n_9775;
+   wire n_9776;
+   wire n_9777;
+   wire n_9778;
+   wire n_9779;
+   wire n_9780;
+   wire n_9782;
+   wire n_9783;
+   wire n_9784;
+   wire n_9785;
+   wire n_9786;
+   wire n_9787;
+   wire n_9788;
+   wire n_9789;
+   wire n_9790;
+   wire n_9791;
+   wire n_9793;
+   wire n_9794;
+   wire n_9795;
+   wire n_9796;
+   wire n_9797;
+   wire n_9798;
+   wire n_9799;
+   wire n_9800;
+   wire n_9801;
+   wire n_9802;
+   wire n_9803;
+   wire n_9804;
+   wire n_9805;
+   wire n_9806;
+   wire n_9807;
+   wire n_9808;
+   wire n_9809;
+   wire n_9810;
+   wire n_9811;
+   wire n_9812;
+   wire n_9813;
+   wire n_9814;
+   wire n_9815;
+   wire n_9816;
+   wire n_9817;
+   wire n_9818;
+   wire n_9819;
+   wire n_9820;
+   wire n_9821;
+   wire n_9823;
+   wire n_9824;
+   wire n_9825;
+   wire n_9826;
+   wire n_9827;
+   wire n_9828;
+   wire n_9829;
+   wire n_9830;
+   wire n_9831;
+   wire n_9832;
+   wire n_9833;
+   wire n_9834;
+   wire n_9835;
+   wire n_9836;
+   wire n_9837;
+   wire n_9838;
+   wire n_9839;
+   wire n_9841;
+   wire n_9843;
+   wire n_9844;
+   wire n_9845;
+   wire n_9846;
+   wire n_9847;
+   wire n_9848;
+   wire n_9849;
+   wire n_9850;
+   wire n_9851;
+   wire n_9852;
+   wire n_9854;
+   wire n_9861;
+   wire n_9862;
+   wire n_9863;
+   wire n_9865;
+   wire n_9866;
+   wire n_9867;
+   wire n_9869;
+   wire n_9873;
+   wire n_9874;
+   wire n_9877;
+   wire n_9878;
+   wire n_9879;
+   wire n_9880;
+   wire n_9881;
+   wire n_9882;
+   wire n_9883;
+   wire n_9884;
+   wire n_9885;
+   wire n_9886;
+   wire n_9887;
+   wire n_9888;
+   wire n_9889;
+   wire n_9890;
+   wire n_9891;
+   wire n_9892;
+   wire n_9894;
+   wire n_9895;
+   wire n_9896;
+   wire n_9897;
+   wire n_9898;
+   wire n_9899;
+   wire n_9900;
+   wire n_9901;
+   wire n_9902;
+   wire n_9903;
+   wire n_9904;
+   wire n_9905;
+   wire n_9906;
+   wire n_9907;
+   wire n_9908;
+   wire n_9909;
+   wire n_9910;
+   wire n_9911;
+   wire n_9913;
+   wire n_9914;
+   wire n_9915;
+   wire n_9916;
+   wire n_9917;
+   wire n_9918;
+   wire n_9919;
+   wire n_9920;
+   wire n_9921;
+   wire n_9922;
+   wire n_9923;
+   wire n_9924;
+   wire n_9925;
+   wire n_9926;
+   wire n_9927;
+   wire n_9928;
+   wire n_9929;
+   wire n_9930;
+   wire n_9931;
+   wire n_9932;
+   wire n_9933;
+   wire n_9934;
+   wire n_9935;
+   wire n_9936;
+   wire n_9937;
+   wire n_9938;
+   wire n_9939;
+   wire n_9940;
+   wire n_9941;
+   wire n_9942;
+   wire n_9943;
+   wire n_9944;
+   wire n_9945;
+   wire n_9946;
+   wire n_9947;
+   wire n_9948;
+   wire n_9949;
+   wire n_9950;
+   wire n_9951;
+   wire n_9952;
+   wire n_9953;
+   wire n_9954;
+   wire n_9955;
+   wire n_9956;
+   wire n_9957;
+   wire n_9958;
+   wire n_9959;
+   wire n_9960;
+   wire n_9961;
+   wire n_9962;
+   wire n_9963;
+   wire n_9964;
+   wire n_9965;
+   wire n_9967;
+   wire n_9968;
+   wire n_9969;
+   wire n_9970;
+   wire n_9971;
+   wire n_9972;
+   wire n_9973;
+   wire n_9974;
+   wire n_9975;
+   wire n_9976;
+   wire n_9977;
+   wire n_9978;
+   wire n_9979;
+   wire n_9980;
+   wire n_9981;
+   wire n_9982;
+   wire n_9983;
+   wire n_9984;
+   wire n_9985;
+   wire n_9986;
+   wire n_9987;
+   wire n_9988;
+   wire n_9989;
+   wire n_9990;
+   wire n_9991;
+   wire n_9992;
+   wire n_9993;
+   wire n_9995;
+   wire n_9996;
+   wire n_9997;
+   wire n_9998;
+   wire n_9999;
+   wire n_10000;
+   wire n_10001;
+   wire n_10002;
+   wire n_10003;
+   wire n_10004;
+   wire n_10005;
+   wire n_10006;
+   wire n_10007;
+   wire n_10008;
+   wire n_10009;
+   wire n_10010;
+   wire n_10011;
+   wire n_10012;
+   wire n_10013;
+   wire n_10014;
+   wire n_10015;
+   wire n_10016;
+   wire n_10017;
+   wire n_10018;
+   wire n_10019;
+   wire n_10020;
+   wire n_10021;
+   wire n_10022;
+   wire n_10023;
+   wire n_10024;
+   wire n_10025;
+   wire n_10026;
+   wire n_10027;
+   wire n_10028;
+   wire n_10029;
+   wire n_10030;
+   wire n_10031;
+   wire n_10032;
+   wire n_10033;
+   wire n_10035;
+   wire n_10036;
+   wire n_10037;
+   wire n_10039;
+   wire n_10041;
+   wire n_10042;
+   wire n_10043;
+   wire n_10044;
+   wire n_10045;
+   wire n_10046;
+   wire n_10047;
+   wire n_10049;
+   wire n_10050;
+   wire n_10051;
+   wire n_10054;
+   wire n_10055;
+   wire n_10056;
+   wire n_10057;
+   wire n_10058;
+   wire n_10059;
+   wire n_10060;
+   wire n_10061;
+   wire n_10062;
+   wire n_10063;
+   wire n_10064;
+   wire n_10065;
+   wire n_10066;
+   wire n_10067;
+   wire n_10068;
+   wire n_10069;
+   wire n_10070;
+   wire n_10071;
+   wire n_10072;
+   wire n_10073;
+   wire n_10074;
+   wire n_10075;
+   wire n_10076;
+   wire n_10077;
+   wire n_10078;
+   wire n_10081;
+   wire n_10082;
+   wire n_10083;
+   wire n_10085;
+   wire n_10087;
+   wire n_10088;
+   wire n_10117;
+   wire n_10118;
+   wire n_10119;
+   wire n_10120;
+   wire n_10121;
+   wire n_10122;
+   wire n_10124;
+   wire n_10126;
+   wire n_10128;
+   wire n_10129;
+   wire n_10130;
+   wire n_10131;
+   wire n_10132;
+   wire n_10133;
+   wire n_10134;
+   wire n_10135;
+   wire n_10136;
+   wire n_10137;
+   wire n_10138;
+   wire n_10139;
+   wire n_10140;
+   wire n_10141;
+   wire n_10142;
+   wire n_10143;
+   wire n_10144;
+   wire n_10145;
+   wire n_10146;
+   wire n_10147;
+   wire n_10148;
+   wire n_10149;
+   wire n_10150;
+   wire n_10151;
+   wire n_10152;
+   wire n_10153;
+   wire n_10154;
+   wire n_10155;
+   wire n_10156;
+   wire n_10157;
+   wire n_10158;
+   wire n_10159;
+   wire n_10160;
+   wire n_10161;
+   wire n_10162;
+   wire n_10163;
+   wire n_10166;
+   wire n_10167;
+   wire n_10168;
+   wire n_10169;
+   wire n_10170;
+   wire n_10171;
+   wire n_10173;
+   wire n_10174;
+   wire n_10175;
+   wire n_10176;
+   wire n_10177;
+   wire n_10178;
+   wire n_10179;
+   wire n_10180;
+   wire n_10181;
+   wire n_10182;
+   wire n_10183;
+   wire n_10184;
+   wire n_10185;
+   wire n_10186;
+   wire n_10187;
+   wire n_10188;
+   wire n_10189;
+   wire n_10190;
+   wire n_10191;
+   wire n_10192;
+   wire n_10193;
+   wire n_10194;
+   wire n_10195;
+   wire n_10196;
+   wire n_10197;
+   wire n_10198;
+   wire n_10199;
+   wire n_10200;
+   wire n_10201;
+   wire n_10202;
+   wire n_10203;
+   wire n_10204;
+   wire n_10205;
+   wire n_10206;
+   wire n_10207;
+   wire n_10208;
+   wire n_10209;
+   wire n_10210;
+   wire n_10211;
+   wire n_10212;
+   wire n_10213;
+   wire n_10214;
+   wire n_10215;
+   wire n_10216;
+   wire n_10217;
+   wire n_10218;
+   wire n_10219;
+   wire n_10220;
+   wire n_10221;
+   wire n_10222;
+   wire n_10223;
+   wire n_10225;
+   wire n_10226;
+   wire n_10227;
+   wire n_10228;
+   wire n_10229;
+   wire n_10230;
+   wire n_10231;
+   wire n_10232;
+   wire n_10233;
+   wire n_10234;
+   wire n_10235;
+   wire n_10236;
+   wire n_10237;
+   wire n_10238;
+   wire n_10239;
+   wire n_10240;
+   wire n_10241;
+   wire n_10242;
+   wire n_10243;
+   wire n_10244;
+   wire n_10245;
+   wire n_10246;
+   wire n_10247;
+   wire n_10248;
+   wire n_10249;
+   wire n_10250;
+   wire n_10251;
+   wire n_10252;
+   wire n_10253;
+   wire n_10254;
+   wire n_10255;
+   wire n_10256;
+   wire n_10257;
+   wire n_10258;
+   wire n_10259;
+   wire n_10260;
+   wire n_10261;
+   wire n_10262;
+   wire n_10263;
+   wire n_10265;
+   wire n_10266;
+   wire n_10267;
+   wire n_10268;
+   wire n_10269;
+   wire n_10270;
+   wire n_10271;
+   wire n_10272;
+   wire n_10273;
+   wire n_10274;
+   wire n_10275;
+   wire n_10276;
+   wire n_10277;
+   wire n_10278;
+   wire n_10279;
+   wire n_10280;
+   wire n_10281;
+   wire n_10282;
+   wire n_10283;
+   wire n_10284;
+   wire n_10285;
+   wire n_10286;
+   wire n_10287;
+   wire n_10288;
+   wire n_10289;
+   wire n_10290;
+   wire n_10291;
+   wire n_10293;
+   wire n_10294;
+   wire n_10295;
+   wire n_10296;
+   wire n_10297;
+   wire n_10299;
+   wire n_10300;
+   wire n_10301;
+   wire n_10302;
+   wire n_10303;
+   wire n_10304;
+   wire n_10305;
+   wire n_10306;
+   wire n_10307;
+   wire n_10308;
+   wire n_10309;
+   wire n_10310;
+   wire n_10311;
+   wire n_10312;
+   wire n_10313;
+   wire n_10314;
+   wire n_10315;
+   wire n_10316;
+   wire n_10319;
+   wire n_10321;
+   wire n_10322;
+   wire n_10323;
+   wire n_10324;
+   wire n_10325;
+   wire n_10326;
+   wire n_10327;
+   wire n_10328;
+   wire n_10329;
+   wire n_10330;
+   wire n_10331;
+   wire n_10332;
+   wire n_10334;
+   wire n_10335;
+   wire n_10336;
+   wire n_10337;
+   wire n_10338;
+   wire n_10339;
+   wire n_10340;
+   wire n_10341;
+   wire n_10343;
+   wire n_10345;
+   wire n_10346;
+   wire n_10347;
+   wire n_10348;
+   wire n_10349;
+   wire n_10350;
+   wire n_10351;
+   wire n_10352;
+   wire n_10353;
+   wire n_10354;
+   wire n_10355;
+   wire n_10356;
+   wire n_10357;
+   wire n_10358;
+   wire n_10359;
+   wire n_10360;
+   wire n_10361;
+   wire n_10362;
+   wire n_10363;
+   wire n_10364;
+   wire n_10365;
+   wire n_10366;
+   wire n_10367;
+   wire n_10368;
+   wire n_10369;
+   wire n_10370;
+   wire n_10371;
+   wire n_10372;
+   wire n_10373;
+   wire n_10374;
+   wire n_10375;
+   wire n_10376;
+   wire n_10377;
+   wire n_10378;
+   wire n_10379;
+   wire n_10380;
+   wire n_10381;
+   wire n_10382;
+   wire n_10383;
+   wire n_10384;
+   wire n_10385;
+   wire n_10386;
+   wire n_10387;
+   wire n_10388;
+   wire n_10389;
+   wire n_10390;
+   wire n_10391;
+   wire n_10392;
+   wire n_10393;
+   wire n_10394;
+   wire n_10395;
+   wire n_10396;
+   wire n_10397;
+   wire n_10398;
+   wire n_10399;
+   wire n_10401;
+   wire n_10403;
+   wire n_10404;
+   wire n_10406;
+   wire n_10407;
+   wire n_10408;
+   wire n_10409;
+   wire n_10410;
+   wire n_10411;
+   wire n_10412;
+   wire n_10414;
+   wire n_10415;
+   wire n_10416;
+   wire n_10417;
+   wire n_10418;
+   wire n_10420;
+   wire n_10421;
+   wire n_10422;
+   wire n_10423;
+   wire n_10425;
+   wire n_10426;
+   wire n_10427;
+   wire n_10428;
+   wire n_10429;
+   wire n_10430;
+   wire n_10431;
+   wire n_10432;
+   wire n_10433;
+   wire n_10434;
+   wire n_10435;
+   wire n_10436;
+   wire n_10437;
+   wire n_10439;
+   wire n_10440;
+   wire n_10441;
+   wire n_10442;
+   wire n_10443;
+   wire n_10444;
+   wire n_10445;
+   wire n_10446;
+   wire n_10447;
+   wire n_10448;
+   wire n_10449;
+   wire n_10450;
+   wire n_10451;
+   wire n_10452;
+   wire n_10453;
+   wire n_10454;
+   wire n_10455;
+   wire n_10456;
+   wire n_10458;
+   wire n_10459;
+   wire n_10460;
+   wire n_10461;
+   wire n_10462;
+   wire n_10463;
+   wire n_10464;
+   wire n_10465;
+   wire n_10467;
+   wire n_10468;
+   wire n_10469;
+   wire n_10470;
+   wire n_10471;
+   wire n_10472;
+   wire n_10473;
+   wire n_10474;
+   wire n_10475;
+   wire n_10476;
+   wire n_10477;
+   wire n_10478;
+   wire n_10479;
+   wire n_10480;
+   wire n_10481;
+   wire n_10482;
+   wire n_10483;
+   wire n_10484;
+   wire n_10485;
+   wire n_10486;
+   wire n_10487;
+   wire n_10488;
+   wire n_10489;
+   wire n_10490;
+   wire n_10491;
+   wire n_10492;
+   wire n_10493;
+   wire n_10494;
+   wire n_10495;
+   wire n_10496;
+   wire n_10497;
+   wire n_10498;
+   wire n_10499;
+   wire n_10500;
+   wire n_10501;
+   wire n_10502;
+   wire n_10503;
+   wire n_10504;
+   wire n_10505;
+   wire n_10506;
+   wire n_10507;
+   wire n_10508;
+   wire n_10509;
+   wire n_10510;
+   wire n_10511;
+   wire n_10512;
+   wire n_10513;
+   wire n_10514;
+   wire n_10515;
+   wire n_10516;
+   wire n_10517;
+   wire n_10518;
+   wire n_10519;
+   wire n_10520;
+   wire n_10521;
+   wire n_10522;
+   wire n_10523;
+   wire n_10524;
+   wire n_10525;
+   wire n_10526;
+   wire n_10527;
+   wire n_10528;
+   wire n_10529;
+   wire n_10530;
+   wire n_10531;
+   wire n_10532;
+   wire n_10533;
+   wire n_10534;
+   wire n_10536;
+   wire n_10537;
+   wire n_10538;
+   wire n_10539;
+   wire n_10540;
+   wire n_10541;
+   wire n_10542;
+   wire n_10543;
+   wire n_10544;
+   wire n_10545;
+   wire n_10548;
+   wire n_10549;
+   wire n_10550;
+   wire n_10551;
+   wire n_10552;
+   wire n_10553;
+   wire n_10554;
+   wire n_10555;
+   wire n_10556;
+   wire n_10557;
+   wire n_10558;
+   wire n_10559;
+   wire n_10560;
+   wire n_10562;
+   wire n_10563;
+   wire n_10564;
+   wire n_10565;
+   wire n_10566;
+   wire n_10567;
+   wire n_10568;
+   wire n_10570;
+   wire n_10571;
+   wire n_10573;
+   wire n_10574;
+   wire n_10575;
+   wire n_10576;
+   wire n_10578;
+   wire n_10580;
+   wire n_10581;
+   wire n_10582;
+   wire n_10583;
+   wire n_10584;
+   wire n_10585;
+   wire n_10586;
+   wire n_10587;
+   wire n_10588;
+   wire n_10589;
+   wire n_10590;
+   wire n_10594;
+   wire n_10597;
+   wire n_10598;
+   wire n_10599;
+   wire n_10600;
+   wire n_10601;
+   wire n_10602;
+   wire n_10603;
+   wire n_10604;
+   wire n_10605;
+   wire n_10606;
+   wire n_10607;
+   wire n_10608;
+   wire n_10609;
+   wire n_10610;
+   wire n_10611;
+   wire n_10612;
+   wire n_10613;
+   wire n_10614;
+   wire n_10615;
+   wire n_10616;
+   wire n_10617;
+   wire n_10618;
+   wire n_10619;
+   wire n_10620;
+   wire n_10621;
+   wire n_10623;
+   wire n_10624;
+   wire n_10625;
+   wire n_10626;
+   wire n_10627;
+   wire n_10628;
+   wire n_10629;
+   wire n_10631;
+   wire n_10632;
+   wire n_10633;
+   wire n_10634;
+   wire n_10635;
+   wire n_10636;
+   wire n_10637;
+   wire n_10638;
+   wire n_10639;
+   wire n_10640;
+   wire n_10641;
+   wire n_10642;
+   wire n_10643;
+   wire n_10644;
+   wire n_10645;
+   wire n_10646;
+   wire n_10647;
+   wire n_10648;
+   wire n_10649;
+   wire n_10650;
+   wire n_10651;
+   wire n_10652;
+   wire n_10653;
+   wire n_10654;
+   wire n_10655;
+   wire n_10656;
+   wire n_10657;
+   wire n_10658;
+   wire n_10659;
+   wire n_10660;
+   wire n_10661;
+   wire n_10662;
+   wire n_10663;
+   wire n_10664;
+   wire n_10665;
+   wire n_10666;
+   wire n_10667;
+   wire n_10668;
+   wire n_10669;
+   wire n_10670;
+   wire n_10671;
+   wire n_10672;
+   wire n_10673;
+   wire n_10674;
+   wire n_10675;
+   wire n_10676;
+   wire n_10677;
+   wire n_10678;
+   wire n_10679;
+   wire n_10680;
+   wire n_10681;
+   wire n_10682;
+   wire n_10683;
+   wire n_10684;
+   wire n_10685;
+   wire n_10686;
+   wire n_10687;
+   wire n_10688;
+   wire n_10689;
+   wire n_10690;
+   wire n_10691;
+   wire n_10692;
+   wire n_10693;
+   wire n_10694;
+   wire n_10695;
+   wire n_10696;
+   wire n_10698;
+   wire n_10699;
+   wire n_10700;
+   wire n_10701;
+   wire n_10702;
+   wire n_10703;
+   wire n_10704;
+   wire n_10705;
+   wire n_10706;
+   wire n_10707;
+   wire n_10708;
+   wire n_10709;
+   wire n_10710;
+   wire n_10711;
+   wire n_10712;
+   wire n_10713;
+   wire n_10714;
+   wire n_10715;
+   wire n_10716;
+   wire n_10717;
+   wire n_10718;
+   wire n_10719;
+   wire n_10720;
+   wire n_10721;
+   wire n_10722;
+   wire n_10723;
+   wire n_10724;
+   wire n_10725;
+   wire n_10726;
+   wire n_10727;
+   wire n_10728;
+   wire n_10729;
+   wire n_10730;
+   wire n_10731;
+   wire n_10732;
+   wire n_10733;
+   wire n_10734;
+   wire n_10735;
+   wire n_10736;
+   wire n_10738;
+   wire n_10739;
+   wire n_10740;
+   wire n_10741;
+   wire n_10742;
+   wire n_10744;
+   wire n_10745;
+   wire n_10746;
+   wire n_10747;
+   wire n_10748;
+   wire n_10749;
+   wire n_10750;
+   wire n_10751;
+   wire n_10752;
+   wire n_10753;
+   wire n_10754;
+   wire n_10755;
+   wire n_10756;
+   wire n_10757;
+   wire n_10758;
+   wire n_10759;
+   wire n_10760;
+   wire n_10761;
+   wire n_10762;
+   wire n_10763;
+   wire n_10764;
+   wire n_10765;
+   wire n_10767;
+   wire n_10768;
+   wire n_10769;
+   wire n_10770;
+   wire n_10771;
+   wire n_10772;
+   wire n_10773;
+   wire n_10774;
+   wire n_10775;
+   wire n_10776;
+   wire n_10777;
+   wire n_10778;
+   wire n_10779;
+   wire n_10780;
+   wire n_10781;
+   wire n_10783;
+   wire n_10784;
+   wire n_10785;
+   wire n_10786;
+   wire n_10787;
+   wire n_10788;
+   wire n_10789;
+   wire n_10790;
+   wire n_10791;
+   wire n_10792;
+   wire n_10793;
+   wire n_10794;
+   wire n_10795;
+   wire n_10796;
+   wire n_10797;
+   wire n_10798;
+   wire n_10799;
+   wire n_10800;
+   wire n_10801;
+   wire n_10802;
+   wire n_10803;
+   wire n_10804;
+   wire n_10805;
+   wire n_10806;
+   wire n_10808;
+   wire n_10809;
+   wire n_10810;
+   wire n_10811;
+   wire n_10812;
+   wire n_10813;
+   wire n_10814;
+   wire n_10815;
+   wire n_10816;
+   wire n_10817;
+   wire n_10818;
+   wire n_10819;
+   wire n_10820;
+   wire n_10821;
+   wire n_10822;
+   wire n_10823;
+   wire n_10824;
+   wire n_10825;
+   wire n_10826;
+   wire n_10827;
+   wire n_10828;
+   wire n_10829;
+   wire n_10830;
+   wire n_10831;
+   wire n_10832;
+   wire n_10833;
+   wire n_10834;
+   wire n_10835;
+   wire n_10836;
+   wire n_10837;
+   wire n_10838;
+   wire n_10839;
+   wire n_10840;
+   wire n_10841;
+   wire n_10842;
+   wire n_10843;
+   wire n_10844;
+   wire n_10845;
+   wire n_10846;
+   wire n_10847;
+   wire n_10848;
+   wire n_10849;
+   wire n_10850;
+   wire n_10851;
+   wire n_10852;
+   wire n_10853;
+   wire n_10854;
+   wire n_10855;
+   wire n_10856;
+   wire n_10857;
+   wire n_10858;
+   wire n_10859;
+   wire n_10860;
+   wire n_10861;
+   wire n_10862;
+   wire n_10863;
+   wire n_10864;
+   wire n_10865;
+   wire n_10866;
+   wire n_10867;
+   wire n_10868;
+   wire n_10869;
+   wire n_10870;
+   wire n_10871;
+   wire n_10872;
+   wire n_10873;
+   wire n_10874;
+   wire n_10875;
+   wire n_10876;
+   wire n_10878;
+   wire n_10879;
+   wire n_10880;
+   wire n_10882;
+   wire n_10883;
+   wire n_10884;
+   wire n_10885;
+   wire n_10886;
+   wire n_10887;
+   wire n_10888;
+   wire n_10889;
+   wire n_10890;
+   wire n_10891;
+   wire n_10892;
+   wire n_10893;
+   wire n_10894;
+   wire n_10895;
+   wire n_10896;
+   wire n_10897;
+   wire n_10898;
+   wire n_10899;
+   wire n_10900;
+   wire n_10901;
+   wire n_10902;
+   wire n_10903;
+   wire n_10905;
+   wire n_10909;
+   wire n_10913;
+   wire n_10916;
+   wire n_10922;
+   wire n_10928;
+   wire n_10931;
+   wire n_10932;
+   wire n_10933;
+   wire n_10934;
+   wire n_10935;
+   wire n_10936;
+   wire n_10937;
+   wire n_10938;
+   wire n_10939;
+   wire n_10940;
+   wire n_10941;
+   wire n_10942;
+   wire n_10943;
+   wire n_10944;
+   wire n_10945;
+   wire n_10949;
+   wire n_10950;
+   wire n_10951;
+   wire n_10952;
+   wire n_10953;
+   wire n_10954;
+   wire n_10955;
+   wire n_10956;
+   wire n_10957;
+   wire n_10958;
+   wire n_10959;
+   wire n_10960;
+   wire n_10961;
+   wire n_10962;
+   wire n_10963;
+   wire n_10964;
+   wire n_10965;
+   wire n_10966;
+   wire n_10967;
+   wire n_10968;
+   wire n_10969;
+   wire n_10974;
+   wire n_10975;
+   wire n_10976;
+   wire n_10977;
+   wire n_10978;
+   wire n_10979;
+   wire n_10980;
+   wire n_10981;
+   wire n_10982;
+   wire n_10983;
+   wire n_10984;
+   wire n_10985;
+   wire n_10986;
+   wire n_10987;
+   wire n_10988;
+   wire n_10989;
+   wire n_10990;
+   wire n_10991;
+   wire n_10992;
+   wire n_10993;
+   wire n_10994;
+   wire n_10995;
+   wire n_10996;
+   wire n_10997;
+   wire n_10998;
+   wire n_10999;
+   wire n_11000;
+   wire n_11001;
+   wire n_11002;
+   wire n_11003;
+   wire n_11004;
+   wire n_11005;
+   wire n_11006;
+   wire n_11007;
+   wire n_11008;
+   wire n_11009;
+   wire n_11010;
+   wire n_11011;
+   wire n_11012;
+   wire n_11013;
+   wire n_11014;
+   wire n_11015;
+   wire n_11016;
+   wire n_11018;
+   wire n_11019;
+   wire n_11020;
+   wire n_11021;
+   wire n_11022;
+   wire n_11023;
+   wire n_11024;
+   wire n_11025;
+   wire n_11026;
+   wire n_11027;
+   wire n_11028;
+   wire n_11029;
+   wire n_11030;
+   wire n_11031;
+   wire n_11032;
+   wire n_11033;
+   wire n_11034;
+   wire n_11035;
+   wire n_11036;
+   wire n_11037;
+   wire n_11038;
+   wire n_11039;
+   wire n_11040;
+   wire n_11041;
+   wire n_11042;
+   wire n_11043;
+   wire n_11044;
+   wire n_11045;
+   wire n_11046;
+   wire n_11047;
+   wire n_11048;
+   wire n_11049;
+   wire n_11050;
+   wire n_11051;
+   wire n_11052;
+   wire n_11053;
+   wire n_11054;
+   wire n_11055;
+   wire n_11056;
+   wire n_11057;
+   wire n_11058;
+   wire n_11059;
+   wire n_11060;
+   wire n_11061;
+   wire n_11062;
+   wire n_11063;
+   wire n_11064;
+   wire n_11065;
+   wire n_11066;
+   wire n_11067;
+   wire n_11068;
+   wire n_11069;
+   wire n_11070;
+   wire n_11071;
+   wire n_11072;
+   wire n_11073;
+   wire n_11074;
+   wire n_11075;
+   wire n_11076;
+   wire n_11077;
+   wire n_11078;
+   wire n_11079;
+   wire n_11080;
+   wire n_11081;
+   wire n_11082;
+   wire n_11083;
+   wire n_11084;
+   wire n_11085;
+   wire n_11086;
+   wire n_11087;
+   wire n_11088;
+   wire n_11089;
+   wire n_11090;
+   wire n_11091;
+   wire n_11092;
+   wire n_11093;
+   wire n_11094;
+   wire n_11095;
+   wire n_11097;
+   wire n_11098;
+   wire n_11099;
+   wire n_11101;
+   wire n_11102;
+   wire n_11103;
+   wire n_11104;
+   wire n_11105;
+   wire n_11106;
+   wire n_11107;
+   wire n_11108;
+   wire n_11109;
+   wire n_11110;
+   wire n_11111;
+   wire n_11112;
+   wire n_11113;
+   wire n_11114;
+   wire n_11115;
+   wire n_11116;
+   wire n_11117;
+   wire n_11118;
+   wire n_11119;
+   wire n_11120;
+   wire n_11121;
+   wire n_11122;
+   wire n_11123;
+   wire n_11124;
+   wire n_11125;
+   wire n_11126;
+   wire n_11127;
+   wire n_11128;
+   wire n_11129;
+   wire n_11130;
+   wire n_11131;
+   wire n_11132;
+   wire n_11133;
+   wire n_11134;
+   wire n_11135;
+   wire n_11136;
+   wire n_11137;
+   wire n_11138;
+   wire n_11139;
+   wire n_11140;
+   wire n_11141;
+   wire n_11142;
+   wire n_11143;
+   wire n_11144;
+   wire n_11145;
+   wire n_11146;
+   wire n_11147;
+   wire n_11148;
+   wire n_11149;
+   wire n_11150;
+   wire n_11151;
+   wire n_11152;
+   wire n_11153;
+   wire n_11154;
+   wire n_11156;
+   wire n_11157;
+   wire n_11158;
+   wire n_11160;
+   wire n_11161;
+   wire n_11162;
+   wire n_11163;
+   wire n_11164;
+   wire n_11165;
+   wire n_11166;
+   wire n_11167;
+   wire n_11168;
+   wire n_11169;
+   wire n_11170;
+   wire n_11171;
+   wire n_11172;
+   wire n_11173;
+   wire n_11174;
+   wire n_11175;
+   wire n_11176;
+   wire n_11177;
+   wire n_11178;
+   wire n_11179;
+   wire n_11180;
+   wire n_11181;
+   wire n_11182;
+   wire n_11183;
+   wire n_11184;
+   wire n_11185;
+   wire n_11186;
+   wire n_11187;
+   wire n_11189;
+   wire n_11190;
+   wire n_11191;
+   wire n_11192;
+   wire n_11193;
+   wire n_11194;
+   wire n_11195;
+   wire n_11196;
+   wire n_11197;
+   wire n_11198;
+   wire n_11199;
+   wire n_11200;
+   wire n_11201;
+   wire n_11202;
+   wire n_11203;
+   wire n_11204;
+   wire n_11205;
+   wire n_11206;
+   wire n_11207;
+   wire n_11208;
+   wire n_11209;
+   wire n_11210;
+   wire n_11211;
+   wire n_11212;
+   wire n_11213;
+   wire n_11214;
+   wire n_11215;
+   wire n_11216;
+   wire n_11217;
+   wire n_11218;
+   wire n_11219;
+   wire n_11220;
+   wire n_11221;
+   wire n_11222;
+   wire n_11223;
+   wire n_11224;
+   wire n_11225;
+   wire n_11226;
+   wire n_11227;
+   wire n_11228;
+   wire n_11229;
+   wire n_11230;
+   wire n_11231;
+   wire n_11232;
+   wire n_11233;
+   wire n_11234;
+   wire n_11235;
+   wire n_11236;
+   wire n_11237;
+   wire n_11238;
+   wire n_11239;
+   wire n_11240;
+   wire n_11241;
+   wire n_11242;
+   wire n_11243;
+   wire n_11245;
+   wire n_11246;
+   wire n_11247;
+   wire n_11248;
+   wire n_11249;
+   wire n_11250;
+   wire n_11251;
+   wire n_11253;
+   wire n_11254;
+   wire n_11255;
+   wire n_11256;
+   wire n_11257;
+   wire n_11258;
+   wire n_11259;
+   wire n_11261;
+   wire n_11262;
+   wire n_11263;
+   wire n_11264;
+   wire n_11266;
+   wire n_11267;
+   wire n_11268;
+   wire n_11269;
+   wire n_11270;
+   wire n_11271;
+   wire n_11272;
+   wire n_11273;
+   wire n_11274;
+   wire n_11275;
+   wire n_11276;
+   wire n_11277;
+   wire n_11278;
+   wire n_11279;
+   wire n_11280;
+   wire n_11281;
+   wire n_11282;
+   wire n_11283;
+   wire n_11284;
+   wire n_11285;
+   wire n_11286;
+   wire n_11287;
+   wire n_11288;
+   wire n_11289;
+   wire n_11290;
+   wire n_11291;
+   wire n_11292;
+   wire n_11293;
+   wire n_11294;
+   wire n_11295;
+   wire n_11296;
+   wire n_11297;
+   wire n_11298;
+   wire n_11299;
+   wire n_11300;
+   wire n_11301;
+   wire n_11302;
+   wire n_11303;
+   wire n_11304;
+   wire n_11305;
+   wire n_11306;
+   wire n_11307;
+   wire n_11308;
+   wire n_11309;
+   wire n_11310;
+   wire n_11311;
+   wire n_11312;
+   wire n_11313;
+   wire n_11314;
+   wire n_11315;
+   wire n_11316;
+   wire n_11317;
+   wire n_11318;
+   wire n_11319;
+   wire n_11320;
+   wire n_11321;
+   wire n_11322;
+   wire n_11323;
+   wire n_11324;
+   wire n_11325;
+   wire n_11326;
+   wire n_11327;
+   wire n_11328;
+   wire n_11329;
+   wire n_11330;
+   wire n_11331;
+   wire n_11332;
+   wire n_11333;
+   wire n_11334;
+   wire n_11335;
+   wire n_11336;
+   wire n_11337;
+   wire n_11338;
+   wire n_11339;
+   wire n_11340;
+   wire n_11341;
+   wire n_11342;
+   wire n_11343;
+   wire n_11344;
+   wire n_11345;
+   wire n_11346;
+   wire n_11347;
+   wire n_11348;
+   wire n_11349;
+   wire n_11350;
+   wire n_11352;
+   wire n_11353;
+   wire n_11354;
+   wire n_11355;
+   wire n_11356;
+   wire n_11357;
+   wire n_11358;
+   wire n_11359;
+   wire n_11360;
+   wire n_11361;
+   wire n_11362;
+   wire n_11363;
+   wire n_11364;
+   wire n_11365;
+   wire n_11366;
+   wire n_11367;
+   wire n_11368;
+   wire n_11369;
+   wire n_11370;
+   wire n_11371;
+   wire n_11372;
+   wire n_11373;
+   wire n_11374;
+   wire n_11375;
+   wire n_11404;
+   wire n_11405;
+   wire n_11406;
+   wire n_11410;
+   wire n_11414;
+   wire n_11417;
+   wire n_11419;
+   wire n_11423;
+   wire n_11424;
+   wire n_11425;
+   wire n_11429;
+   wire n_11432;
+   wire n_11433;
+   wire n_11434;
+   wire n_11435;
+   wire n_11436;
+   wire n_11437;
+   wire n_11438;
+   wire n_11439;
+   wire n_11440;
+   wire n_11441;
+   wire n_11442;
+   wire n_11443;
+   wire n_11444;
+   wire n_11445;
+   wire n_11446;
+   wire n_11447;
+   wire n_11449;
+   wire n_11450;
+   wire n_11451;
+   wire n_11452;
+   wire n_11453;
+   wire n_11454;
+   wire n_11455;
+   wire n_11456;
+   wire n_11457;
+   wire n_11458;
+   wire n_11459;
+   wire n_11460;
+   wire n_11461;
+   wire n_11462;
+   wire n_11463;
+   wire n_11464;
+   wire n_11465;
+   wire n_11466;
+   wire n_11467;
+   wire n_11468;
+   wire n_11469;
+   wire n_11470;
+   wire n_11471;
+   wire n_11472;
+   wire n_11473;
+   wire n_11474;
+   wire n_11475;
+   wire n_11476;
+   wire n_11477;
+   wire n_11478;
+   wire n_11479;
+   wire n_11480;
+   wire n_11481;
+   wire n_11482;
+   wire n_11483;
+   wire n_11484;
+   wire n_11485;
+   wire n_11486;
+   wire n_11487;
+   wire n_11488;
+   wire n_11489;
+   wire n_11490;
+   wire n_11491;
+   wire n_11492;
+   wire n_11493;
+   wire n_11494;
+   wire n_11495;
+   wire n_11496;
+   wire n_11497;
+   wire n_11498;
+   wire n_11499;
+   wire n_11500;
+   wire n_11501;
+   wire n_11503;
+   wire n_11504;
+   wire n_11505;
+   wire n_11506;
+   wire n_11507;
+   wire n_11508;
+   wire n_11509;
+   wire n_11510;
+   wire n_11511;
+   wire n_11512;
+   wire n_11513;
+   wire n_11514;
+   wire n_11515;
+   wire n_11516;
+   wire n_11517;
+   wire n_11518;
+   wire n_11519;
+   wire n_11520;
+   wire n_11521;
+   wire n_11522;
+   wire n_11523;
+   wire n_11524;
+   wire n_11525;
+   wire n_11526;
+   wire n_11527;
+   wire n_11528;
+   wire n_11529;
+   wire n_11531;
+   wire n_11532;
+   wire n_11533;
+   wire n_11534;
+   wire n_11535;
+   wire n_11536;
+   wire n_11537;
+   wire n_11538;
+   wire n_11539;
+   wire n_11540;
+   wire n_11542;
+   wire n_11543;
+   wire n_11544;
+   wire n_11545;
+   wire n_11546;
+   wire n_11547;
+   wire n_11550;
+   wire n_11551;
+   wire n_11552;
+   wire n_11555;
+   wire n_11557;
+   wire n_11558;
+   wire n_11559;
+   wire n_11560;
+   wire n_11561;
+   wire n_11562;
+   wire n_11563;
+   wire n_11564;
+   wire n_11565;
+   wire n_11566;
+   wire n_11567;
+   wire n_11568;
+   wire n_11569;
+   wire n_11573;
+   wire n_11574;
+   wire n_11575;
+   wire n_11576;
+   wire n_11577;
+   wire n_11578;
+   wire n_11579;
+   wire n_11580;
+   wire n_11581;
+   wire n_11583;
+   wire n_11584;
+   wire n_11585;
+   wire n_11586;
+   wire n_11587;
+   wire n_11588;
+   wire n_11589;
+   wire n_11590;
+   wire n_11591;
+   wire n_11592;
+   wire n_11593;
+   wire n_11594;
+   wire n_11595;
+   wire n_11596;
+   wire n_11597;
+   wire n_11598;
+   wire n_11599;
+   wire n_11600;
+   wire n_11601;
+   wire n_11602;
+   wire n_11603;
+   wire n_11604;
+   wire n_11605;
+   wire n_11606;
+   wire n_11607;
+   wire n_11608;
+   wire n_11609;
+   wire n_11610;
+   wire n_11611;
+   wire n_11612;
+   wire n_11613;
+   wire n_11614;
+   wire n_11615;
+   wire n_11616;
+   wire n_11617;
+   wire n_11618;
+   wire n_11619;
+   wire n_11620;
+   wire n_11621;
+   wire n_11622;
+   wire n_11623;
+   wire n_11625;
+   wire n_11626;
+   wire n_11627;
+   wire n_11628;
+   wire n_11629;
+   wire n_11631;
+   wire n_11632;
+   wire n_11633;
+   wire n_11634;
+   wire n_11635;
+   wire n_11636;
+   wire n_11637;
+   wire n_11638;
+   wire n_11640;
+   wire n_11641;
+   wire n_11642;
+   wire n_11643;
+   wire n_11644;
+   wire n_11645;
+   wire n_11646;
+   wire n_11647;
+   wire n_11648;
+   wire n_11649;
+   wire n_11650;
+   wire n_11651;
+   wire n_11652;
+   wire n_11653;
+   wire n_11654;
+   wire n_11655;
+   wire n_11656;
+   wire n_11657;
+   wire n_11658;
+   wire n_11659;
+   wire n_11660;
+   wire n_11661;
+   wire n_11662;
+   wire n_11663;
+   wire n_11664;
+   wire n_11665;
+   wire n_11667;
+   wire n_11668;
+   wire n_11670;
+   wire n_11671;
+   wire n_11672;
+   wire n_11673;
+   wire n_11674;
+   wire n_11675;
+   wire n_11676;
+   wire n_11677;
+   wire n_11678;
+   wire n_11680;
+   wire n_11681;
+   wire n_11682;
+   wire n_11683;
+   wire n_11684;
+   wire n_11685;
+   wire n_11686;
+   wire n_11687;
+   wire n_11688;
+   wire n_11689;
+   wire n_11690;
+   wire n_11691;
+   wire n_11692;
+   wire n_11693;
+   wire n_11694;
+   wire n_11695;
+   wire n_11696;
+   wire n_11697;
+   wire n_11698;
+   wire n_11699;
+   wire n_11700;
+   wire n_11701;
+   wire n_11702;
+   wire n_11703;
+   wire n_11704;
+   wire n_11705;
+   wire n_11707;
+   wire n_11708;
+   wire n_11709;
+   wire n_11710;
+   wire n_11711;
+   wire n_11712;
+   wire n_11713;
+   wire n_11714;
+   wire n_11715;
+   wire n_11716;
+   wire n_11717;
+   wire n_11719;
+   wire n_11720;
+   wire n_11721;
+   wire n_11722;
+   wire n_11723;
+   wire n_11724;
+   wire n_11725;
+   wire n_11726;
+   wire n_11727;
+   wire n_11728;
+   wire n_11729;
+   wire n_11730;
+   wire n_11731;
+   wire n_11732;
+   wire n_11733;
+   wire n_11734;
+   wire n_11735;
+   wire n_11736;
+   wire n_11737;
+   wire n_11738;
+   wire n_11739;
+   wire n_11740;
+   wire n_11741;
+   wire n_11743;
+   wire n_11744;
+   wire n_11745;
+   wire n_11746;
+   wire n_11747;
+   wire n_11748;
+   wire n_11749;
+   wire n_11750;
+   wire n_11751;
+   wire n_11752;
+   wire n_11753;
+   wire n_11754;
+   wire n_11755;
+   wire n_11756;
+   wire n_11757;
+   wire n_11758;
+   wire n_11759;
+   wire n_11760;
+   wire n_11761;
+   wire n_11762;
+   wire n_11763;
+   wire n_11764;
+   wire n_11765;
+   wire n_11766;
+   wire n_11767;
+   wire n_11768;
+   wire n_11769;
+   wire n_11770;
+   wire n_11771;
+   wire n_11772;
+   wire n_11773;
+   wire n_11774;
+   wire n_11775;
+   wire n_11776;
+   wire n_11777;
+   wire n_11778;
+   wire n_11779;
+   wire n_11780;
+   wire n_11781;
+   wire n_11782;
+   wire n_11783;
+   wire n_11784;
+   wire n_11785;
+   wire n_11786;
+   wire n_11787;
+   wire n_11788;
+   wire n_11789;
+   wire n_11790;
+   wire n_11791;
+   wire n_11792;
+   wire n_11793;
+   wire n_11794;
+   wire n_11795;
+   wire n_11796;
+   wire n_11797;
+   wire n_11798;
+   wire n_11799;
+   wire n_11800;
+   wire n_11801;
+   wire n_11802;
+   wire n_11803;
+   wire n_11804;
+   wire n_11805;
+   wire n_11806;
+   wire n_11807;
+   wire n_11808;
+   wire n_11809;
+   wire n_11810;
+   wire n_11811;
+   wire n_11812;
+   wire n_11813;
+   wire n_11814;
+   wire n_11815;
+   wire n_11816;
+   wire n_11817;
+   wire n_11818;
+   wire n_11819;
+   wire n_11820;
+   wire n_11821;
+   wire n_11822;
+   wire n_11823;
+   wire n_11824;
+   wire n_11825;
+   wire n_11826;
+   wire n_11827;
+   wire n_11828;
+   wire n_11829;
+   wire n_11830;
+   wire n_11831;
+   wire n_11832;
+   wire n_11833;
+   wire n_11834;
+   wire n_11835;
+   wire n_11836;
+   wire n_11837;
+   wire n_11838;
+   wire n_11839;
+   wire n_11840;
+   wire n_11841;
+   wire n_11842;
+   wire n_11843;
+   wire n_11844;
+   wire n_11845;
+   wire n_11846;
+   wire n_11847;
+   wire n_11848;
+   wire n_11849;
+   wire n_11850;
+   wire n_11851;
+   wire n_11852;
+   wire n_11853;
+   wire n_11854;
+   wire n_11855;
+   wire n_11856;
+   wire n_11857;
+   wire n_11858;
+   wire n_11859;
+   wire n_11860;
+   wire n_11861;
+   wire n_11862;
+   wire n_11863;
+   wire n_11864;
+   wire n_11865;
+   wire n_11866;
+   wire n_11867;
+   wire n_11868;
+   wire n_11869;
+   wire n_11870;
+   wire n_11871;
+   wire n_11872;
+   wire n_11873;
+   wire n_11874;
+   wire n_11875;
+   wire n_11876;
+   wire n_11877;
+   wire n_11878;
+   wire n_11879;
+   wire n_11880;
+   wire n_11881;
+   wire n_11882;
+   wire n_11883;
+   wire n_11884;
+   wire n_11885;
+   wire n_11886;
+   wire n_11887;
+   wire n_11888;
+   wire n_11889;
+   wire n_11890;
+   wire n_11891;
+   wire n_11892;
+   wire n_11893;
+   wire n_11894;
+   wire n_11895;
+   wire n_11896;
+   wire n_11897;
+   wire n_11898;
+   wire n_11899;
+   wire n_11901;
+   wire n_11902;
+   wire n_11903;
+   wire n_11904;
+   wire n_11905;
+   wire n_11906;
+   wire n_11907;
+   wire n_11908;
+   wire n_11909;
+   wire n_11910;
+   wire n_11911;
+   wire n_11912;
+   wire n_11913;
+   wire n_11914;
+   wire n_11915;
+   wire n_11916;
+   wire n_11917;
+   wire n_11918;
+   wire n_11919;
+   wire n_11920;
+   wire n_11921;
+   wire n_11922;
+   wire n_11923;
+   wire n_11925;
+   wire n_11926;
+   wire n_11927;
+   wire n_11928;
+   wire n_11929;
+   wire n_11930;
+   wire n_11931;
+   wire n_11932;
+   wire n_11933;
+   wire n_11934;
+   wire n_11935;
+   wire n_11936;
+   wire n_11937;
+   wire n_11938;
+   wire n_11939;
+   wire n_11940;
+   wire n_11941;
+   wire n_11942;
+   wire n_11943;
+   wire n_11944;
+   wire n_11945;
+   wire n_11946;
+   wire n_11947;
+   wire n_11948;
+   wire n_11949;
+   wire n_11950;
+   wire n_11951;
+   wire n_11952;
+   wire n_11953;
+   wire n_11954;
+   wire n_11955;
+   wire n_11956;
+   wire n_11957;
+   wire n_11958;
+   wire n_11959;
+   wire n_11960;
+   wire n_11961;
+   wire n_11964;
+   wire n_11965;
+   wire n_11966;
+   wire n_11967;
+   wire n_11968;
+   wire n_11969;
+   wire n_11970;
+   wire n_11971;
+   wire n_11972;
+   wire n_11973;
+   wire n_11974;
+   wire n_11975;
+   wire n_11976;
+   wire n_11977;
+   wire n_11978;
+   wire n_11979;
+   wire n_11980;
+   wire n_11981;
+   wire n_11982;
+   wire n_11983;
+   wire n_11984;
+   wire n_11985;
+   wire n_11986;
+   wire n_11987;
+   wire n_11988;
+   wire n_11989;
+   wire n_11990;
+   wire n_11991;
+   wire n_11992;
+   wire n_11993;
+   wire n_11994;
+   wire n_11995;
+   wire n_11996;
+   wire n_11997;
+   wire n_11998;
+   wire n_11999;
+   wire n_12000;
+   wire n_12001;
+   wire n_12002;
+   wire n_12003;
+   wire n_12004;
+   wire n_12005;
+   wire n_12006;
+   wire n_12007;
+   wire n_12008;
+   wire n_12009;
+   wire n_12010;
+   wire n_12011;
+   wire n_12012;
+   wire n_12013;
+   wire n_12014;
+   wire n_12015;
+   wire n_12016;
+   wire n_12017;
+   wire n_12018;
+   wire n_12019;
+   wire n_12020;
+   wire n_12021;
+   wire n_12022;
+   wire n_12023;
+   wire n_12024;
+   wire n_12025;
+   wire n_12026;
+   wire n_12027;
+   wire n_12028;
+   wire n_12029;
+   wire n_12030;
+   wire n_12031;
+   wire n_12032;
+   wire n_12033;
+   wire n_12034;
+   wire n_12035;
+   wire n_12036;
+   wire n_12037;
+   wire n_12038;
+   wire n_12039;
+   wire n_12040;
+   wire n_12041;
+   wire n_12042;
+   wire n_12043;
+   wire n_12044;
+   wire n_12045;
+   wire n_12046;
+   wire n_12047;
+   wire n_12048;
+   wire n_12049;
+   wire n_12050;
+   wire n_12051;
+   wire n_12052;
+   wire n_12053;
+   wire n_12054;
+   wire n_12055;
+   wire n_12056;
+   wire n_12057;
+   wire n_12058;
+   wire n_12059;
+   wire n_12060;
+   wire n_12061;
+   wire n_12062;
+   wire n_12063;
+   wire n_12064;
+   wire n_12065;
+   wire n_12066;
+   wire n_12067;
+   wire n_12068;
+   wire n_12069;
+   wire n_12070;
+   wire n_12071;
+   wire n_12072;
+   wire n_12073;
+   wire n_12074;
+   wire n_12075;
+   wire n_12076;
+   wire n_12077;
+   wire n_12078;
+   wire n_12079;
+   wire n_12080;
+   wire n_12081;
+   wire n_12082;
+   wire n_12083;
+   wire n_12084;
+   wire n_12085;
+   wire n_12086;
+   wire n_12087;
+   wire n_12088;
+   wire n_12089;
+   wire n_12090;
+   wire n_12091;
+   wire n_12092;
+   wire n_12093;
+   wire n_12094;
+   wire n_12095;
+   wire n_12096;
+   wire n_12097;
+   wire n_12098;
+   wire n_12099;
+   wire n_12100;
+   wire n_12101;
+   wire n_12102;
+   wire n_12103;
+   wire n_12104;
+   wire n_12105;
+   wire n_12106;
+   wire n_12107;
+   wire n_12108;
+   wire n_12109;
+   wire n_12110;
+   wire n_12111;
+   wire n_12112;
+   wire n_12113;
+   wire n_12114;
+   wire n_12115;
+   wire n_12116;
+   wire n_12117;
+   wire n_12118;
+   wire n_12119;
+   wire n_12120;
+   wire n_12121;
+   wire n_12122;
+   wire n_12123;
+   wire n_12124;
+   wire n_12125;
+   wire n_12126;
+   wire n_12127;
+   wire n_12128;
+   wire n_12129;
+   wire n_12130;
+   wire n_12131;
+   wire n_12132;
+   wire n_12133;
+   wire n_12134;
+   wire n_12135;
+   wire n_12136;
+   wire n_12137;
+   wire n_12138;
+   wire n_12139;
+   wire n_12140;
+   wire n_12141;
+   wire n_12142;
+   wire n_12143;
+   wire n_12145;
+   wire n_12146;
+   wire n_12147;
+   wire n_12148;
+   wire n_12149;
+   wire n_12151;
+   wire n_12152;
+   wire n_12153;
+   wire n_12154;
+   wire n_12155;
+   wire n_12156;
+   wire n_12157;
+   wire n_12158;
+   wire n_12159;
+   wire n_12160;
+   wire n_12161;
+   wire n_12162;
+   wire n_12163;
+   wire n_12164;
+   wire n_12165;
+   wire n_12166;
+   wire n_12167;
+   wire n_12168;
+   wire n_12169;
+   wire n_12170;
+   wire n_12171;
+   wire n_12172;
+   wire n_12173;
+   wire n_12174;
+   wire n_12175;
+   wire n_12176;
+   wire n_12177;
+   wire n_12178;
+   wire n_12179;
+   wire n_12180;
+   wire n_12181;
+   wire n_12182;
+   wire n_12183;
+   wire n_12184;
+   wire n_12187;
+   wire n_12188;
+   wire n_12189;
+   wire n_12190;
+   wire n_12191;
+   wire n_12192;
+   wire n_12193;
+   wire n_12194;
+   wire n_12195;
+   wire n_12196;
+   wire n_12197;
+   wire n_12198;
+   wire n_12199;
+   wire n_12201;
+   wire n_12202;
+   wire n_12203;
+   wire n_12204;
+   wire n_12205;
+   wire n_12206;
+   wire n_12207;
+   wire n_12208;
+   wire n_12209;
+   wire n_12211;
+   wire n_12213;
+   wire n_12215;
+   wire n_12216;
+   wire n_12217;
+   wire n_12218;
+   wire n_12219;
+   wire n_12220;
+   wire n_12221;
+   wire n_12222;
+   wire n_12223;
+   wire n_12224;
+   wire n_12225;
+   wire n_12226;
+   wire n_12227;
+   wire n_12228;
+   wire n_12229;
+   wire n_12230;
+   wire n_12231;
+   wire n_12232;
+   wire n_12233;
+   wire n_12234;
+   wire n_12235;
+   wire n_12237;
+   wire n_12238;
+   wire n_12240;
+   wire n_12242;
+   wire n_12243;
+   wire n_12244;
+   wire n_12245;
+   wire n_12247;
+   wire n_12248;
+   wire n_12251;
+   wire n_12252;
+   wire n_12254;
+   wire n_12255;
+   wire n_12256;
+   wire n_12257;
+   wire n_12258;
+   wire n_12259;
+   wire n_12260;
+   wire n_12267;
+   wire n_12268;
+   wire n_12269;
+   wire n_12270;
+   wire n_12271;
+   wire n_12272;
+   wire n_12273;
+   wire n_12274;
+   wire n_12275;
+   wire n_12276;
+   wire n_12277;
+   wire n_12278;
+   wire n_12279;
+   wire n_12280;
+   wire n_12281;
+   wire n_12282;
+   wire n_12283;
+   wire n_12284;
+   wire n_12286;
+   wire n_12287;
+   wire n_12288;
+   wire n_12289;
+   wire n_12290;
+   wire n_12291;
+   wire n_12292;
+   wire n_12293;
+   wire n_12294;
+   wire n_12295;
+   wire n_12296;
+   wire n_12297;
+   wire n_12298;
+   wire n_12299;
+   wire n_12300;
+   wire n_12301;
+   wire n_12302;
+   wire n_12303;
+   wire n_12305;
+   wire n_12306;
+   wire n_12308;
+   wire n_12309;
+   wire n_12310;
+   wire n_12311;
+   wire n_12312;
+   wire n_12313;
+   wire n_12314;
+   wire n_12315;
+   wire n_12316;
+   wire n_12317;
+   wire n_12318;
+   wire n_12319;
+   wire n_12320;
+   wire n_12321;
+   wire n_12322;
+   wire n_12323;
+   wire n_12324;
+   wire n_12325;
+   wire n_12326;
+   wire n_12327;
+   wire n_12328;
+   wire n_12329;
+   wire n_12330;
+   wire n_12331;
+   wire n_12332;
+   wire n_12333;
+   wire n_12334;
+   wire n_12335;
+   wire n_12336;
+   wire n_12337;
+   wire n_12338;
+   wire n_12339;
+   wire n_12340;
+   wire n_12341;
+   wire n_12342;
+   wire n_12343;
+   wire n_12344;
+   wire n_12345;
+   wire n_12346;
+   wire n_12347;
+   wire n_12348;
+   wire n_12349;
+   wire n_12350;
+   wire n_12351;
+   wire n_12352;
+   wire n_12353;
+   wire n_12354;
+   wire n_12355;
+   wire n_12356;
+   wire n_12357;
+   wire n_12358;
+   wire n_12359;
+   wire n_12360;
+   wire n_12361;
+   wire n_12362;
+   wire n_12363;
+   wire n_12364;
+   wire n_12365;
+   wire n_12366;
+   wire n_12367;
+   wire n_12368;
+   wire n_12369;
+   wire n_12370;
+   wire n_12371;
+   wire n_12372;
+   wire n_12373;
+   wire n_12374;
+   wire n_12375;
+   wire n_12376;
+   wire n_12377;
+   wire n_12378;
+   wire n_12379;
+   wire n_12380;
+   wire n_12381;
+   wire n_12382;
+   wire n_12383;
+   wire n_12384;
+   wire n_12385;
+   wire n_12386;
+   wire n_12387;
+   wire n_12388;
+   wire n_12389;
+   wire n_12390;
+   wire n_12391;
+   wire n_12392;
+   wire n_12393;
+   wire n_12394;
+   wire n_12395;
+   wire n_12396;
+   wire n_12397;
+   wire n_12398;
+   wire n_12400;
+   wire n_12401;
+   wire n_12402;
+   wire n_12403;
+   wire n_12404;
+   wire n_12405;
+   wire n_12406;
+   wire n_12407;
+   wire n_12408;
+   wire n_12409;
+   wire n_12410;
+   wire n_12411;
+   wire n_12412;
+   wire n_12413;
+   wire n_12414;
+   wire n_12415;
+   wire n_12416;
+   wire n_12417;
+   wire n_12418;
+   wire n_12419;
+   wire n_12420;
+   wire n_12421;
+   wire n_12422;
+   wire n_12423;
+   wire n_12424;
+   wire n_12425;
+   wire n_12426;
+   wire n_12427;
+   wire n_12428;
+   wire n_12429;
+   wire n_12431;
+   wire n_12432;
+   wire n_12433;
+   wire n_12434;
+   wire n_12435;
+   wire n_12436;
+   wire n_12437;
+   wire n_12438;
+   wire n_12439;
+   wire n_12441;
+   wire n_12442;
+   wire n_12443;
+   wire n_12444;
+   wire n_12445;
+   wire n_12446;
+   wire n_12447;
+   wire n_12449;
+   wire n_12450;
+   wire n_12451;
+   wire n_12452;
+   wire n_12453;
+   wire n_12454;
+   wire n_12455;
+   wire n_12456;
+   wire n_12457;
+   wire n_12458;
+   wire n_12459;
+   wire n_12460;
+   wire n_12461;
+   wire n_12462;
+   wire n_12463;
+   wire n_12464;
+   wire n_12465;
+   wire n_12466;
+   wire n_12467;
+   wire n_12468;
+   wire n_12469;
+   wire n_12470;
+   wire n_12471;
+   wire n_12472;
+   wire n_12473;
+   wire n_12474;
+   wire n_12475;
+   wire n_12476;
+   wire n_12477;
+   wire n_12478;
+   wire n_12479;
+   wire n_12480;
+   wire n_12481;
+   wire n_12482;
+   wire n_12483;
+   wire n_12484;
+   wire n_12485;
+   wire n_12486;
+   wire n_12487;
+   wire n_12488;
+   wire n_12489;
+   wire n_12490;
+   wire n_12491;
+   wire n_12492;
+   wire n_12493;
+   wire n_12494;
+   wire n_12495;
+   wire n_12496;
+   wire n_12497;
+   wire n_12498;
+   wire n_12499;
+   wire n_12500;
+   wire n_12501;
+   wire n_12502;
+   wire n_12503;
+   wire n_12504;
+   wire n_12505;
+   wire n_12506;
+   wire n_12507;
+   wire n_12508;
+   wire n_12509;
+   wire n_12510;
+   wire n_12511;
+   wire n_12512;
+   wire n_12513;
+   wire n_12514;
+   wire n_12515;
+   wire n_12516;
+   wire n_12517;
+   wire n_12518;
+   wire n_12519;
+   wire n_12520;
+   wire n_12521;
+   wire n_12522;
+   wire n_12523;
+   wire n_12524;
+   wire n_12525;
+   wire n_12527;
+   wire n_12528;
+   wire n_12529;
+   wire n_12530;
+   wire n_12531;
+   wire n_12532;
+   wire n_12534;
+   wire n_12535;
+   wire n_12536;
+   wire n_12537;
+   wire n_12539;
+   wire n_12540;
+   wire n_12541;
+   wire n_12542;
+   wire n_12543;
+   wire n_12544;
+   wire n_12545;
+   wire n_12547;
+   wire n_12548;
+   wire n_12549;
+   wire n_12550;
+   wire n_12551;
+   wire n_12552;
+   wire n_12553;
+   wire n_12554;
+   wire n_12555;
+   wire n_12556;
+   wire n_12557;
+   wire n_12558;
+   wire n_12559;
+   wire n_12560;
+   wire n_12561;
+   wire n_12562;
+   wire n_12563;
+   wire n_12564;
+   wire n_12565;
+   wire n_12566;
+   wire n_12567;
+   wire n_12568;
+   wire n_12569;
+   wire n_12570;
+   wire n_12571;
+   wire n_12572;
+   wire n_12573;
+   wire n_12574;
+   wire n_12575;
+   wire n_12576;
+   wire n_12577;
+   wire n_12578;
+   wire n_12579;
+   wire n_12580;
+   wire n_12581;
+   wire n_12582;
+   wire n_12583;
+   wire n_12584;
+   wire n_12585;
+   wire n_12586;
+   wire n_12587;
+   wire n_12588;
+   wire n_12589;
+   wire n_12590;
+   wire n_12591;
+   wire n_12592;
+   wire n_12593;
+   wire n_12594;
+   wire n_12595;
+   wire n_12596;
+   wire n_12597;
+   wire n_12598;
+   wire n_12599;
+   wire n_12600;
+   wire n_12601;
+   wire n_12602;
+   wire n_12603;
+   wire n_12604;
+   wire n_12605;
+   wire n_12606;
+   wire n_12607;
+   wire n_12608;
+   wire n_12609;
+   wire n_12610;
+   wire n_12611;
+   wire n_12612;
+   wire n_12613;
+   wire n_12614;
+   wire n_12615;
+   wire n_12616;
+   wire n_12617;
+   wire n_12618;
+   wire n_12619;
+   wire n_12620;
+   wire n_12621;
+   wire n_12622;
+   wire n_12623;
+   wire n_12624;
+   wire n_12625;
+   wire n_12626;
+   wire n_12627;
+   wire n_12628;
+   wire n_12629;
+   wire n_12630;
+   wire n_12631;
+   wire n_12632;
+   wire n_12633;
+   wire n_12634;
+   wire n_12635;
+   wire n_12636;
+   wire n_12637;
+   wire n_12638;
+   wire n_12639;
+   wire n_12640;
+   wire n_12641;
+   wire n_12642;
+   wire n_12643;
+   wire n_12644;
+   wire n_12645;
+   wire n_12646;
+   wire n_12647;
+   wire n_12648;
+   wire n_12649;
+   wire n_12650;
+   wire n_12651;
+   wire n_12652;
+   wire n_12653;
+   wire n_12654;
+   wire n_12655;
+   wire n_12656;
+   wire n_12657;
+   wire n_12658;
+   wire n_12659;
+   wire n_12660;
+   wire n_12661;
+   wire n_12662;
+   wire n_12663;
+   wire n_12664;
+   wire n_12665;
+   wire n_12666;
+   wire n_12667;
+   wire n_12668;
+   wire n_12669;
+   wire n_12670;
+   wire n_12671;
+   wire n_12672;
+   wire n_12673;
+   wire n_12674;
+   wire n_12675;
+   wire n_12676;
+   wire n_12677;
+   wire n_12678;
+   wire n_12679;
+   wire n_12680;
+   wire n_12681;
+   wire n_12682;
+   wire n_12683;
+   wire n_12684;
+   wire n_12685;
+   wire n_12686;
+   wire n_12687;
+   wire n_12688;
+   wire n_12689;
+   wire n_12690;
+   wire n_12691;
+   wire n_12692;
+   wire n_12693;
+   wire n_12694;
+   wire n_12695;
+   wire n_12696;
+   wire n_12697;
+   wire n_12698;
+   wire n_12699;
+   wire n_12700;
+   wire n_12701;
+   wire n_12702;
+   wire n_12703;
+   wire n_12704;
+   wire n_12705;
+   wire n_12706;
+   wire n_12707;
+   wire n_12708;
+   wire n_12709;
+   wire n_12710;
+   wire n_12711;
+   wire n_12712;
+   wire n_12713;
+   wire n_12714;
+   wire n_12715;
+   wire n_12716;
+   wire n_12717;
+   wire n_12718;
+   wire n_12719;
+   wire n_12720;
+   wire n_12721;
+   wire n_12722;
+   wire n_12723;
+   wire n_12724;
+   wire n_12725;
+   wire n_12726;
+   wire n_12727;
+   wire n_12728;
+   wire n_12729;
+   wire n_12730;
+   wire n_12731;
+   wire n_12732;
+   wire n_12733;
+   wire n_12734;
+   wire n_12735;
+   wire n_12736;
+   wire n_12737;
+   wire n_12738;
+   wire n_12739;
+   wire n_12740;
+   wire n_12741;
+   wire n_12742;
+   wire n_12743;
+   wire n_12744;
+   wire n_12745;
+   wire n_12746;
+   wire n_12747;
+   wire n_12748;
+   wire n_12752;
+   wire n_12762;
+   wire n_12825;
+   wire n_12830;
+   wire n_12831;
+   wire n_12832;
+   wire n_12833;
+   wire n_12836;
+   wire n_12837;
+   wire n_12838;
+   wire n_12841;
+   wire n_12842;
+   wire n_12844;
+   wire n_12845;
+   wire n_12846;
+   wire n_12848;
+   wire n_12855;
+   wire n_12856;
+   wire n_12858;
+   wire n_12859;
+   wire n_12864;
+   wire n_12865;
+   wire n_12866;
+   wire n_12867;
+   wire n_12870;
+   wire n_12873;
+   wire n_12881;
+   wire n_12887;
+   wire n_12925;
+   wire n_12928;
+   wire n_12931;
+   wire n_12937;
+   wire n_12939;
+   wire n_12942;
+   wire n_12944;
+   wire n_12948;
+   wire n_12949;
+   wire n_12950;
+   wire n_12951;
+   wire n_12962;
+   wire n_12963;
+   wire n_12964;
+   wire n_12965;
+   wire n_12982;
+   wire n_12984;
+   wire n_12990;
+   wire n_12994;
+   wire n_12999;
+   wire n_13003;
+   wire n_13004;
+   wire n_13005;
+   wire n_13007;
+   wire n_13008;
+   wire n_13009;
+   wire n_13013;
+   wire n_13014;
+   wire n_13015;
+   wire n_13016;
+   wire n_13017;
+   wire n_13018;
+   wire n_13020;
+   wire n_13021;
+   wire n_13022;
+   wire n_13023;
+   wire n_13024;
+   wire n_13025;
+   wire n_13026;
+   wire n_13027;
+   wire n_13028;
+   wire n_13029;
+   wire n_13030;
+   wire n_13031;
+   wire n_13033;
+   wire n_13034;
+   wire n_13035;
+   wire n_13039;
+   wire n_13040;
+   wire n_13041;
+   wire n_13042;
+   wire n_13044;
+   wire n_13045;
+   wire n_13046;
+   wire n_13047;
+   wire n_13048;
+   wire n_13050;
+   wire n_13051;
+   wire n_13053;
+   wire n_13054;
+   wire n_13057;
+   wire n_13058;
+   wire n_13059;
+   wire n_13060;
+   wire n_13062;
+   wire n_13066;
+   wire n_13069;
+   wire n_13070;
+   wire n_13071;
+   wire n_13072;
+   wire n_13079;
+   wire n_13080;
+   wire n_13081;
+   wire n_13082;
+   wire n_13083;
+   wire n_13084;
+   wire n_13085;
+   wire n_13086;
+   wire n_13087;
+   wire n_13088;
+   wire n_13091;
+   wire n_13092;
+   wire n_13094;
+   wire n_13096;
+   wire n_13098;
+   wire n_13101;
+   wire n_13104;
+   wire n_13105;
+   wire n_13106;
+   wire n_13107;
+   wire n_13109;
+   wire n_13110;
+   wire n_13111;
+   wire n_13112;
+   wire n_13114;
+   wire n_13115;
+   wire n_13116;
+   wire n_13117;
+   wire n_13121;
+   wire n_13125;
+   wire n_13126;
+   wire n_13128;
+   wire n_13129;
+   wire n_13131;
+   wire n_13134;
+   wire n_13136;
+   wire n_13138;
+   wire n_13145;
+   wire n_13147;
+   wire n_13148;
+   wire n_13152;
+   wire n_13155;
+   wire n_13156;
+   wire n_13157;
+   wire n_13158;
+   wire n_13159;
+   wire n_13160;
+   wire n_13163;
+   wire n_13164;
+   wire n_13165;
+   wire n_13166;
+   wire n_13167;
+   wire n_13172;
+   wire n_13173;
+   wire n_13174;
+   wire n_13175;
+   wire n_13176;
+   wire n_13177;
+   wire n_13178;
+   wire n_13179;
+   wire n_13180;
+   wire n_13181;
+   wire n_13187;
+   wire n_13188;
+   wire n_13189;
+   wire n_13193;
+   wire n_13194;
+   wire n_13195;
+   wire n_13196;
+   wire n_13200;
+   wire n_13201;
+   wire n_13202;
+   wire n_13203;
+   wire n_13204;
+   wire n_13205;
+   wire n_13206;
+   wire n_13207;
+   wire n_13208;
+   wire n_13209;
+   wire n_13210;
+   wire n_13211;
+   wire n_13213;
+   wire n_13214;
+   wire n_13217;
+   wire n_13218;
+   wire n_13219;
+   wire n_13220;
+   wire n_13221;
+   wire n_13222;
+   wire n_13224;
+   wire n_13225;
+   wire n_13226;
+   wire n_13227;
+   wire n_13228;
+   wire n_13229;
+   wire n_13231;
+   wire n_13233;
+   wire n_13234;
+   wire n_13235;
+   wire n_13236;
+   wire n_13237;
+   wire n_13240;
+   wire n_13241;
+   wire n_13242;
+   wire n_13243;
+   wire n_13244;
+   wire n_13245;
+   wire n_13246;
+   wire n_13247;
+   wire n_13249;
+   wire n_13250;
+   wire n_13252;
+   wire n_13254;
+   wire n_13255;
+   wire n_13256;
+   wire n_13257;
+   wire n_13258;
+   wire n_13260;
+   wire n_13262;
+   wire n_13263;
+   wire n_13264;
+   wire n_13267;
+   wire n_13268;
+   wire n_13269;
+   wire n_13270;
+   wire n_13273;
+   wire n_13274;
+   wire n_13275;
+   wire n_13276;
+   wire n_13277;
+   wire n_13278;
+   wire n_13279;
+   wire n_13280;
+   wire n_13281;
+   wire n_13282;
+   wire n_13283;
+   wire n_13285;
+   wire n_13287;
+   wire n_13288;
+   wire n_13289;
+   wire n_13290;
+   wire n_13291;
+   wire n_13292;
+   wire n_13293;
+   wire n_13294;
+   wire n_13295;
+   wire n_13296;
+   wire n_13297;
+   wire n_13299;
+   wire n_13300;
+   wire n_13301;
+   wire n_13302;
+   wire n_13303;
+   wire n_13304;
+   wire n_13306;
+   wire n_13308;
+   wire n_13310;
+   wire n_13311;
+   wire n_13312;
+   wire n_13316;
+   wire n_13317;
+   wire n_13318;
+   wire n_13319;
+   wire n_13320;
+   wire n_13321;
+   wire n_13324;
+   wire n_13325;
+   wire n_13326;
+   wire n_13327;
+   wire n_13328;
+   wire n_13329;
+   wire n_13331;
+   wire n_13333;
+   wire n_13334;
+   wire n_13337;
+   wire n_13338;
+   wire n_13339;
+   wire n_13340;
+   wire n_13341;
+   wire n_13343;
+   wire n_13344;
+   wire n_13345;
+   wire n_13350;
+   wire n_13351;
+   wire n_13352;
+   wire n_13354;
+   wire n_13355;
+   wire n_13356;
+   wire n_13357;
+   wire n_13359;
+   wire n_13360;
+   wire n_13362;
+   wire n_13363;
+   wire n_13364;
+   wire n_13365;
+   wire n_13368;
+   wire n_13369;
+   wire n_13370;
+   wire n_13372;
+   wire n_13373;
+   wire n_13374;
+   wire n_13377;
+   wire n_13378;
+   wire n_13380;
+   wire n_13384;
+   wire n_13387;
+   wire n_13388;
+   wire n_13389;
+   wire n_13391;
+   wire n_13392;
+   wire n_13393;
+   wire n_13394;
+   wire n_13395;
+   wire n_13396;
+   wire n_13397;
+   wire n_13398;
+   wire n_13399;
+   wire n_13400;
+   wire n_13401;
+   wire n_13402;
+   wire n_13403;
+   wire n_13404;
+   wire n_13405;
+   wire n_13406;
+   wire n_13407;
+   wire n_13408;
+   wire n_13409;
+   wire n_13410;
+   wire n_13411;
+   wire n_13412;
+   wire n_13413;
+   wire n_13414;
+   wire n_13415;
+   wire n_13416;
+   wire n_13417;
+   wire n_13418;
+   wire n_13419;
+   wire n_13420;
+   wire n_13421;
+   wire n_13422;
+   wire n_13423;
+   wire n_13424;
+   wire n_13425;
+   wire n_13426;
+   wire n_13427;
+   wire n_13429;
+   wire n_13430;
+   wire n_13431;
+   wire n_13432;
+   wire n_13433;
+   wire n_13434;
+   wire n_13435;
+   wire n_13436;
+   wire n_13437;
+   wire n_13438;
+   wire n_13439;
+   wire n_13443;
+   wire n_13445;
+   wire n_13449;
+   wire n_13450;
+   wire n_13451;
+   wire n_13452;
+   wire n_13453;
+   wire n_13454;
+   wire n_13455;
+   wire n_13457;
+   wire n_13458;
+   wire n_13459;
+   wire n_13460;
+   wire n_13461;
+   wire n_13462;
+   wire n_13463;
+   wire n_13465;
+   wire n_13467;
+   wire n_13468;
+   wire n_13470;
+   wire n_13471;
+   wire n_13472;
+   wire n_13473;
+   wire n_13474;
+   wire n_13478;
+   wire n_13479;
+   wire n_13480;
+   wire n_13481;
+   wire n_13482;
+   wire n_13485;
+   wire n_13486;
+   wire n_13495;
+   wire n_13497;
+   wire n_13498;
+   wire n_13499;
+   wire n_13500;
+   wire n_13501;
+   wire n_13502;
+   wire n_13503;
+   wire n_13504;
+   wire n_13505;
+   wire n_13507;
+   wire n_13508;
+   wire n_13509;
+   wire n_13510;
+   wire n_13511;
+   wire n_13512;
+   wire n_13513;
+   wire n_13514;
+   wire n_13516;
+   wire n_13521;
+   wire n_13522;
+   wire n_13524;
+   wire n_13525;
+   wire n_13526;
+   wire n_13527;
+   wire n_13529;
+   wire n_13530;
+   wire n_13531;
+   wire n_13532;
+   wire n_13533;
+   wire n_13534;
+   wire n_13541;
+   wire n_13542;
+   wire n_13543;
+   wire n_13545;
+   wire n_13550;
+   wire n_13551;
+   wire n_13552;
+   wire n_13554;
+   wire n_13562;
+   wire n_13565;
+   wire n_13566;
+   wire n_13569;
+   wire n_13570;
+   wire n_13571;
+   wire n_13572;
+   wire n_13577;
+   wire n_13578;
+   wire n_13582;
+   wire n_13587;
+   wire n_13596;
+   wire n_13597;
+   wire n_13603;
+   wire n_13607;
+   wire n_13609;
+   wire n_13612;
+   wire n_13615;
+   wire n_13617;
+   wire n_13623;
+   wire n_13624;
+   wire n_13630;
+   wire n_13631;
+   wire n_13638;
+   wire n_13640;
+   wire n_13645;
+   wire n_13648;
+   wire n_13649;
+   wire n_13650;
+   wire n_13651;
+   wire n_13652;
+   wire n_13660;
+   wire n_13661;
+   wire n_13662;
+   wire n_13701;
+   wire n_13706;
+   wire n_13710;
+   wire n_13711;
+   wire n_13713;
+   wire n_13722;
+   wire n_13731;
+   wire n_13734;
+   wire n_13735;
+   wire n_13742;
+   wire n_13748;
+   wire n_13757;
+   wire n_13799;
+   wire n_13802;
+   wire n_13803;
+   wire n_13836;
+   wire n_13837;
+   wire n_13840;
+   wire n_13847;
+   wire n_13852;
+   wire n_13858;
+   wire n_13863;
+   wire n_13875;
+   wire n_13881;
+   wire n_13887;
+   wire n_13888;
+   wire n_13889;
+   wire n_13890;
+   wire n_13903;
+   wire n_13908;
+   wire n_13909;
+   wire n_13911;
+   wire n_13912;
+   wire n_13918;
+   wire n_13920;
+   wire n_13922;
+   wire n_13923;
+   wire n_13927;
+   wire n_13929;
+   wire n_13931;
+   wire n_13999;
+   wire n_14000;
+   wire n_14017;
+   wire n_14018;
+   wire n_14021;
+   wire n_14022;
+   wire n_14026;
+   wire n_14028;
+   wire n_14033;
+   wire n_14035;
+   wire n_14040;
+   wire n_14047;
+   wire n_14056;
+   wire n_14061;
+   wire n_14064;
+   wire n_14065;
+   wire n_14066;
+   wire n_14067;
+   wire n_14072;
+   wire n_14074;
+   wire n_14075;
+   wire n_14077;
+   wire n_14078;
+   wire n_14080;
+   wire n_14081;
+   wire n_14083;
+   wire n_14084;
+   wire n_14085;
+   wire n_14087;
+   wire n_14094;
+   wire n_14095;
+   wire n_14096;
+   wire n_14097;
+   wire n_14098;
+   wire n_14099;
+   wire n_14102;
+   wire n_14111;
+   wire n_14112;
+   wire n_14113;
+   wire n_14117;
+   wire n_14118;
+   wire n_14119;
+   wire n_14120;
+   wire n_14121;
+   wire n_14122;
+   wire n_14123;
+   wire n_14124;
+   wire n_14132;
+   wire n_14134;
+   wire n_14136;
+   wire n_14138;
+   wire n_14141;
+   wire n_14142;
+   wire n_14145;
+   wire n_14149;
+   wire n_14150;
+   wire n_14151;
+   wire n_14152;
+   wire n_14154;
+   wire n_14155;
+   wire n_14158;
+   wire n_14160;
+   wire n_14163;
+   wire n_14164;
+   wire n_14166;
+   wire n_14170;
+   wire n_14172;
+   wire n_14175;
+   wire n_14179;
+   wire n_14180;
+   wire n_14186;
+   wire n_14188;
+   wire n_14190;
+   wire n_14192;
+   wire n_14193;
+   wire n_14194;
+   wire n_14195;
+   wire n_14202;
+   wire n_14203;
+   wire n_14204;
+   wire n_14205;
+   wire n_14207;
+   wire n_14208;
+   wire n_14209;
+   wire n_14210;
+   wire n_14211;
+   wire n_14212;
+   wire n_14213;
+   wire n_14214;
+   wire n_14215;
+   wire n_14217;
+   wire n_14218;
+   wire n_14219;
+   wire n_14222;
+   wire n_14223;
+   wire n_14224;
+   wire n_14225;
+   wire n_14226;
+   wire n_14227;
+   wire n_14228;
+   wire n_14229;
+   wire n_14230;
+   wire n_14231;
+   wire n_14232;
+   wire n_14233;
+   wire n_14234;
+   wire n_14236;
+   wire n_14238;
+   wire n_14239;
+   wire n_14240;
+   wire n_14241;
+   wire n_14242;
+   wire n_14244;
+   wire n_14245;
+   wire n_14246;
+   wire n_14252;
+   wire n_14256;
+   wire n_14257;
+   wire n_14259;
+   wire n_14261;
+   wire n_14262;
+   wire n_14263;
+   wire n_14264;
+   wire n_14265;
+   wire n_14266;
+   wire n_14267;
+   wire n_14269;
+   wire n_14272;
+   wire n_14273;
+   wire n_14275;
+   wire n_14277;
+   wire n_14280;
+   wire n_14281;
+   wire n_14282;
+   wire n_14283;
+   wire n_14284;
+   wire n_14286;
+   wire n_14290;
+   wire n_14291;
+   wire n_14292;
+   wire n_14293;
+   wire n_14299;
+   wire n_14300;
+   wire n_14301;
+   wire n_14302;
+   wire n_14303;
+   wire n_14304;
+   wire n_14305;
+   wire n_14306;
+   wire n_14307;
+   wire n_14308;
+   wire n_14309;
+   wire n_14314;
+   wire n_14315;
+   wire n_14318;
+   wire n_14320;
+   wire n_14321;
+   wire n_14322;
+   wire n_14326;
+   wire n_14327;
+   wire n_14329;
+   wire n_14331;
+   wire n_14334;
+   wire n_14335;
+   wire n_14336;
+   wire n_14337;
+   wire n_14338;
+   wire n_14342;
+   wire n_14343;
+   wire n_14344;
+   wire n_14345;
+   wire n_14346;
+   wire n_14349;
+   wire n_14350;
+   wire n_14351;
+   wire n_14352;
+   wire n_14353;
+   wire n_14356;
+   wire n_14357;
+   wire n_14359;
+   wire n_14360;
+   wire n_14362;
+   wire n_14363;
+   wire n_14364;
+   wire n_14365;
+   wire n_14373;
+   wire n_14375;
+   wire n_14376;
+   wire n_14377;
+   wire n_14378;
+   wire n_14380;
+   wire n_14381;
+   wire n_14383;
+   wire n_14384;
+   wire n_14387;
+   wire n_14389;
+   wire n_14390;
+   wire n_14391;
+   wire n_14392;
+   wire n_14393;
+   wire n_14394;
+   wire n_14395;
+   wire n_14396;
+   wire n_14398;
+   wire n_14402;
+   wire n_14403;
+   wire n_14404;
+   wire n_14405;
+   wire n_14406;
+   wire n_14408;
+   wire n_14410;
+   wire n_14412;
+   wire n_14416;
+   wire n_14421;
+   wire n_14424;
+   wire n_14430;
+   wire n_14432;
+   wire n_14438;
+   wire n_14453;
+   wire n_14455;
+   wire n_14456;
+   wire n_14460;
+   wire n_14464;
+   wire n_14467;
+   wire n_14472;
+   wire n_14473;
+   wire n_14475;
+   wire n_14476;
+   wire n_14478;
+   wire n_14480;
+   wire n_14483;
+   wire n_14484;
+   wire n_14486;
+   wire n_14487;
+   wire n_14489;
+   wire n_14490;
+   wire n_14491;
+   wire n_14498;
+   wire n_14501;
+   wire n_14506;
+   wire n_14512;
+   wire n_14529;
+   wire n_14530;
+   wire n_14534;
+   wire n_14535;
+   wire n_14536;
+   wire n_14540;
+   wire n_14547;
+   wire n_14554;
+   wire n_14584;
+   wire n_14585;
+   wire n_14586;
+   wire n_14588;
+   wire n_14589;
+   wire n_14675;
+   wire n_14676;
+   wire n_14678;
+   wire n_14679;
+   wire n_14683;
+   wire n_14684;
+   wire n_14685;
+   wire n_14686;
+   wire n_14687;
+   wire n_14688;
+   wire n_14689;
+   wire n_14690;
+   wire n_14691;
+   wire n_14693;
+   wire n_14695;
+   wire n_14696;
+   wire n_14697;
+   wire n_14704;
+   wire n_14710;
+   wire n_14711;
+   wire n_14713;
+   wire n_14718;
+   wire n_14731;
+   wire n_14733;
+   wire n_14735;
+   wire n_14738;
+   wire n_14739;
+   wire n_14740;
+   wire n_14742;
+   wire n_14743;
+   wire n_14744;
+   wire n_14745;
+   wire n_14746;
+   wire n_14748;
+   wire n_14750;
+   wire n_14751;
+   wire n_14754;
+   wire n_14755;
+   wire n_14756;
+   wire n_14761;
+   wire n_14769;
+   wire n_14771;
+   wire n_14774;
+   wire n_14776;
+   wire n_14789;
+   wire n_14790;
+   wire n_14794;
+   wire n_14805;
+   wire n_14809;
+   wire n_14814;
+   wire n_14815;
+   wire n_14817;
+   wire n_14818;
+   wire n_14819;
+   wire n_14821;
+   wire n_14822;
+   wire n_14823;
+   wire n_14824;
+   wire n_14825;
+   wire n_14826;
+   wire n_14828;
+   wire n_14830;
+   wire n_14831;
+   wire n_14832;
+   wire n_14833;
+   wire n_14836;
+   wire n_14837;
+   wire n_14838;
+   wire n_14839;
+   wire n_14840;
+   wire n_14841;
+   wire n_14843;
+   wire n_14844;
+   wire n_14845;
+   wire n_14846;
+   wire n_14847;
+   wire n_14849;
+   wire n_14850;
+   wire n_14853;
+   wire n_14854;
+   wire n_14855;
+   wire n_14856;
+   wire n_14857;
+   wire n_14858;
+   wire n_14859;
+   wire n_14860;
+   wire n_14865;
+   wire n_14866;
+   wire n_14867;
+   wire n_14868;
+   wire n_14869;
+   wire n_14870;
+   wire n_14871;
+   wire n_14872;
+   wire n_14873;
+   wire n_14874;
+   wire n_14875;
+   wire n_14883;
+   wire n_14884;
+   wire n_14885;
+   wire n_14886;
+   wire n_14887;
+   wire n_14888;
+   wire n_14889;
+   wire n_14891;
+   wire n_14892;
+   wire n_14893;
+   wire n_14894;
+   wire n_14895;
+   wire n_14896;
+   wire n_14897;
+   wire n_14898;
+   wire n_14899;
+   wire n_14900;
+   wire n_14901;
+   wire n_14903;
+   wire n_14904;
+   wire n_14906;
+   wire n_14908;
+   wire n_14909;
+   wire n_14912;
+   wire n_14913;
+   wire n_14916;
+   wire n_14917;
+   wire n_14918;
+   wire n_14919;
+   wire n_14920;
+   wire n_14921;
+   wire n_14922;
+   wire n_14923;
+   wire n_14926;
+   wire n_14927;
+   wire n_14928;
+   wire n_14929;
+   wire n_14930;
+   wire n_14931;
+   wire n_14932;
+   wire n_14935;
+   wire n_14938;
+   wire n_14939;
+   wire n_14940;
+   wire n_14941;
+   wire n_14942;
+   wire n_14943;
+   wire n_14945;
+   wire n_14946;
+   wire n_14947;
+   wire n_14951;
+   wire n_14954;
+   wire n_14957;
+   wire n_14958;
+   wire n_14959;
+   wire n_14960;
+   wire n_14961;
+   wire n_14962;
+   wire n_14963;
+   wire n_14964;
+   wire n_14965;
+   wire n_14966;
+   wire n_14968;
+   wire n_14969;
+   wire n_14970;
+   wire n_14971;
+   wire n_14972;
+   wire n_14974;
+   wire n_14975;
+   wire n_14976;
+   wire n_14977;
+   wire n_14979;
+   wire n_14981;
+   wire n_14982;
+   wire n_14983;
+   wire n_14984;
+   wire n_14985;
+   wire n_14986;
+   wire n_14987;
+   wire n_14988;
+   wire n_14989;
+   wire n_14990;
+   wire n_14991;
+   wire n_14992;
+   wire n_14993;
+   wire n_14994;
+   wire n_14995;
+   wire n_14996;
+   wire n_14997;
+   wire n_15035;
+   wire n_15036;
+   wire n_15037;
+   wire n_15038;
+   wire n_15039;
+   wire n_15040;
+   wire n_15041;
+   wire n_15042;
+   wire n_15044;
+   wire n_15045;
+   wire n_15046;
+   wire n_15047;
+   wire n_15048;
+   wire n_15049;
+   wire n_15050;
+   wire n_15051;
+   wire n_15052;
+   wire n_15053;
+   wire n_15054;
+   wire n_15055;
+   wire n_15056;
+   wire n_15057;
+   wire n_15058;
+   wire n_15059;
+   wire n_15060;
+   wire n_15061;
+   wire n_15062;
+   wire n_15063;
+   wire n_15064;
+   wire n_15065;
+   wire n_15066;
+   wire n_15067;
+   wire n_15068;
+   wire n_15069;
+   wire n_15070;
+   wire n_15072;
+   wire n_15073;
+   wire n_15074;
+   wire n_15075;
+   wire n_15076;
+   wire n_15078;
+   wire n_15079;
+   wire n_15080;
+   wire n_15081;
+   wire n_15082;
+   wire n_15083;
+   wire n_15085;
+   wire n_15086;
+   wire n_15088;
+   wire n_15090;
+   wire n_15094;
+   wire n_15095;
+   wire n_15096;
+   wire n_15097;
+   wire n_15098;
+   wire n_15100;
+   wire n_15103;
+   wire n_15104;
+   wire n_15105;
+   wire n_15106;
+   wire n_15108;
+   wire n_15109;
+   wire n_15110;
+   wire n_15111;
+   wire n_15113;
+   wire n_15114;
+   wire n_15115;
+   wire n_15119;
+   wire n_15121;
+   wire n_15122;
+   wire n_15125;
+   wire n_15126;
+   wire n_15127;
+   wire n_15129;
+   wire n_15130;
+   wire n_15132;
+   wire n_15134;
+   wire n_15136;
+   wire n_15137;
+   wire n_15138;
+   wire n_15139;
+   wire n_15140;
+   wire n_15143;
+   wire n_15144;
+   wire n_15146;
+   wire n_15147;
+   wire n_15148;
+   wire n_15149;
+   wire n_15152;
+   wire n_15153;
+   wire n_15155;
+   wire n_15156;
+   wire n_15159;
+   wire n_15169;
+   wire n_15170;
+   wire n_15174;
+   wire n_15175;
+   wire n_15176;
+   wire n_15178;
+   wire n_15181;
+   wire n_15182;
+   wire n_15187;
+   wire n_15188;
+   wire n_15189;
+   wire n_15190;
+   wire n_15191;
+   wire n_15192;
+   wire n_15193;
+   wire n_15194;
+   wire n_15195;
+   wire n_15196;
+   wire n_15198;
+   wire n_15201;
+   wire n_15203;
+   wire n_15204;
+   wire n_15205;
+   wire n_15206;
+   wire n_15207;
+   wire n_15208;
+   wire n_15210;
+   wire n_15211;
+   wire n_15212;
+   wire n_15213;
+   wire n_15214;
+   wire n_15219;
+   wire n_15220;
+   wire n_15221;
+   wire n_15226;
+   wire n_15227;
+   wire n_15229;
+   wire n_15231;
+   wire n_15232;
+   wire n_15233;
+   wire n_15234;
+   wire n_15235;
+   wire n_15249;
+   wire n_15250;
+   wire n_15251;
+   wire n_15252;
+   wire n_15253;
+   wire n_15254;
+   wire n_15258;
+   wire n_15260;
+   wire n_15261;
+   wire n_15281;
+   wire n_15302;
+   wire n_15343;
+   wire n_15344;
+   wire n_15350;
+   wire n_15361;
+   wire n_15362;
+   wire n_15412;
+   wire n_15417;
+   wire n_15438;
+   wire n_15460;
+   wire n_15470;
+   wire n_15472;
+   wire n_15474;
+   wire n_15475;
+   wire n_15476;
+   wire n_15477;
+   wire n_15478;
+   wire n_15483;
+   wire n_15491;
+   wire n_15493;
+   wire n_15494;
+   wire n_15495;
+   wire n_15496;
+   wire n_15497;
+   wire n_15501;
+   wire n_15503;
+   wire n_15509;
+   wire n_15514;
+   wire n_15515;
+   wire n_15516;
+   wire n_15520;
+   wire n_15521;
+   wire n_15522;
+   wire n_15525;
+   wire n_15526;
+   wire n_15530;
+   wire n_15534;
+   wire n_15536;
+   wire n_15540;
+   wire n_15542;
+   wire n_15543;
+   wire n_15546;
+   wire n_15547;
+   wire n_15548;
+   wire n_15549;
+   wire n_15550;
+   wire n_15551;
+   wire n_15552;
+   wire n_15553;
+   wire n_15554;
+   wire n_15555;
+   wire n_15556;
+   wire n_15557;
+   wire n_15558;
+   wire n_15559;
+   wire n_15560;
+   wire n_15561;
+   wire n_15562;
+   wire n_15563;
+   wire n_15564;
+   wire n_15565;
+   wire n_15568;
+   wire n_15569;
+   wire n_15570;
+   wire n_15575;
+   wire n_15613;
+   wire n_15622;
+   wire n_15623;
+   wire n_15624;
+   wire n_15625;
+   wire n_15626;
+   wire n_15627;
+   wire n_15628;
+   wire n_15629;
+   wire n_15630;
+   wire n_15631;
+   wire n_15632;
+   wire n_15633;
+   wire n_15634;
+   wire n_15635;
+   wire n_15648;
+   wire n_15653;
+   wire n_15667;
+   wire n_15672;
+   wire n_15673;
+   wire n_15674;
+   wire n_15675;
+   wire n_15676;
+   wire n_15677;
+   wire n_15685;
+   wire n_15686;
+   wire n_15687;
+   wire n_15688;
+   wire n_15689;
+   wire n_15690;
+   wire n_15691;
+   wire n_15692;
+   wire n_15693;
+   wire n_15694;
+   wire n_15695;
+   wire n_15696;
+   wire n_15697;
+   wire n_15698;
+   wire n_15699;
+   wire n_15700;
+   wire n_15701;
+   wire n_15702;
+   wire n_15703;
+   wire n_15704;
+   wire n_15705;
+   wire n_15706;
+   wire n_15707;
+   wire n_15708;
+   wire n_15709;
+   wire n_15710;
+   wire n_15711;
+   wire n_15712;
+   wire n_15713;
+   wire n_15714;
+   wire n_15715;
+   wire n_15716;
+   wire n_15717;
+   wire n_15718;
+   wire n_15719;
+   wire n_15720;
+   wire n_15721;
+   wire n_15722;
+   wire n_15723;
+   wire n_15724;
+   wire n_15725;
+   wire n_15726;
+   wire n_15727;
+   wire n_15728;
+   wire n_15729;
+   wire n_15730;
+   wire n_15731;
+   wire n_15732;
+   wire n_15733;
+   wire n_15734;
+   wire n_15735;
+   wire n_15736;
+   wire n_15737;
+   wire n_15738;
+   wire n_15739;
+   wire n_15740;
+   wire n_15741;
+   wire n_15742;
+   wire n_15743;
+   wire n_15744;
+   wire n_15745;
+   wire n_15746;
+   wire n_15747;
+   wire n_15748;
+   wire n_15749;
+   wire n_15750;
+   wire n_15751;
+   wire n_15752;
+   wire n_15753;
+   wire n_15754;
+   wire n_15755;
+   wire n_15761;
+   wire n_15762;
+   wire n_15763;
+   wire n_15764;
+   wire n_15765;
+   wire n_15766;
+   wire n_15767;
+   wire n_15768;
+   wire n_15769;
+   wire n_15770;
+   wire n_15771;
+   wire n_15772;
+   wire n_15773;
+   wire n_15775;
+   wire n_15777;
+   wire n_15778;
+   wire n_15779;
+   wire n_15780;
+   wire n_15781;
+   wire n_15782;
+   wire n_15785;
+   wire n_15787;
+   wire n_15788;
+   wire n_15789;
+   wire n_15790;
+   wire n_15791;
+   wire n_15793;
+   wire n_15794;
+   wire n_15795;
+   wire n_15796;
+   wire n_15797;
+   wire n_15799;
+   wire n_15801;
+   wire n_15803;
+   wire n_15804;
+   wire n_15805;
+   wire n_15806;
+   wire n_15807;
+   wire n_15808;
+   wire n_15811;
+   wire n_15814;
+   wire n_15826;
+   wire n_15837;
+   wire n_15838;
+   wire n_15839;
+   wire n_15840;
+   wire n_15841;
+   wire n_15842;
+   wire n_15843;
+   wire n_15844;
+   wire n_15845;
+   wire n_15846;
+   wire n_15847;
+   wire n_15848;
+   wire n_15849;
+   wire n_15850;
+   wire n_15851;
+   wire n_15852;
+   wire n_15853;
+   wire n_15854;
+   wire n_15855;
+   wire n_15856;
+   wire n_15857;
+   wire n_15858;
+   wire n_15859;
+   wire n_15860;
+   wire n_15861;
+   wire n_15862;
+   wire n_15863;
+   wire n_15864;
+   wire n_15865;
+   wire n_15866;
+   wire n_15867;
+   wire n_15868;
+   wire n_15869;
+   wire n_15870;
+   wire n_15871;
+   wire n_15872;
+   wire n_15873;
+   wire n_15874;
+   wire n_15875;
+   wire n_15876;
+   wire n_15877;
+   wire n_15878;
+   wire n_15879;
+   wire n_15880;
+   wire n_15881;
+   wire n_15882;
+   wire n_15883;
+   wire n_15884;
+   wire n_15885;
+   wire n_15886;
+   wire n_15887;
+   wire n_15888;
+   wire n_15889;
+   wire n_15890;
+   wire n_15891;
+   wire n_15892;
+   wire n_15893;
+   wire n_15894;
+   wire n_15895;
+   wire n_15897;
+   wire n_15898;
+   wire n_15899;
+   wire n_15900;
+   wire n_15901;
+   wire n_15902;
+   wire n_15903;
+   wire n_15904;
+   wire n_15905;
+   wire n_15906;
+   wire n_15907;
+   wire n_15908;
+   wire n_15909;
+   wire n_15910;
+   wire n_15911;
+   wire n_15912;
+   wire n_15913;
+   wire n_15914;
+   wire n_15915;
+   wire n_15916;
+   wire n_15917;
+   wire n_15918;
+   wire n_15919;
+   wire n_15921;
+   wire n_15922;
+   wire n_15923;
+   wire n_15924;
+   wire n_15925;
+   wire n_15926;
+   wire n_15927;
+   wire n_15928;
+   wire n_15929;
+   wire n_15930;
+   wire n_15931;
+   wire n_15933;
+   wire n_15934;
+   wire n_15935;
+   wire n_15936;
+   wire n_15937;
+   wire n_15939;
+   wire n_15940;
+   wire n_15942;
+   wire n_15943;
+   wire n_15944;
+   wire n_15945;
+   wire n_15946;
+   wire n_15947;
+   wire n_15948;
+   wire n_15949;
+   wire n_15950;
+   wire n_15951;
+   wire n_15952;
+   wire n_15953;
+   wire n_15954;
+   wire n_15955;
+   wire n_15956;
+   wire n_15957;
+   wire n_15958;
+   wire n_15961;
+   wire n_15962;
+   wire n_15963;
+   wire n_15964;
+   wire n_15965;
+   wire n_15966;
+   wire n_15968;
+   wire n_15969;
+   wire n_15971;
+   wire n_15972;
+   wire n_15973;
+   wire n_15974;
+   wire n_15975;
+   wire n_15977;
+   wire n_15978;
+   wire n_15979;
+   wire n_15981;
+   wire n_15982;
+   wire n_15983;
+   wire n_15984;
+   wire n_15985;
+   wire n_15986;
+   wire n_15987;
+   wire n_15988;
+   wire n_15989;
+   wire n_15990;
+   wire n_15991;
+   wire n_15992;
+   wire n_15993;
+   wire n_15994;
+   wire n_15995;
+   wire n_15996;
+   wire n_15997;
+   wire n_15998;
+   wire n_15999;
+   wire n_16000;
+   wire n_16001;
+   wire n_16002;
+   wire n_16003;
+   wire n_16004;
+   wire n_16005;
+   wire n_16006;
+   wire n_16007;
+   wire n_16008;
+   wire n_16009;
+   wire n_16010;
+   wire n_16011;
+   wire n_16012;
+   wire n_16013;
+   wire n_16014;
+   wire n_16015;
+   wire n_16016;
+   wire n_16017;
+   wire n_16018;
+   wire n_16019;
+   wire n_16020;
+   wire n_16021;
+   wire n_16022;
+   wire n_16023;
+   wire n_16024;
+   wire n_16025;
+   wire n_16026;
+   wire n_16027;
+   wire n_16029;
+   wire n_16030;
+   wire n_16031;
+   wire n_16032;
+   wire n_16033;
+   wire n_16034;
+   wire n_16035;
+   wire n_16036;
+   wire n_16037;
+   wire n_16038;
+   wire n_16039;
+   wire n_16040;
+   wire n_16041;
+   wire n_16042;
+   wire n_16043;
+   wire n_16044;
+   wire n_16045;
+   wire n_16046;
+   wire n_16048;
+   wire n_16049;
+   wire n_16050;
+   wire n_16051;
+   wire n_16052;
+   wire n_16053;
+   wire n_16054;
+   wire n_16055;
+   wire n_16056;
+   wire n_16057;
+   wire n_16058;
+   wire n_16059;
+   wire n_16060;
+   wire n_16061;
+   wire n_16062;
+   wire n_16063;
+   wire n_16064;
+   wire n_16065;
+   wire n_16066;
+   wire n_16067;
+   wire n_16068;
+   wire n_16069;
+   wire n_16070;
+   wire n_16072;
+   wire n_16074;
+   wire n_16075;
+   wire n_16082;
+   wire n_16083;
+   wire n_16084;
+   wire n_16089;
+   wire n_16090;
+   wire n_16092;
+   wire n_16093;
+   wire n_16095;
+   wire n_16110;
+   wire n_16118;
+   wire n_16120;
+   wire n_16124;
+   wire n_16134;
+   wire n_16135;
+   wire n_16151;
+   wire n_16152;
+   wire n_16153;
+   wire n_16154;
+   wire n_16155;
+   wire n_16156;
+   wire n_16157;
+   wire n_16158;
+   wire n_16159;
+   wire n_16160;
+   wire n_16161;
+   wire n_16162;
+   wire n_16163;
+   wire n_16165;
+   wire n_16166;
+   wire n_16167;
+   wire n_16168;
+   wire n_16169;
+   wire n_16170;
+   wire n_16171;
+   wire n_16172;
+   wire n_16173;
+   wire n_16174;
+   wire n_16175;
+   wire n_16176;
+   wire n_16177;
+   wire n_16178;
+   wire n_16179;
+   wire n_16180;
+   wire n_16181;
+   wire n_16182;
+   wire n_16183;
+   wire n_16184;
+   wire n_16185;
+   wire n_16186;
+   wire n_16187;
+   wire n_16188;
+   wire n_16189;
+   wire n_16190;
+   wire n_16191;
+   wire n_16192;
+   wire n_16193;
+   wire n_16194;
+   wire n_16195;
+   wire n_16196;
+   wire n_16197;
+   wire n_16198;
+   wire n_16199;
+   wire n_16200;
+   wire n_16201;
+   wire n_16202;
+   wire n_16203;
+   wire n_16204;
+   wire n_16205;
+   wire n_16206;
+   wire n_16207;
+   wire n_16208;
+   wire n_16209;
+   wire n_16210;
+   wire n_16220;
+   wire n_16235;
+   wire n_16242;
+   wire n_16250;
+   wire n_16252;
+   wire n_16258;
+   wire n_16262;
+   wire n_16263;
+   wire n_16265;
+   wire n_16266;
+   wire n_16269;
+   wire n_16276;
+   wire n_16282;
+   wire n_16287;
+   wire n_16294;
+   wire n_16295;
+   wire n_16296;
+   wire n_16298;
+   wire n_16299;
+   wire n_16301;
+   wire n_16302;
+   wire n_16303;
+   wire n_16304;
+   wire n_16309;
+   wire n_16310;
+   wire n_16311;
+   wire n_16312;
+   wire n_16313;
+   wire n_16314;
+   wire n_16315;
+   wire n_16316;
+   wire n_16317;
+   wire n_16318;
+   wire n_16319;
+   wire n_16320;
+   wire n_16321;
+   wire n_16322;
+   wire n_16323;
+   wire n_16324;
+   wire n_16325;
+   wire n_16326;
+   wire n_16327;
+   wire n_16328;
+   wire n_16329;
+   wire n_16330;
+   wire n_16331;
+   wire n_16332;
+   wire n_16333;
+   wire n_16334;
+   wire n_16335;
+   wire n_16336;
+   wire n_16337;
+   wire n_16338;
+   wire n_16339;
+   wire n_16340;
+   wire n_16342;
+   wire n_16343;
+   wire n_16344;
+   wire n_16345;
+   wire n_16346;
+   wire n_16347;
+   wire n_16348;
+   wire n_16349;
+   wire n_16350;
+   wire n_16351;
+   wire n_16352;
+   wire n_16353;
+   wire n_16354;
+   wire n_16355;
+   wire n_16356;
+   wire n_16357;
+   wire n_16358;
+   wire n_16359;
+   wire n_16360;
+   wire n_16361;
+   wire n_16362;
+   wire n_16363;
+   wire n_16364;
+   wire n_16365;
+   wire n_16366;
+   wire n_16367;
+   wire n_16368;
+   wire n_16369;
+   wire n_16370;
+   wire n_16371;
+   wire n_16372;
+   wire n_16373;
+   wire n_16374;
+   wire n_16375;
+   wire n_16376;
+   wire n_16377;
+   wire n_16378;
+   wire n_16379;
+   wire n_16380;
+   wire n_16381;
+   wire n_16382;
+   wire n_16383;
+   wire n_16384;
+   wire n_16385;
+   wire n_16387;
+   wire n_16388;
+   wire n_16389;
+   wire n_16415;
+   wire n_16424;
+   wire n_16426;
+   wire n_16427;
+   wire n_16428;
+   wire n_16429;
+   wire n_16430;
+   wire n_16431;
+   wire n_16435;
+   wire n_16436;
+   wire n_16442;
+   wire n_16443;
+   wire n_16444;
+   wire n_16445;
+   wire n_16446;
+   wire n_16447;
+   wire n_16448;
+   wire n_16449;
+   wire n_16450;
+   wire n_16451;
+   wire n_16453;
+   wire n_16454;
+   wire n_16455;
+   wire n_16456;
+   wire n_16457;
+   wire n_16458;
+   wire n_16459;
+   wire n_16460;
+   wire n_16461;
+   wire n_16462;
+   wire n_16463;
+   wire n_16464;
+   wire n_16465;
+   wire n_16466;
+   wire n_16467;
+   wire n_16468;
+   wire n_16469;
+   wire n_16470;
+   wire n_16471;
+   wire n_16472;
+   wire n_16473;
+   wire n_16474;
+   wire n_16475;
+   wire n_16476;
+   wire n_16477;
+   wire n_16478;
+   wire n_16479;
+   wire n_16480;
+   wire n_16481;
+   wire n_16483;
+   wire n_16484;
+   wire n_16485;
+   wire n_16488;
+   wire n_16489;
+   wire n_16504;
+   wire n_16508;
+   wire n_16510;
+   wire n_16511;
+   wire n_16512;
+   wire n_16517;
+   wire n_16518;
+   wire n_16541;
+   wire n_16545;
+   wire n_16550;
+   wire n_16551;
+   wire n_16552;
+   wire n_16555;
+   wire n_16556;
+   wire n_16559;
+   wire n_16561;
+   wire n_16562;
+   wire n_16563;
+   wire n_16564;
+   wire n_16566;
+   wire n_16567;
+   wire n_16578;
+   wire n_16582;
+   wire n_16583;
+   wire n_16584;
+   wire n_16585;
+   wire n_16593;
+   wire n_16594;
+   wire n_16595;
+   wire n_16596;
+   wire n_16597;
+   wire n_16598;
+   wire n_16599;
+   wire n_16600;
+   wire n_16601;
+   wire n_16602;
+   wire n_16603;
+   wire n_16604;
+   wire n_16605;
+   wire n_16606;
+   wire n_16607;
+   wire n_16608;
+   wire n_16609;
+   wire n_16610;
+   wire n_16611;
+   wire n_16613;
+   wire n_16616;
+   wire n_16619;
+   wire n_16621;
+   wire n_16623;
+   wire n_16627;
+   wire n_16628;
+   wire n_16629;
+   wire n_16631;
+   wire n_16632;
+   wire n_16633;
+   wire n_16635;
+   wire n_16636;
+   wire n_16638;
+   wire n_16640;
+   wire n_16641;
+   wire n_16642;
+   wire n_16643;
+   wire n_16644;
+   wire n_16645;
+   wire n_16646;
+   wire n_16647;
+   wire n_16648;
+   wire n_16652;
+   wire n_16662;
+   wire n_16663;
+   wire n_16665;
+   wire n_16666;
+   wire n_16669;
+   wire n_16670;
+   wire n_16671;
+   wire n_16672;
+   wire n_16673;
+   wire n_16674;
+   wire n_16677;
+   wire n_16678;
+   wire n_16681;
+   wire n_16685;
+   wire n_16686;
+   wire n_16687;
+   wire n_16689;
+   wire n_16692;
+   wire n_16694;
+   wire n_16695;
+   wire n_16697;
+   wire n_16699;
+   wire n_16706;
+   wire n_16712;
+   wire n_16715;
+   wire n_16716;
+   wire n_16720;
+   wire n_16721;
+   wire n_16722;
+   wire n_16723;
+   wire n_16724;
+   wire n_16725;
+   wire n_16726;
+   wire n_16727;
+   wire n_16730;
+   wire n_16731;
+   wire n_16741;
+   wire n_16744;
+   wire n_16745;
+   wire n_16746;
+   wire n_16747;
+   wire n_16748;
+   wire n_16749;
+   wire n_16750;
+   wire n_16753;
+   wire n_16755;
+   wire n_16759;
+   wire n_16780;
+   wire n_16805;
+   wire n_16807;
+   wire n_16808;
+   wire n_16809;
+   wire n_16810;
+   wire n_16811;
+   wire n_16813;
+   wire n_16814;
+   wire n_16815;
+   wire n_16816;
+   wire n_16826;
+   wire n_16827;
+   wire n_16828;
+   wire n_16832;
+   wire n_16837;
+   wire n_16841;
+   wire n_16842;
+   wire n_16847;
+   wire n_16849;
+   wire n_16851;
+   wire n_16856;
+   wire n_16857;
+   wire n_16863;
+   wire n_16864;
+   wire n_16866;
+   wire n_16867;
+   wire n_16869;
+   wire n_16870;
+   wire n_16874;
+   wire n_16876;
+   wire n_16877;
+   wire n_16878;
+   wire n_16879;
+   wire n_16882;
+   wire n_16884;
+   wire n_16886;
+   wire n_16892;
+   wire n_16896;
+   wire n_16904;
+   wire n_16910;
+   wire n_16915;
+   wire n_16916;
+   wire n_16918;
+   wire n_16919;
+   wire n_16921;
+   wire n_16926;
+   wire n_16927;
+   wire n_16931;
+   wire n_16940;
+   wire n_16946;
+   wire n_16947;
+   wire n_16948;
+   wire n_16951;
+   wire n_16952;
+   wire n_16953;
+   wire n_17045;
+   wire n_17046;
+   wire n_17049;
+   wire n_17054;
+   wire n_17059;
+   wire n_17087;
+   wire n_17103;
+   wire n_17126;
+   wire n_17133;
+   wire n_17145;
+   wire n_17151;
+   wire n_17165;
+   wire n_17183;
+   wire n_17237;
+   wire n_17270;
+   wire n_17443;
+   wire n_17444;
+   wire n_17548;
+   wire n_17561;
+   wire n_17586;
+   wire n_17619;
+   wire n_17622;
+   wire n_17623;
+   wire n_17627;
+   wire n_17637;
+   wire n_17639;
+   wire n_17678;
+   wire n_17679;
+   wire n_17700;
+   wire n_17701;
+   wire n_17703;
+   wire n_17705;
+   wire n_17707;
+   wire n_17708;
+   wire n_17712;
+   wire n_17725;
+   wire n_17726;
+   wire n_17753;
+   wire n_17758;
+   wire n_17764;
+   wire n_17788;
+   wire n_17798;
+   wire n_17799;
+   wire n_17800;
+   wire n_17801;
+   wire n_17802;
+   wire n_17804;
+   wire n_17828;
+   wire n_17841;
+   wire n_17844;
+   wire n_17845;
+   wire n_17853;
+   wire n_17854;
+   wire n_17859;
+   wire n_17860;
+   wire n_17865;
+   wire n_17876;
+   wire n_17879;
+   wire n_17890;
+   wire n_17901;
+   wire n_17907;
+   wire n_17908;
+   wire n_17918;
+   wire n_17924;
+   wire n_17927;
+   wire n_17928;
+   wire n_17931;
+   wire n_17941;
+   wire n_17950;
+   wire n_17970;
+   wire n_17978;
+   wire n_18035;
+   wire n_18036;
+   wire n_18050;
+   wire n_18081;
+   wire n_18084;
+   wire n_18087;
+   wire n_18135;
+   wire n_18146;
+   wire n_18155;
+   wire n_18197;
+   wire n_18233;
+   wire n_18237;
+   wire n_18242;
+   wire n_18245;
+   wire n_18246;
+   wire n_18249;
+   wire n_18333;
+   wire n_18341;
+   wire n_18344;
+   wire n_18353;
+   wire n_18354;
+   wire n_18361;
+   wire n_18370;
+   wire n_18384;
+   wire n_18389;
+   wire n_18428;
+   wire n_18438;
+   wire n_18459;
+   wire n_18479;
+   wire n_18490;
+   wire n_18491;
+   wire n_18510;
+   wire n_18513;
+   wire n_18520;
+   wire n_18556;
+   wire n_18557;
+   wire n_18617;
+   wire n_18631;
+   wire n_18638;
+   wire n_18653;
+   wire n_18670;
+   wire n_18671;
+   wire n_18672;
+   wire n_18712;
+   wire n_18720;
+   wire n_18723;
+   wire n_18750;
+   wire n_18757;
+   wire n_18758;
+   wire n_18760;
+   wire n_18762;
+   wire n_18764;
+   wire n_18767;
+   wire n_18770;
+   wire n_18771;
+   wire n_18772;
+   wire n_18773;
+   wire n_18774;
+   wire n_18775;
+   wire n_18776;
+   wire n_18778;
+   wire n_18779;
+   wire n_18780;
+   wire n_18781;
+   wire n_18782;
+   wire n_18783;
+   wire n_18784;
+   wire n_18785;
+   wire n_18786;
+   wire n_18787;
+   wire n_18788;
+   wire n_18789;
+   wire n_18790;
+   wire n_18791;
+   wire n_18792;
+   wire n_18793;
+   wire n_18794;
+   wire n_18795;
+   wire n_18796;
+   wire n_18797;
+   wire n_18798;
+   wire n_18799;
+   wire n_18800;
+   wire n_18801;
+   wire n_18802;
+   wire n_18803;
+   wire n_18804;
+   wire n_18805;
+   wire n_18806;
+   wire n_18807;
+   wire n_18809;
+   wire n_18810;
+   wire n_18811;
+   wire n_18812;
+   wire n_18813;
+   wire n_18814;
+   wire n_18815;
+   wire n_18816;
+   wire n_18817;
+   wire n_18818;
+   wire n_18819;
+   wire n_18820;
+   wire n_18821;
+   wire n_18822;
+   wire n_18823;
+   wire n_18824;
+   wire n_18825;
+   wire n_18826;
+   wire n_18827;
+   wire n_18828;
+   wire n_18829;
+   wire n_18830;
+   wire n_18831;
+   wire n_18833;
+   wire n_18834;
+   wire n_18835;
+   wire n_18836;
+   wire n_18837;
+   wire n_18839;
+   wire n_18841;
+   wire n_18842;
+   wire n_18844;
+   wire n_18845;
+   wire n_18850;
+   wire n_18858;
+   wire n_18867;
+   wire n_18874;
+   wire n_18900;
+   wire n_18903;
+   wire n_18906;
+   wire n_18907;
+   wire n_18912;
+   wire n_18917;
+   wire n_18918;
+   wire n_18919;
+   wire n_18920;
+   wire n_18921;
+   wire n_18922;
+   wire n_18923;
+   wire n_18924;
+   wire n_18925;
+   wire n_18926;
+   wire n_18927;
+   wire n_18928;
+   wire n_18929;
+   wire n_18930;
+   wire n_18931;
+   wire n_18932;
+   wire n_18933;
+   wire n_18934;
+   wire n_18935;
+   wire n_18936;
+   wire n_18937;
+   wire n_18938;
+   wire n_18939;
+   wire n_18940;
+   wire n_18941;
+   wire n_18942;
+   wire n_18943;
+   wire n_18944;
+   wire n_18945;
+   wire n_18946;
+   wire n_18948;
+   wire n_18949;
+   wire n_18950;
+   wire n_18951;
+   wire n_18952;
+   wire n_18953;
+   wire n_18954;
+   wire n_18955;
+   wire n_18956;
+   wire n_18957;
+   wire n_18958;
+   wire n_18959;
+   wire n_18960;
+   wire n_18961;
+   wire n_18962;
+   wire n_18963;
+   wire n_18964;
+   wire n_18965;
+   wire n_18966;
+   wire n_18967;
+   wire n_18968;
+   wire n_18969;
+   wire n_18970;
+   wire n_18971;
+   wire n_18972;
+   wire n_18973;
+   wire n_18974;
+   wire n_18975;
+   wire n_18976;
+   wire n_18977;
+   wire n_18978;
+   wire n_18979;
+   wire n_18980;
+   wire n_18981;
+   wire n_18982;
+   wire n_18983;
+   wire n_18984;
+   wire n_18985;
+   wire n_18987;
+   wire n_18988;
+   wire n_18990;
+   wire n_18994;
+   wire n_18996;
+   wire n_18997;
+   wire n_18998;
+   wire n_19001;
+   wire n_19002;
+   wire n_19003;
+   wire n_19004;
+   wire n_19005;
+   wire n_19006;
+   wire n_19007;
+   wire n_19009;
+   wire n_19010;
+   wire n_19011;
+   wire n_19012;
+   wire n_19013;
+   wire n_19014;
+   wire n_19016;
+   wire n_19017;
+   wire n_19027;
+   wire n_19029;
+   wire n_19030;
+   wire n_19031;
+   wire n_19032;
+   wire n_19033;
+   wire n_19034;
+   wire n_19039;
+   wire n_19041;
+   wire n_19043;
+   wire n_19044;
+   wire n_19050;
+   wire n_19051;
+   wire n_19094;
+   wire n_19095;
+   wire n_19096;
+   wire n_19097;
+   wire n_19103;
+   wire n_19104;
+   wire n_19105;
+   wire n_19106;
+   wire n_19107;
+   wire n_19108;
+   wire n_19109;
+   wire n_19110;
+   wire n_19111;
+   wire n_19116;
+   wire n_19120;
+   wire n_19127;
+   wire n_19129;
+   wire n_19132;
+   wire n_19133;
+   wire n_19134;
+   wire n_19135;
+   wire n_19136;
+   wire n_19137;
+   wire n_19138;
+   wire n_19139;
+   wire n_19144;
+   wire n_19145;
+   wire n_19146;
+   wire n_19148;
+   wire n_19149;
+   wire n_19152;
+   wire n_19153;
+   wire n_19154;
+   wire n_19155;
+   wire n_19157;
+   wire n_19162;
+   wire n_19163;
+   wire n_19164;
+   wire n_19165;
+   wire n_19166;
+   wire n_19167;
+   wire n_19168;
+   wire n_19171;
+   wire n_19172;
+   wire n_19176;
+   wire n_19177;
+   wire n_19178;
+   wire n_19179;
+   wire n_19180;
+   wire n_19181;
+   wire n_19182;
+   wire n_19184;
+   wire n_19186;
+   wire n_19187;
+   wire n_19195;
+   wire n_19196;
+   wire n_19198;
+   wire n_19200;
+   wire n_19201;
+   wire n_19202;
+   wire n_19207;
+   wire n_19208;
+   wire n_19215;
+   wire n_19216;
+   wire n_19221;
+   wire n_19222;
+   wire n_19225;
+   wire n_19229;
+   wire n_19232;
+   wire n_19233;
+   wire n_19234;
+   wire n_19235;
+   wire n_19236;
+   wire n_19237;
+   wire n_19238;
+   wire n_19239;
+   wire n_19241;
+   wire n_19243;
+   wire n_19244;
+   wire n_19247;
+   wire n_19248;
+   wire n_19250;
+   wire n_19252;
+   wire n_19253;
+   wire n_19254;
+   wire n_19255;
+   wire n_19256;
+   wire n_19257;
+   wire n_19258;
+   wire n_19259;
+   wire n_19260;
+   wire n_19261;
+   wire n_19262;
+   wire n_19263;
+   wire n_19264;
+   wire n_19265;
+   wire n_19266;
+   wire n_19267;
+   wire n_19268;
+   wire n_19269;
+   wire n_19270;
+   wire n_19272;
+   wire n_19273;
+   wire n_19274;
+   wire n_19275;
+   wire n_19276;
+   wire n_19277;
+   wire n_19278;
+   wire n_19279;
+   wire n_19280;
+   wire n_19281;
+   wire n_19282;
+   wire n_19283;
+   wire n_19284;
+   wire n_19285;
+   wire n_19287;
+   wire n_19288;
+   wire n_19289;
+   wire n_19290;
+   wire n_19291;
+   wire n_19292;
+   wire n_19294;
+   wire n_19329;
+   wire n_19350;
+   wire n_19358;
+   wire n_19395;
+   wire n_19400;
+   wire n_19433;
+   wire n_19434;
+   wire n_19435;
+   wire n_19462;
+   wire n_19488;
+   wire n_19489;
+   wire n_19514;
+   wire n_19515;
+   wire n_19516;
+   wire n_19542;
+   wire n_19568;
+   wire n_19569;
+   wire n_19570;
+   wire n_19572;
+   wire n_19573;
+   wire n_19608;
+   wire n_19611;
+   wire n_19612;
+   wire n_19627;
+   wire n_19628;
+   wire n_19629;
+   wire n_19631;
+   wire n_19635;
+   wire n_19636;
+   wire n_19637;
+   wire n_19639;
+   wire n_19641;
+   wire n_19642;
+   wire n_19644;
+   wire n_19645;
+   wire n_19647;
+   wire n_19649;
+   wire n_19651;
+   wire n_19653;
+   wire n_19674;
+   wire n_19675;
+   wire n_19735;
+   wire n_19758;
+   wire n_19788;
+   wire n_19789;
+   wire n_19813;
+   wire n_19816;
+   wire n_19837;
+   wire n_19871;
+   wire n_19872;
+   wire n_19873;
+   wire n_19901;
+   wire n_19928;
+   wire n_19930;
+   wire n_19964;
+   wire n_19991;
+   wire n_20021;
+   wire n_20044;
+   wire n_20045;
+   wire n_20069;
+   wire n_20097;
+   wire n_20099;
+   wire n_20153;
+   wire n_20182;
+   wire n_20207;
+   wire n_20209;
+   wire n_20273;
+   wire n_20276;
+   wire n_20298;
+   wire n_20299;
+   wire n_20300;
+   wire n_20301;
+   wire n_20304;
+   wire n_20341;
+   wire n_20366;
+   wire n_20374;
+   wire n_20396;
+   wire n_20397;
+   wire n_20398;
+   wire n_20399;
+   wire n_20401;
+   wire n_20402;
+   wire n_20403;
+   wire n_20404;
+   wire n_20408;
+   wire n_20440;
+   wire n_20441;
+   wire n_20443;
+   wire n_20462;
+   wire n_20476;
+   wire n_20480;
+   wire n_20483;
+   wire n_20492;
+   wire n_20520;
+   wire n_20521;
+   wire n_20551;
+   wire n_20552;
+   wire n_20553;
+   wire n_20625;
+   wire n_20626;
+   wire n_20627;
+   wire n_20642;
+   wire n_20650;
+   wire n_20673;
+   wire n_20708;
+   wire n_20709;
+   wire n_20711;
+   wire n_20712;
+   wire n_20713;
+   wire n_20717;
+   wire n_20720;
+   wire n_20722;
+   wire n_20723;
+   wire n_20724;
+   wire n_20725;
+   wire n_20726;
+   wire n_20727;
+   wire n_20728;
+   wire n_20729;
+   wire n_20730;
+   wire n_20731;
+   wire n_20732;
+   wire n_20733;
+   wire n_20734;
+   wire n_20735;
+   wire n_20736;
+   wire n_20737;
+   wire n_20738;
+   wire n_20739;
+   wire n_20740;
+   wire n_20741;
+   wire n_20743;
+   wire n_20744;
+   wire n_20745;
+   wire n_20746;
+   wire n_20747;
+   wire n_20748;
+   wire n_20749;
+   wire n_20750;
+   wire n_20751;
+   wire n_20752;
+   wire n_20753;
+   wire n_20754;
+   wire n_20755;
+   wire n_20756;
+   wire n_20757;
+   wire n_20762;
+   wire n_20765;
+   wire n_20767;
+   wire n_20772;
+   wire n_20773;
+   wire n_20780;
+   wire n_20782;
+   wire n_20787;
+   wire n_20788;
+   wire n_20796;
+   wire n_20798;
+   wire n_20799;
+   wire n_20800;
+   wire n_20804;
+   wire n_20805;
+   wire n_20807;
+   wire n_20808;
+   wire n_20809;
+   wire n_20810;
+   wire n_20831;
+   wire n_20833;
+   wire n_20834;
+   wire n_20839;
+   wire n_20841;
+   wire n_20843;
+   wire n_20848;
+   wire n_20849;
+   wire n_20851;
+   wire n_20854;
+   wire n_20855;
+   wire n_20856;
+   wire n_20862;
+   wire n_20870;
+   wire n_20871;
+   wire n_20879;
+   wire n_20880;
+   wire n_20891;
+   wire n_20893;
+   wire n_20897;
+   wire n_20899;
+   wire n_20905;
+   wire n_20906;
+   wire n_20907;
+   wire n_20908;
+   wire n_20909;
+   wire n_20910;
+   wire n_20911;
+   wire n_20912;
+   wire n_20913;
+   wire n_20914;
+   wire n_20915;
+   wire n_20916;
+   wire n_20917;
+   wire n_20918;
+   wire n_20919;
+   wire n_20920;
+   wire n_20921;
+   wire n_20922;
+   wire n_20923;
+   wire n_20924;
+   wire n_20925;
+   wire n_20926;
+   wire n_20927;
+   wire n_20928;
+   wire n_20929;
+   wire n_20930;
+   wire n_20931;
+   wire n_20932;
+   wire n_20933;
+   wire n_20934;
+   wire n_20935;
+   wire n_20936;
+   wire n_20937;
+   wire n_20938;
+   wire n_20939;
+   wire n_20940;
+   wire n_20941;
+   wire n_20942;
+   wire n_20943;
+   wire n_20944;
+   wire n_20945;
+   wire n_20946;
+   wire n_20947;
+   wire n_20948;
+   wire n_20949;
+   wire n_20950;
+   wire n_20951;
+   wire n_20952;
+   wire n_20953;
+   wire n_20954;
+   wire n_20955;
+   wire n_20956;
+   wire n_20957;
+   wire n_20958;
+   wire n_20959;
+   wire n_20960;
+   wire n_20961;
+   wire n_20962;
+   wire n_20963;
+   wire n_20964;
+   wire n_20965;
+   wire n_20966;
+   wire n_20967;
+   wire n_20968;
+   wire n_20969;
+   wire n_20970;
+   wire n_20971;
+   wire n_20972;
+   wire n_20973;
+   wire n_20974;
+   wire n_20975;
+   wire n_20976;
+   wire n_20977;
+   wire n_20978;
+   wire n_20979;
+   wire n_20980;
+   wire n_20981;
+   wire n_20982;
+   wire n_20983;
+   wire n_20984;
+   wire n_20985;
+   wire n_20986;
+   wire n_20987;
+   wire n_20988;
+   wire n_20989;
+   wire n_20990;
+   wire n_20991;
+   wire n_20992;
+   wire n_20993;
+   wire n_20994;
+   wire n_20995;
+   wire n_20996;
+   wire n_20997;
+   wire n_20998;
+   wire n_20999;
+   wire n_21000;
+   wire n_21001;
+   wire n_21002;
+   wire n_21003;
+   wire n_21004;
+   wire n_21005;
+   wire n_21006;
+   wire n_21007;
+   wire n_21008;
+   wire n_21009;
+   wire n_21010;
+   wire n_21011;
+   wire n_21012;
+   wire n_21013;
+   wire n_21014;
+   wire n_21015;
+   wire n_21016;
+   wire n_21017;
+   wire n_21018;
+   wire n_21019;
+   wire n_21020;
+   wire n_21021;
+   wire n_21022;
+   wire n_21023;
+   wire n_21024;
+   wire n_21025;
+   wire n_21026;
+   wire n_21027;
+   wire n_21028;
+   wire n_21029;
+   wire n_21030;
+   wire n_21031;
+   wire n_21032;
+   wire n_21033;
+   wire n_21034;
+   wire n_21035;
+   wire n_21036;
+   wire n_21037;
+   wire n_21038;
+   wire n_21039;
+   wire n_21041;
+   wire n_21042;
+   wire n_21043;
+   wire n_21044;
+   wire n_21045;
+   wire n_21046;
+   wire n_21047;
+   wire n_21048;
+   wire n_21049;
+   wire n_21050;
+   wire n_21051;
+   wire n_21052;
+   wire n_21053;
+   wire n_21054;
+   wire n_21055;
+   wire n_21056;
+   wire n_21057;
+   wire n_21058;
+   wire n_21059;
+   wire n_21060;
+   wire n_21061;
+   wire n_21062;
+   wire n_21063;
+   wire n_21064;
+   wire n_21065;
+   wire n_21066;
+   wire n_21067;
+   wire n_21068;
+   wire n_21069;
+   wire n_21070;
+   wire n_21071;
+   wire n_21072;
+   wire n_21073;
+   wire n_21074;
+   wire n_21075;
+   wire n_21076;
+   wire n_21077;
+   wire n_21078;
+   wire n_21079;
+   wire n_21080;
+   wire n_21081;
+   wire n_21082;
+   wire n_21083;
+   wire n_21084;
+   wire n_21085;
+   wire n_21086;
+   wire n_21087;
+   wire n_21088;
+   wire n_21089;
+   wire n_21090;
+   wire n_21091;
+   wire n_21092;
+   wire n_21093;
+   wire n_21094;
+   wire n_21095;
+   wire n_21096;
+   wire n_21097;
+   wire n_21099;
+   wire n_21100;
+   wire n_21101;
+   wire n_21102;
+   wire n_21103;
+   wire n_21104;
+   wire n_21105;
+   wire n_21106;
+   wire n_21107;
+   wire n_21108;
+   wire n_21109;
+   wire n_21110;
+   wire n_21111;
+   wire n_21112;
+   wire n_21113;
+   wire n_21114;
+   wire n_21115;
+   wire n_21116;
+   wire n_21117;
+   wire n_21118;
+   wire n_21119;
+   wire n_21120;
+   wire n_21121;
+   wire n_21122;
+   wire n_21123;
+   wire n_21125;
+   wire n_21126;
+   wire n_21127;
+   wire n_21128;
+   wire n_21130;
+   wire n_21131;
+   wire n_21132;
+   wire n_21133;
+   wire n_21134;
+   wire n_21135;
+   wire n_21136;
+   wire n_21137;
+   wire n_21138;
+   wire n_21139;
+   wire n_21140;
+   wire n_21141;
+   wire n_21143;
+   wire n_21144;
+   wire n_21145;
+   wire n_21146;
+   wire n_21147;
+   wire n_21149;
+   wire n_21150;
+   wire n_21151;
+   wire n_21152;
+   wire n_21153;
+   wire n_21154;
+   wire n_21155;
+   wire n_21157;
+   wire n_21159;
+   wire n_21162;
+   wire n_21163;
+   wire n_21165;
+   wire n_21166;
+   wire n_21167;
+   wire n_21168;
+   wire n_21169;
+   wire n_21170;
+   wire n_21171;
+   wire n_21172;
+   wire n_21174;
+   wire n_21175;
+   wire n_21176;
+   wire n_21177;
+   wire n_21178;
+   wire n_21179;
+   wire n_21180;
+   wire n_21181;
+   wire n_21182;
+   wire n_21183;
+   wire n_21184;
+   wire n_21185;
+   wire n_21187;
+   wire n_21188;
+   wire n_21190;
+   wire n_21191;
+   wire n_21192;
+   wire n_21193;
+   wire n_21194;
+   wire n_21195;
+   wire n_21196;
+   wire n_21197;
+   wire n_21198;
+   wire n_21199;
+   wire n_21200;
+   wire n_21202;
+   wire n_21204;
+   wire n_21205;
+   wire n_21206;
+   wire n_21207;
+   wire n_21208;
+   wire n_21209;
+   wire n_21210;
+   wire n_21212;
+   wire n_21213;
+   wire n_21214;
+   wire n_21215;
+   wire n_21216;
+   wire n_21217;
+   wire n_21218;
+   wire n_21219;
+   wire n_21220;
+   wire n_21221;
+   wire n_21222;
+   wire n_21223;
+   wire n_21224;
+   wire n_21225;
+   wire n_21226;
+   wire n_21227;
+   wire n_21228;
+   wire n_21229;
+   wire n_21230;
+   wire n_21231;
+   wire n_21232;
+   wire n_21233;
+   wire n_21234;
+   wire n_21235;
+   wire n_21236;
+   wire n_21237;
+   wire n_21238;
+   wire n_21239;
+   wire n_21240;
+   wire n_21241;
+   wire n_21242;
+   wire n_21244;
+   wire n_21246;
+   wire n_21247;
+   wire n_21249;
+   wire n_21250;
+   wire n_21251;
+   wire n_21252;
+   wire n_21253;
+   wire n_21254;
+   wire n_21255;
+   wire n_21256;
+   wire n_21257;
+   wire n_21258;
+   wire n_21259;
+   wire n_21260;
+   wire n_21262;
+   wire n_21263;
+   wire n_21264;
+   wire n_21265;
+   wire n_21267;
+   wire n_21268;
+   wire n_21269;
+   wire n_21270;
+   wire n_21271;
+   wire n_21273;
+   wire n_21274;
+   wire n_21275;
+   wire n_21277;
+   wire n_21278;
+   wire n_21279;
+   wire n_21280;
+   wire n_21281;
+   wire n_21283;
+   wire n_21285;
+   wire n_21287;
+   wire n_21289;
+   wire n_21290;
+   wire n_21291;
+   wire n_21293;
+   wire n_21294;
+   wire n_21296;
+   wire n_21297;
+   wire n_21298;
+   wire n_21299;
+   wire n_21300;
+   wire n_21301;
+   wire n_21302;
+   wire n_21303;
+   wire n_21304;
+   wire n_21306;
+   wire n_21307;
+   wire n_21309;
+   wire n_21310;
+   wire n_21311;
+   wire n_21312;
+   wire n_21313;
+   wire n_21315;
+   wire n_21316;
+   wire n_21317;
+   wire n_21318;
+   wire n_21320;
+   wire n_21321;
+   wire n_21322;
+   wire n_21323;
+   wire n_21324;
+   wire n_21325;
+   wire n_21326;
+   wire n_21327;
+   wire n_21328;
+   wire n_21329;
+   wire n_21330;
+   wire n_21331;
+   wire n_21332;
+   wire n_21333;
+   wire n_21334;
+   wire n_21335;
+   wire n_21336;
+   wire n_21338;
+   wire n_21339;
+   wire n_21341;
+   wire n_21342;
+   wire n_21343;
+   wire n_21344;
+   wire n_21345;
+   wire n_21346;
+   wire n_21349;
+   wire n_21350;
+   wire n_21351;
+   wire n_21354;
+   wire n_21355;
+   wire n_21357;
+   wire n_21358;
+   wire n_21359;
+   wire n_21361;
+   wire n_21362;
+   wire n_21363;
+   wire n_21364;
+   wire n_21365;
+   wire n_21367;
+   wire n_21368;
+   wire n_21369;
+   wire n_21370;
+   wire n_21371;
+   wire n_21372;
+   wire n_21373;
+   wire n_21375;
+   wire n_21376;
+   wire n_21377;
+   wire n_21378;
+   wire n_21380;
+   wire n_21381;
+   wire n_21382;
+   wire n_21383;
+   wire n_21384;
+   wire n_21386;
+   wire n_21388;
+   wire n_21389;
+   wire n_21391;
+   wire n_21392;
+   wire n_21393;
+   wire n_21395;
+   wire n_21396;
+   wire n_21397;
+   wire n_21399;
+   wire n_21400;
+   wire n_21401;
+   wire n_21402;
+   wire n_21403;
+   wire n_21404;
+   wire n_21405;
+   wire n_21406;
+   wire n_21408;
+   wire n_21410;
+   wire n_21411;
+   wire n_21415;
+   wire n_21416;
+   wire n_21417;
+   wire n_21418;
+   wire n_21419;
+   wire n_21420;
+   wire n_21421;
+   wire n_21422;
+   wire n_21423;
+   wire n_21424;
+   wire n_21425;
+   wire n_21426;
+   wire n_21428;
+   wire n_21429;
+   wire n_21430;
+   wire n_21431;
+   wire n_21432;
+   wire n_21433;
+   wire n_21435;
+   wire n_21436;
+   wire n_21437;
+   wire n_21438;
+   wire n_21439;
+   wire n_21440;
+   wire n_21441;
+   wire n_21442;
+   wire n_21443;
+   wire n_21444;
+   wire n_21445;
+   wire n_21447;
+   wire n_21448;
+   wire n_21449;
+   wire n_21450;
+   wire n_21451;
+   wire n_21452;
+   wire n_21453;
+   wire n_21454;
+   wire n_21455;
+   wire n_21456;
+   wire n_21458;
+   wire n_21459;
+   wire n_21460;
+   wire n_21461;
+   wire n_21462;
+   wire n_21465;
+   wire n_21467;
+   wire n_21469;
+   wire n_21470;
+   wire n_21471;
+   wire n_21472;
+   wire n_21473;
+   wire n_21474;
+   wire n_21475;
+   wire n_21476;
+   wire n_21477;
+   wire n_21478;
+   wire n_21479;
+   wire n_21480;
+   wire n_21482;
+   wire n_21483;
+   wire n_21484;
+   wire n_21485;
+   wire n_21486;
+   wire n_21487;
+   wire n_21488;
+   wire n_21489;
+   wire n_21491;
+   wire n_21492;
+   wire n_21493;
+   wire n_21494;
+   wire n_21495;
+   wire n_21496;
+   wire n_21497;
+   wire n_21498;
+   wire n_21499;
+   wire n_21500;
+   wire n_21501;
+   wire n_21502;
+   wire n_21503;
+   wire n_21504;
+   wire n_21505;
+   wire n_21506;
+   wire n_21507;
+   wire n_21508;
+   wire n_21509;
+   wire n_21510;
+   wire n_21511;
+   wire n_21513;
+   wire n_21514;
+   wire n_21515;
+   wire n_21516;
+   wire n_21517;
+   wire n_21518;
+   wire n_21520;
+   wire n_21521;
+   wire n_21522;
+   wire n_21523;
+   wire n_21524;
+   wire n_21525;
+   wire n_21526;
+   wire n_21528;
+   wire n_21529;
+   wire n_21530;
+   wire n_21531;
+   wire n_21532;
+   wire n_21533;
+   wire n_21534;
+   wire n_21535;
+   wire n_21536;
+   wire n_21537;
+   wire n_21538;
+   wire n_21539;
+   wire n_21540;
+   wire n_21541;
+   wire n_21542;
+   wire n_21543;
+   wire n_21544;
+   wire n_21546;
+   wire n_21547;
+   wire n_21548;
+   wire n_21549;
+   wire n_21550;
+   wire n_21551;
+   wire n_21552;
+   wire n_21553;
+   wire n_21554;
+   wire n_21555;
+   wire n_21556;
+   wire n_21557;
+   wire n_21558;
+   wire n_21559;
+   wire n_21560;
+   wire n_21561;
+   wire n_21562;
+   wire n_21563;
+   wire n_21564;
+   wire n_21565;
+   wire n_21566;
+   wire n_21567;
+   wire n_21568;
+   wire n_21569;
+   wire n_21570;
+   wire n_21571;
+   wire n_21572;
+   wire n_21573;
+   wire n_21574;
+   wire n_21575;
+   wire n_21576;
+   wire n_21577;
+   wire n_21578;
+   wire n_21579;
+   wire n_21580;
+   wire n_21581;
+   wire n_21582;
+   wire n_21583;
+   wire n_21584;
+   wire n_21585;
+   wire n_21586;
+   wire n_21588;
+   wire n_21589;
+   wire n_21590;
+   wire n_21591;
+   wire n_21592;
+   wire n_21593;
+   wire n_21594;
+   wire n_21595;
+   wire n_21596;
+   wire n_21597;
+   wire n_21598;
+   wire n_21599;
+   wire n_21600;
+   wire n_21601;
+   wire n_21602;
+   wire n_21603;
+   wire n_21604;
+   wire n_21605;
+   wire n_21606;
+   wire n_21607;
+   wire n_21608;
+   wire n_21609;
+   wire n_21610;
+   wire n_21611;
+   wire n_21612;
+   wire n_21613;
+   wire n_21614;
+   wire n_21615;
+   wire n_21616;
+   wire n_21618;
+   wire n_21619;
+   wire n_21620;
+   wire n_21621;
+   wire n_21623;
+   wire n_21624;
+   wire n_21626;
+   wire n_21627;
+   wire n_21628;
+   wire n_21629;
+   wire n_21630;
+   wire n_21631;
+   wire n_21632;
+   wire n_21633;
+   wire n_21634;
+   wire n_21635;
+   wire n_21636;
+   wire n_21637;
+   wire n_21638;
+   wire n_21639;
+   wire n_21640;
+   wire n_21641;
+   wire n_21642;
+   wire n_21643;
+   wire n_21644;
+   wire n_21645;
+   wire n_21646;
+   wire n_21647;
+   wire n_21648;
+   wire n_21649;
+   wire n_21650;
+   wire n_21651;
+   wire n_21652;
+   wire n_21653;
+   wire n_21654;
+   wire n_21655;
+   wire n_21656;
+   wire n_21657;
+   wire n_21658;
+   wire n_21659;
+   wire n_21660;
+   wire n_21661;
+   wire n_21662;
+   wire n_21663;
+   wire n_21664;
+   wire n_21665;
+   wire n_21666;
+   wire n_21667;
+   wire n_21668;
+   wire n_21670;
+   wire n_21671;
+   wire n_21672;
+   wire n_21673;
+   wire n_21674;
+   wire n_21676;
+   wire n_21677;
+   wire n_21678;
+   wire n_21679;
+   wire n_21680;
+   wire n_21681;
+   wire n_21682;
+   wire n_21683;
+   wire n_21684;
+   wire n_21685;
+   wire n_21686;
+   wire n_21687;
+   wire n_21688;
+   wire n_21689;
+   wire n_21690;
+   wire n_21691;
+   wire n_21692;
+   wire n_21693;
+   wire n_21694;
+   wire n_21695;
+   wire n_21696;
+   wire n_21697;
+   wire n_21698;
+   wire n_21699;
+   wire n_21700;
+   wire n_21701;
+   wire n_21702;
+   wire n_21703;
+   wire n_21705;
+   wire n_21706;
+   wire n_21707;
+   wire n_21708;
+   wire n_21709;
+   wire n_21710;
+   wire n_21711;
+   wire n_21712;
+   wire n_21713;
+   wire n_21714;
+   wire n_21715;
+   wire n_21716;
+   wire n_21717;
+   wire n_21718;
+   wire n_21721;
+   wire n_21723;
+   wire n_21724;
+   wire n_21726;
+   wire n_21727;
+   wire n_21728;
+   wire n_21729;
+   wire n_21731;
+   wire n_21732;
+   wire n_21733;
+   wire n_21734;
+   wire n_21735;
+   wire n_21736;
+   wire n_21737;
+   wire n_21739;
+   wire n_21740;
+   wire n_21741;
+   wire n_21742;
+   wire n_21743;
+   wire n_21744;
+   wire n_21745;
+   wire n_21746;
+   wire n_21747;
+   wire n_21748;
+   wire n_21749;
+   wire n_21750;
+   wire n_21751;
+   wire n_21752;
+   wire n_21753;
+   wire n_21754;
+   wire n_21755;
+   wire n_21756;
+   wire n_21757;
+   wire n_21758;
+   wire n_21759;
+   wire n_21760;
+   wire n_21763;
+   wire n_21764;
+   wire n_21765;
+   wire n_21767;
+   wire n_21768;
+   wire n_21769;
+   wire n_21771;
+   wire n_21772;
+   wire n_21773;
+   wire n_21774;
+   wire n_21775;
+   wire n_21776;
+   wire n_21777;
+   wire n_21778;
+   wire n_21779;
+   wire n_21780;
+   wire n_21782;
+   wire n_21783;
+   wire n_21784;
+   wire n_21785;
+   wire n_21786;
+   wire n_21787;
+   wire n_21788;
+   wire n_21789;
+   wire n_21790;
+   wire n_21791;
+   wire n_21792;
+   wire n_21793;
+   wire n_21794;
+   wire n_21795;
+   wire n_21796;
+   wire n_21797;
+   wire n_21798;
+   wire n_21799;
+   wire n_21800;
+   wire n_21801;
+   wire n_21802;
+   wire n_21803;
+   wire n_21805;
+   wire n_21807;
+   wire n_21808;
+   wire n_21810;
+   wire n_21811;
+   wire n_21812;
+   wire n_21813;
+   wire n_21814;
+   wire n_21815;
+   wire n_21816;
+   wire n_21818;
+   wire n_21819;
+   wire n_21820;
+   wire n_21821;
+   wire n_21822;
+   wire n_21823;
+   wire n_21824;
+   wire n_21826;
+   wire n_21827;
+   wire n_21828;
+   wire n_21829;
+   wire n_21830;
+   wire n_21831;
+   wire n_21832;
+   wire n_21833;
+   wire n_21834;
+   wire n_21835;
+   wire n_21836;
+   wire n_21837;
+   wire n_21838;
+   wire n_21839;
+   wire n_21840;
+   wire n_21841;
+   wire n_21842;
+   wire n_21843;
+   wire n_21844;
+   wire n_21845;
+   wire n_21846;
+   wire n_21847;
+   wire n_21848;
+   wire n_21849;
+   wire n_21850;
+   wire n_21851;
+   wire n_21852;
+   wire n_21853;
+   wire n_21854;
+   wire n_21855;
+   wire n_21856;
+   wire n_21857;
+   wire n_21859;
+   wire n_21860;
+   wire n_21861;
+   wire n_21862;
+   wire n_21863;
+   wire n_21866;
+   wire n_21867;
+   wire n_21868;
+   wire n_21869;
+   wire n_21870;
+   wire n_21871;
+   wire n_21873;
+   wire n_21875;
+   wire n_21876;
+   wire n_21878;
+   wire n_21879;
+   wire n_21880;
+   wire n_21881;
+   wire n_21882;
+   wire n_21883;
+   wire n_21884;
+   wire n_21886;
+   wire n_21887;
+   wire n_21889;
+   wire n_21890;
+   wire n_21892;
+   wire n_21894;
+   wire n_21898;
+   wire n_21899;
+   wire n_21900;
+   wire n_21901;
+   wire n_21902;
+   wire n_21903;
+   wire n_21904;
+   wire n_21905;
+   wire n_21906;
+   wire n_21907;
+   wire n_21908;
+   wire n_21909;
+   wire n_21910;
+   wire n_21911;
+   wire n_21912;
+   wire n_21913;
+   wire n_21914;
+   wire n_21915;
+   wire n_21916;
+   wire n_21917;
+   wire n_21919;
+   wire n_21920;
+   wire n_21921;
+   wire n_21923;
+   wire n_21924;
+   wire n_21925;
+   wire n_21928;
+   wire n_21929;
+   wire n_21930;
+   wire n_21931;
+   wire n_21932;
+   wire n_21933;
+   wire n_21934;
+   wire n_21935;
+   wire n_21936;
+   wire n_21937;
+   wire n_21938;
+   wire n_21939;
+   wire n_21940;
+   wire n_21941;
+   wire n_21942;
+   wire n_21943;
+   wire n_21944;
+   wire n_21945;
+   wire n_21946;
+   wire n_21947;
+   wire n_21948;
+   wire n_21950;
+   wire n_21951;
+   wire n_21952;
+   wire n_21953;
+   wire n_21954;
+   wire n_21955;
+   wire n_21956;
+   wire n_21957;
+   wire n_21958;
+   wire n_21959;
+   wire n_21960;
+   wire n_21961;
+   wire n_21962;
+   wire n_21963;
+   wire n_21964;
+   wire n_21965;
+   wire n_21966;
+   wire n_21967;
+   wire n_21968;
+   wire n_21969;
+   wire n_21970;
+   wire n_21971;
+   wire n_21972;
+   wire n_21973;
+   wire n_21974;
+   wire n_21975;
+   wire n_21976;
+   wire n_21977;
+   wire n_21978;
+   wire n_21979;
+   wire n_21980;
+   wire n_21981;
+   wire n_21982;
+   wire n_21983;
+   wire n_21984;
+   wire n_21985;
+   wire n_21986;
+   wire n_21987;
+   wire n_21988;
+   wire n_21989;
+   wire n_21990;
+   wire n_21991;
+   wire n_21992;
+   wire n_21993;
+   wire n_21994;
+   wire n_21995;
+   wire n_21996;
+   wire n_21997;
+   wire n_21999;
+   wire n_22000;
+   wire n_22001;
+   wire n_22002;
+   wire n_22003;
+   wire n_22004;
+   wire n_22005;
+   wire n_22006;
+   wire n_22007;
+   wire n_22008;
+   wire n_22010;
+   wire n_22011;
+   wire n_22014;
+   wire n_22015;
+   wire n_22016;
+   wire n_22017;
+   wire n_22018;
+   wire n_22019;
+   wire n_22020;
+   wire n_22021;
+   wire n_22022;
+   wire n_22023;
+   wire n_22024;
+   wire n_22025;
+   wire n_22026;
+   wire n_22027;
+   wire n_22028;
+   wire n_22029;
+   wire n_22030;
+   wire n_22031;
+   wire n_22032;
+   wire n_22033;
+   wire n_22034;
+   wire n_22035;
+   wire n_22036;
+   wire n_22037;
+   wire n_22038;
+   wire n_22039;
+   wire n_22040;
+   wire n_22041;
+   wire n_22042;
+   wire n_22043;
+   wire n_22044;
+   wire n_22045;
+   wire n_22046;
+   wire n_22047;
+   wire n_22048;
+   wire n_22049;
+   wire n_22050;
+   wire n_22051;
+   wire n_22052;
+   wire n_22053;
+   wire n_22054;
+   wire n_22055;
+   wire n_22056;
+   wire n_22057;
+   wire n_22058;
+   wire n_22059;
+   wire n_22060;
+   wire n_22061;
+   wire n_22062;
+   wire n_22063;
+   wire n_22064;
+   wire n_22065;
+   wire n_22066;
+   wire n_22067;
+   wire n_22068;
+   wire n_22069;
+   wire n_22070;
+   wire n_22071;
+   wire n_22072;
+   wire n_22073;
+   wire n_22074;
+   wire n_22075;
+   wire n_22076;
+   wire n_22077;
+   wire n_22078;
+   wire n_22079;
+   wire n_22080;
+   wire n_22081;
+   wire n_22082;
+   wire n_22083;
+   wire n_22084;
+   wire n_22085;
+   wire n_22086;
+   wire n_22087;
+   wire n_22088;
+   wire n_22089;
+   wire n_22090;
+   wire n_22091;
+   wire n_22092;
+   wire n_22093;
+   wire n_22094;
+   wire n_22095;
+   wire n_22096;
+   wire n_22097;
+   wire n_22098;
+   wire n_22099;
+   wire n_22100;
+   wire n_22101;
+   wire n_22102;
+   wire n_22103;
+   wire n_22104;
+   wire n_22105;
+   wire n_22106;
+   wire n_22107;
+   wire n_22108;
+   wire n_22109;
+   wire n_22110;
+   wire n_22111;
+   wire n_22112;
+   wire n_22113;
+   wire n_22114;
+   wire n_22115;
+   wire n_22116;
+   wire n_22117;
+   wire n_22118;
+   wire n_22119;
+   wire n_22120;
+   wire n_22121;
+   wire n_22122;
+   wire n_22123;
+   wire n_22124;
+   wire n_22125;
+   wire n_22126;
+   wire n_22127;
+   wire n_22128;
+   wire n_22129;
+   wire n_22130;
+   wire n_22131;
+   wire n_22132;
+   wire n_22133;
+   wire n_22134;
+   wire n_22135;
+   wire n_22136;
+   wire n_22137;
+   wire n_22138;
+   wire n_22139;
+   wire n_22140;
+   wire n_22141;
+   wire n_22142;
+   wire n_22143;
+   wire n_22144;
+   wire n_22145;
+   wire n_22146;
+   wire n_22147;
+   wire n_22148;
+   wire n_22149;
+   wire n_22150;
+   wire n_22151;
+   wire n_22152;
+   wire n_22153;
+   wire n_22154;
+   wire n_22155;
+   wire n_22156;
+   wire n_22157;
+   wire n_22158;
+   wire n_22159;
+   wire n_22160;
+   wire n_22161;
+   wire n_22162;
+   wire n_22163;
+   wire n_22164;
+   wire n_22165;
+   wire n_22166;
+   wire n_22167;
+   wire n_22168;
+   wire n_22169;
+   wire n_22170;
+   wire n_22171;
+   wire n_22172;
+   wire n_22173;
+   wire n_22174;
+   wire n_22175;
+   wire n_22176;
+   wire n_22177;
+   wire n_22178;
+   wire n_22179;
+   wire n_22180;
+   wire n_22181;
+   wire n_22182;
+   wire n_22183;
+   wire n_22185;
+   wire n_22186;
+   wire n_22187;
+   wire n_22188;
+   wire n_22189;
+   wire n_22190;
+   wire n_22191;
+   wire n_22192;
+   wire n_22193;
+   wire n_22194;
+   wire n_22195;
+   wire n_22196;
+   wire n_22197;
+   wire n_22198;
+   wire n_22199;
+   wire n_22200;
+   wire n_22201;
+   wire n_22203;
+   wire n_22204;
+   wire n_22205;
+   wire n_22206;
+   wire n_22207;
+   wire n_22208;
+   wire n_22211;
+   wire n_22214;
+   wire n_22215;
+   wire n_22216;
+   wire n_22217;
+   wire n_22218;
+   wire n_22219;
+   wire n_22221;
+   wire n_22222;
+   wire n_22223;
+   wire n_22224;
+   wire n_22225;
+   wire n_22226;
+   wire n_22227;
+   wire n_22228;
+   wire n_22229;
+   wire n_22230;
+   wire n_22232;
+   wire n_22233;
+   wire n_22234;
+   wire n_22236;
+   wire n_22237;
+   wire n_22238;
+   wire n_22239;
+   wire n_22240;
+   wire n_22241;
+   wire n_22242;
+   wire n_22243;
+   wire n_22244;
+   wire n_22245;
+   wire n_22246;
+   wire n_22248;
+   wire n_22249;
+   wire n_22250;
+   wire n_22251;
+   wire n_22252;
+   wire n_22253;
+   wire n_22255;
+   wire n_22256;
+   wire n_22257;
+   wire n_22258;
+   wire n_22259;
+   wire n_22260;
+   wire n_22261;
+   wire n_22262;
+   wire n_22263;
+   wire n_22264;
+   wire n_22266;
+   wire n_22267;
+   wire n_22268;
+   wire n_22269;
+   wire n_22270;
+   wire n_22271;
+   wire n_22272;
+   wire n_22273;
+   wire n_22274;
+   wire n_22275;
+   wire n_22276;
+   wire n_22277;
+   wire n_22279;
+   wire n_22280;
+   wire n_22282;
+   wire n_22283;
+   wire n_22284;
+   wire n_22286;
+   wire n_22287;
+   wire n_22288;
+   wire n_22289;
+   wire n_22290;
+   wire n_22291;
+   wire n_22292;
+   wire n_22293;
+   wire n_22294;
+   wire n_22295;
+   wire n_22296;
+   wire n_22297;
+   wire n_22298;
+   wire n_22299;
+   wire n_22300;
+   wire n_22301;
+   wire n_22302;
+   wire n_22303;
+   wire n_22304;
+   wire n_22305;
+   wire n_22306;
+   wire n_22307;
+   wire n_22308;
+   wire n_22309;
+   wire n_22310;
+   wire n_22311;
+   wire n_22312;
+   wire n_22313;
+   wire n_22314;
+   wire n_22315;
+   wire n_22316;
+   wire n_22317;
+   wire n_22318;
+   wire n_22319;
+   wire n_22320;
+   wire n_22321;
+   wire n_22322;
+   wire n_22323;
+   wire n_22324;
+   wire n_22325;
+   wire n_22326;
+   wire n_22327;
+   wire n_22328;
+   wire n_22329;
+   wire n_22330;
+   wire n_22331;
+   wire n_22332;
+   wire n_22333;
+   wire n_22334;
+   wire n_22335;
+   wire n_22336;
+   wire n_22337;
+   wire n_22338;
+   wire n_22339;
+   wire n_22340;
+   wire n_22341;
+   wire n_22342;
+   wire n_22343;
+   wire n_22344;
+   wire n_22345;
+   wire n_22346;
+   wire n_22347;
+   wire n_22348;
+   wire n_22349;
+   wire n_22350;
+   wire n_22351;
+   wire n_22352;
+   wire n_22353;
+   wire n_22354;
+   wire n_22355;
+   wire n_22356;
+   wire n_22357;
+   wire n_22358;
+   wire n_22359;
+   wire n_22360;
+   wire n_22361;
+   wire n_22362;
+   wire n_22363;
+   wire n_22364;
+   wire n_22365;
+   wire n_22366;
+   wire n_22367;
+   wire n_22368;
+   wire n_22369;
+   wire n_22370;
+   wire n_22371;
+   wire n_22372;
+   wire n_22373;
+   wire n_22374;
+   wire n_22375;
+   wire n_22376;
+   wire n_22377;
+   wire n_22378;
+   wire n_22379;
+   wire n_22380;
+   wire n_22381;
+   wire n_22382;
+   wire n_22383;
+   wire n_22384;
+   wire n_22385;
+   wire n_22386;
+   wire n_22387;
+   wire n_22388;
+   wire n_22389;
+   wire n_22390;
+   wire n_22391;
+   wire n_22392;
+   wire n_22393;
+   wire n_22394;
+   wire n_22395;
+   wire n_22396;
+   wire n_22397;
+   wire n_22398;
+   wire n_22399;
+   wire n_22400;
+   wire n_22401;
+   wire n_22402;
+   wire n_22403;
+   wire n_22404;
+   wire n_22405;
+   wire n_22406;
+   wire n_22407;
+   wire n_22408;
+   wire n_22409;
+   wire n_22410;
+   wire n_22411;
+   wire n_22412;
+   wire n_22413;
+   wire n_22414;
+   wire n_22415;
+   wire n_22416;
+   wire n_22417;
+   wire n_22418;
+   wire n_22419;
+   wire n_22420;
+   wire n_22421;
+   wire n_22422;
+   wire n_22423;
+   wire n_22424;
+   wire n_22425;
+   wire n_22426;
+   wire n_22427;
+   wire n_22428;
+   wire n_22429;
+   wire n_22430;
+   wire n_22431;
+   wire n_22432;
+   wire n_22434;
+   wire n_22435;
+   wire n_22436;
+   wire n_22438;
+   wire n_22439;
+   wire n_22441;
+   wire n_22442;
+   wire n_22444;
+   wire n_22445;
+   wire n_22446;
+   wire n_22447;
+   wire n_22448;
+   wire n_22450;
+   wire n_22451;
+   wire n_22452;
+   wire n_22453;
+   wire n_22454;
+   wire n_22455;
+   wire n_22456;
+   wire n_22457;
+   wire n_22458;
+   wire n_22459;
+   wire n_22460;
+   wire n_22461;
+   wire n_22462;
+   wire n_22464;
+   wire n_22465;
+   wire n_22466;
+   wire n_22467;
+   wire n_22468;
+   wire n_22469;
+   wire n_22470;
+   wire n_22471;
+   wire n_22472;
+   wire n_22473;
+   wire n_22474;
+   wire n_22475;
+   wire n_22476;
+   wire n_22477;
+   wire n_22478;
+   wire n_22479;
+   wire n_22480;
+   wire n_22481;
+   wire n_22482;
+   wire n_22483;
+   wire n_22484;
+   wire n_22485;
+   wire n_22487;
+   wire n_22488;
+   wire n_22489;
+   wire n_22490;
+   wire n_22491;
+   wire n_22492;
+   wire n_22493;
+   wire n_22494;
+   wire n_22495;
+   wire n_22496;
+   wire n_22497;
+   wire n_22498;
+   wire n_22499;
+   wire n_22500;
+   wire n_22501;
+   wire n_22502;
+   wire n_22503;
+   wire n_22504;
+   wire n_22506;
+   wire n_22507;
+   wire n_22508;
+   wire n_22509;
+   wire n_22510;
+   wire n_22511;
+   wire n_22512;
+   wire n_22515;
+   wire n_22516;
+   wire n_22519;
+   wire n_22520;
+   wire n_22521;
+   wire n_22522;
+   wire n_22525;
+   wire n_22526;
+   wire n_22528;
+   wire n_22529;
+   wire n_22532;
+   wire n_22533;
+   wire n_22534;
+   wire n_22535;
+   wire n_22537;
+   wire n_22539;
+   wire n_22541;
+   wire n_22542;
+   wire n_22543;
+   wire n_22544;
+   wire n_22546;
+   wire n_22547;
+   wire n_22548;
+   wire n_22550;
+   wire n_22551;
+   wire n_22552;
+   wire n_22553;
+   wire n_22555;
+   wire n_22556;
+   wire n_22557;
+   wire n_22558;
+   wire n_22559;
+   wire n_22560;
+   wire n_22561;
+   wire n_22562;
+   wire n_22563;
+   wire n_22564;
+   wire n_22565;
+   wire n_22567;
+   wire n_22568;
+   wire n_22569;
+   wire n_22570;
+   wire n_22571;
+   wire n_22572;
+   wire n_22573;
+   wire n_22575;
+   wire n_22576;
+   wire n_22577;
+   wire n_22578;
+   wire n_22579;
+   wire n_22583;
+   wire n_22584;
+   wire n_22588;
+   wire n_22589;
+   wire n_22590;
+   wire n_22591;
+   wire n_22592;
+   wire n_22593;
+   wire n_22595;
+   wire n_22596;
+   wire n_22597;
+   wire n_22598;
+   wire n_22600;
+   wire n_22601;
+   wire n_22603;
+   wire n_22606;
+   wire n_22608;
+   wire n_22609;
+   wire n_22610;
+   wire n_22611;
+   wire n_22612;
+   wire n_22613;
+   wire n_22614;
+   wire n_22615;
+   wire n_22616;
+   wire n_22620;
+   wire n_22622;
+   wire n_22623;
+   wire n_22624;
+   wire n_22625;
+   wire n_22626;
+   wire n_22627;
+   wire n_22628;
+   wire n_22629;
+   wire n_22630;
+   wire n_22631;
+   wire n_22632;
+   wire n_22633;
+   wire n_22634;
+   wire n_22636;
+   wire n_22637;
+   wire n_22638;
+   wire n_22639;
+   wire n_22640;
+   wire n_22642;
+   wire n_22643;
+   wire n_22644;
+   wire n_22645;
+   wire n_22646;
+   wire n_22647;
+   wire n_22648;
+   wire n_22649;
+   wire n_22650;
+   wire n_22651;
+   wire n_22652;
+   wire n_22653;
+   wire n_22654;
+   wire n_22655;
+   wire n_22656;
+   wire n_22657;
+   wire n_22658;
+   wire n_22659;
+   wire n_22660;
+   wire n_22661;
+   wire n_22662;
+   wire n_22664;
+   wire n_22665;
+   wire n_22666;
+   wire n_22667;
+   wire n_22668;
+   wire n_22669;
+   wire n_22670;
+   wire n_22671;
+   wire n_22672;
+   wire n_22673;
+   wire n_22674;
+   wire n_22675;
+   wire n_22676;
+   wire n_22677;
+   wire n_22678;
+   wire n_22679;
+   wire n_22680;
+   wire n_22681;
+   wire n_22682;
+   wire n_22683;
+   wire n_22684;
+   wire n_22685;
+   wire n_22686;
+   wire n_22687;
+   wire n_22688;
+   wire n_22689;
+   wire n_22690;
+   wire n_22691;
+   wire n_22692;
+   wire n_22693;
+   wire n_22694;
+   wire n_22695;
+   wire n_22696;
+   wire n_22697;
+   wire n_22698;
+   wire n_22699;
+   wire n_22700;
+   wire n_22701;
+   wire n_22702;
+   wire n_22703;
+   wire n_22704;
+   wire n_22705;
+   wire n_22706;
+   wire n_22707;
+   wire n_22708;
+   wire n_22709;
+   wire n_22710;
+   wire n_22711;
+   wire n_22712;
+   wire n_22713;
+   wire n_22714;
+   wire n_22715;
+   wire n_22716;
+   wire n_22717;
+   wire n_22718;
+   wire n_22719;
+   wire n_22720;
+   wire n_22721;
+   wire n_22722;
+   wire n_22723;
+   wire n_22724;
+   wire n_22725;
+   wire n_22726;
+   wire n_22727;
+   wire n_22728;
+   wire n_22729;
+   wire n_22730;
+   wire n_22731;
+   wire n_22732;
+   wire n_22733;
+   wire n_22734;
+   wire n_22735;
+   wire n_22736;
+   wire n_22737;
+   wire n_22738;
+   wire n_22739;
+   wire n_22740;
+   wire n_22741;
+   wire n_22742;
+   wire n_22743;
+   wire n_22744;
+   wire n_22745;
+   wire n_22746;
+   wire n_22747;
+   wire n_22748;
+   wire n_22749;
+   wire n_22750;
+   wire n_22751;
+   wire n_22752;
+   wire n_22753;
+   wire n_22754;
+   wire n_22755;
+   wire n_22756;
+   wire n_22757;
+   wire n_22758;
+   wire n_22759;
+   wire n_22761;
+   wire n_22762;
+   wire n_22763;
+   wire n_22764;
+   wire n_22765;
+   wire n_22766;
+   wire n_22767;
+   wire n_22768;
+   wire n_22769;
+   wire n_22770;
+   wire n_22771;
+   wire n_22772;
+   wire n_22773;
+   wire n_22774;
+   wire n_22775;
+   wire n_22776;
+   wire n_22777;
+   wire n_22778;
+   wire n_22779;
+   wire n_22780;
+   wire n_22781;
+   wire n_22782;
+   wire n_22783;
+   wire n_22785;
+   wire n_22786;
+   wire n_22787;
+   wire n_22788;
+   wire n_22789;
+   wire n_22790;
+   wire n_22791;
+   wire n_22792;
+   wire n_22793;
+   wire n_22794;
+   wire n_22795;
+   wire n_22796;
+   wire n_22797;
+   wire n_22798;
+   wire n_22799;
+   wire n_22800;
+   wire n_22801;
+   wire n_22802;
+   wire n_22803;
+   wire n_22804;
+   wire n_22805;
+   wire n_22806;
+   wire n_22807;
+   wire n_22808;
+   wire n_22809;
+   wire n_22810;
+   wire n_22811;
+   wire n_22812;
+   wire n_22813;
+   wire n_22814;
+   wire n_22815;
+   wire n_22816;
+   wire n_22817;
+   wire n_22818;
+   wire n_22819;
+   wire n_22820;
+   wire n_22821;
+   wire n_22822;
+   wire n_22823;
+   wire n_22824;
+   wire n_22825;
+   wire n_22826;
+   wire n_22827;
+   wire n_22828;
+   wire n_22829;
+   wire n_22830;
+   wire n_22831;
+   wire n_22832;
+   wire n_22833;
+   wire n_22834;
+   wire n_22835;
+   wire n_22836;
+   wire n_22837;
+   wire n_22838;
+   wire n_22839;
+   wire n_22840;
+   wire n_22841;
+   wire n_22842;
+   wire n_22843;
+   wire n_22844;
+   wire n_22845;
+   wire n_22846;
+   wire n_22847;
+   wire n_22848;
+   wire n_22849;
+   wire n_22850;
+   wire n_22851;
+   wire n_22852;
+   wire n_22853;
+   wire n_22854;
+   wire n_22855;
+   wire n_22857;
+   wire n_22858;
+   wire n_22859;
+   wire n_22860;
+   wire n_22861;
+   wire n_22862;
+   wire n_22864;
+   wire n_22868;
+   wire n_22869;
+   wire n_22871;
+   wire n_22873;
+   wire n_22874;
+   wire n_22875;
+   wire n_22876;
+   wire n_22877;
+   wire n_22878;
+   wire n_22879;
+   wire n_22880;
+   wire n_22881;
+   wire n_22882;
+   wire n_22884;
+   wire n_22886;
+   wire n_22888;
+   wire n_22889;
+   wire n_22890;
+   wire n_22891;
+   wire n_22892;
+   wire n_22893;
+   wire n_22895;
+   wire n_22896;
+   wire n_22897;
+   wire n_22898;
+   wire n_22899;
+   wire n_22900;
+   wire n_22901;
+   wire n_22902;
+   wire n_22903;
+   wire n_22904;
+   wire n_22906;
+   wire n_22908;
+   wire n_22909;
+   wire n_22910;
+   wire n_22911;
+   wire n_22912;
+   wire n_22913;
+   wire n_22914;
+   wire n_22915;
+   wire n_22917;
+   wire n_22918;
+   wire n_22919;
+   wire n_22920;
+   wire n_22921;
+   wire n_22922;
+   wire n_22923;
+   wire n_22924;
+   wire n_22925;
+   wire n_22926;
+   wire n_22927;
+   wire n_22928;
+   wire n_22929;
+   wire n_22930;
+   wire n_22931;
+   wire n_22932;
+   wire n_22933;
+   wire n_22934;
+   wire n_22935;
+   wire n_22936;
+   wire n_22937;
+   wire n_22939;
+   wire n_22940;
+   wire n_22941;
+   wire n_22942;
+   wire n_22943;
+   wire n_22944;
+   wire n_22945;
+   wire n_22946;
+   wire n_22947;
+   wire n_22948;
+   wire n_22949;
+   wire n_22950;
+   wire n_22951;
+   wire n_22955;
+   wire n_22957;
+   wire n_22958;
+   wire n_22959;
+   wire n_22960;
+   wire n_22961;
+   wire n_22962;
+   wire n_22963;
+   wire n_22964;
+   wire n_22965;
+   wire n_22966;
+   wire n_22967;
+   wire n_22968;
+   wire n_22969;
+   wire n_22970;
+   wire n_22971;
+   wire n_22972;
+   wire n_22973;
+   wire n_22974;
+   wire n_22975;
+   wire n_22976;
+   wire n_22977;
+   wire n_22978;
+   wire n_22979;
+   wire n_22980;
+   wire n_22981;
+   wire n_22982;
+   wire n_22983;
+   wire n_22984;
+   wire n_22985;
+   wire n_22986;
+   wire n_22987;
+   wire n_22988;
+   wire n_22989;
+   wire n_22990;
+   wire n_22991;
+   wire n_22992;
+   wire n_22993;
+   wire n_22994;
+   wire n_22995;
+   wire n_22996;
+   wire n_22997;
+   wire n_22998;
+   wire n_22999;
+   wire n_23000;
+   wire n_23001;
+   wire n_23002;
+   wire n_23003;
+   wire n_23004;
+   wire n_23005;
+   wire n_23006;
+   wire n_23007;
+   wire n_23008;
+   wire n_23009;
+   wire n_23010;
+   wire n_23011;
+   wire n_23012;
+   wire n_23013;
+   wire n_23014;
+   wire n_23015;
+   wire n_23016;
+   wire n_23017;
+   wire n_23018;
+   wire n_23019;
+   wire n_23020;
+   wire n_23021;
+   wire n_23022;
+   wire n_23023;
+   wire n_23024;
+   wire n_23025;
+   wire n_23026;
+   wire n_23027;
+   wire n_23028;
+   wire n_23029;
+   wire n_23030;
+   wire n_23031;
+   wire n_23032;
+   wire n_23033;
+   wire n_23034;
+   wire n_23035;
+   wire n_23036;
+   wire n_23037;
+   wire n_23038;
+   wire n_23039;
+   wire n_23040;
+   wire n_23041;
+   wire n_23042;
+   wire n_23043;
+   wire n_23044;
+   wire n_23045;
+   wire n_23046;
+   wire n_23047;
+   wire n_23048;
+   wire n_23049;
+   wire n_23050;
+   wire n_23051;
+   wire n_23052;
+   wire n_23053;
+   wire n_23054;
+   wire n_23055;
+   wire n_23056;
+   wire n_23057;
+   wire n_23058;
+   wire n_23059;
+   wire n_23060;
+   wire n_23061;
+   wire n_23062;
+   wire n_23063;
+   wire n_23064;
+   wire n_23065;
+   wire n_23066;
+   wire n_23067;
+   wire n_23068;
+   wire n_23069;
+   wire n_23070;
+   wire n_23073;
+   wire n_23074;
+   wire n_23075;
+   wire n_23076;
+   wire n_23077;
+   wire n_23078;
+   wire n_23079;
+   wire n_23080;
+   wire n_23081;
+   wire n_23082;
+   wire n_23083;
+   wire n_23084;
+   wire n_23085;
+   wire n_23086;
+   wire n_23087;
+   wire n_23088;
+   wire n_23089;
+   wire n_23090;
+   wire n_23091;
+   wire n_23092;
+   wire n_23093;
+   wire n_23094;
+   wire n_23095;
+   wire n_23096;
+   wire n_23097;
+   wire n_23098;
+   wire n_23099;
+   wire n_23100;
+   wire n_23101;
+   wire n_23102;
+   wire n_23103;
+   wire n_23104;
+   wire n_23105;
+   wire n_23106;
+   wire n_23107;
+   wire n_23108;
+   wire n_23109;
+   wire n_23110;
+   wire n_23111;
+   wire n_23113;
+   wire n_23114;
+   wire n_23115;
+   wire n_23116;
+   wire n_23117;
+   wire n_23118;
+   wire n_23119;
+   wire n_23120;
+   wire n_23121;
+   wire n_23122;
+   wire n_23123;
+   wire n_23124;
+   wire n_23125;
+   wire n_23126;
+   wire n_23127;
+   wire n_23128;
+   wire n_23129;
+   wire n_23130;
+   wire n_23131;
+   wire n_23132;
+   wire n_23133;
+   wire n_23134;
+   wire n_23135;
+   wire n_23136;
+   wire n_23137;
+   wire n_23138;
+   wire n_23139;
+   wire n_23140;
+   wire n_23141;
+   wire n_23142;
+   wire n_23143;
+   wire n_23144;
+   wire n_23145;
+   wire n_23146;
+   wire n_23147;
+   wire n_23148;
+   wire n_23149;
+   wire n_23150;
+   wire n_23151;
+   wire n_23152;
+   wire n_23153;
+   wire n_23154;
+   wire n_23155;
+   wire n_23156;
+   wire n_23157;
+   wire n_23158;
+   wire n_23159;
+   wire n_23160;
+   wire n_23161;
+   wire n_23162;
+   wire n_23165;
+   wire n_23166;
+   wire n_23167;
+   wire n_23168;
+   wire n_23169;
+   wire n_23170;
+   wire n_23171;
+   wire n_23172;
+   wire n_23173;
+   wire n_23174;
+   wire n_23175;
+   wire n_23176;
+   wire n_23177;
+   wire n_23178;
+   wire n_23179;
+   wire n_23180;
+   wire n_23181;
+   wire n_23182;
+   wire n_23183;
+   wire n_23184;
+   wire n_23185;
+   wire n_23186;
+   wire n_23187;
+   wire n_23188;
+   wire n_23189;
+   wire n_23190;
+   wire n_23191;
+   wire n_23192;
+   wire n_23193;
+   wire n_23194;
+   wire n_23195;
+   wire n_23196;
+   wire n_23197;
+   wire n_23198;
+   wire n_23199;
+   wire n_23200;
+   wire n_23201;
+   wire n_23202;
+   wire n_23203;
+   wire n_23204;
+   wire n_23205;
+   wire n_23206;
+   wire n_23207;
+   wire n_23208;
+   wire n_23209;
+   wire n_23210;
+   wire n_23211;
+   wire n_23212;
+   wire n_23213;
+   wire n_23214;
+   wire n_23215;
+   wire n_23216;
+   wire n_23217;
+   wire n_23218;
+   wire n_23220;
+   wire n_23221;
+   wire n_23222;
+   wire n_23223;
+   wire n_23224;
+   wire n_23225;
+   wire n_23226;
+   wire n_23227;
+   wire n_23228;
+   wire n_23229;
+   wire n_23230;
+   wire n_23231;
+   wire n_23232;
+   wire n_23233;
+   wire n_23234;
+   wire n_23235;
+   wire n_23236;
+   wire n_23237;
+   wire n_23238;
+   wire n_23240;
+   wire n_23241;
+   wire n_23242;
+   wire n_23243;
+   wire n_23244;
+   wire n_23245;
+   wire n_23246;
+   wire n_23247;
+   wire n_23248;
+   wire n_23249;
+   wire n_23250;
+   wire n_23251;
+   wire n_23252;
+   wire n_23253;
+   wire n_23254;
+   wire n_23255;
+   wire n_23256;
+   wire n_23257;
+   wire n_23258;
+   wire n_23259;
+   wire n_23260;
+   wire n_23261;
+   wire n_23262;
+   wire n_23263;
+   wire n_23264;
+   wire n_23265;
+   wire n_23266;
+   wire n_23267;
+   wire n_23268;
+   wire n_23272;
+   wire n_23311;
+   wire n_23315;
+   wire n_23316;
+   wire n_23317;
+   wire n_23318;
+   wire n_23321;
+   wire n_23323;
+   wire n_23324;
+   wire n_23325;
+   wire n_23327;
+   wire n_23330;
+   wire n_23331;
+   wire n_23332;
+   wire n_23333;
+   wire n_23334;
+   wire n_23335;
+   wire n_23336;
+   wire n_23337;
+   wire n_23338;
+   wire n_23339;
+   wire n_23340;
+   wire n_23341;
+   wire n_23342;
+   wire n_23343;
+   wire n_23344;
+   wire n_23345;
+   wire n_23346;
+   wire n_23347;
+   wire n_23349;
+   wire n_23350;
+   wire n_23351;
+   wire n_23352;
+   wire n_23353;
+   wire n_23354;
+   wire n_23355;
+   wire n_23356;
+   wire n_23357;
+   wire n_23358;
+   wire n_23359;
+   wire n_23360;
+   wire n_23361;
+   wire n_23362;
+   wire n_23363;
+   wire n_23364;
+   wire n_23365;
+   wire n_23366;
+   wire n_23367;
+   wire n_23368;
+   wire n_23369;
+   wire n_23370;
+   wire n_23371;
+   wire n_23372;
+   wire n_23373;
+   wire n_23374;
+   wire n_23375;
+   wire n_23376;
+   wire n_23377;
+   wire n_23378;
+   wire n_23379;
+   wire n_23380;
+   wire n_23381;
+   wire n_23383;
+   wire n_23384;
+   wire n_23385;
+   wire n_23387;
+   wire n_23388;
+   wire n_23389;
+   wire n_23390;
+   wire n_23391;
+   wire n_23392;
+   wire n_23393;
+   wire n_23394;
+   wire n_23395;
+   wire n_23396;
+   wire n_23397;
+   wire n_23398;
+   wire n_23399;
+   wire n_23400;
+   wire n_23401;
+   wire n_23402;
+   wire n_23403;
+   wire n_23404;
+   wire n_23405;
+   wire n_23406;
+   wire n_23407;
+   wire n_23408;
+   wire n_23409;
+   wire n_23410;
+   wire n_23411;
+   wire n_23412;
+   wire n_23413;
+   wire n_23414;
+   wire n_23415;
+   wire n_23416;
+   wire n_23417;
+   wire n_23418;
+   wire n_23419;
+   wire n_23420;
+   wire n_23421;
+   wire n_23422;
+   wire n_23423;
+   wire n_23424;
+   wire n_23425;
+   wire n_23426;
+   wire n_23427;
+   wire n_23428;
+   wire n_23429;
+   wire n_23430;
+   wire n_23431;
+   wire n_23432;
+   wire n_23433;
+   wire n_23434;
+   wire n_23435;
+   wire n_23436;
+   wire n_23437;
+   wire n_23438;
+   wire n_23439;
+   wire n_23440;
+   wire n_23441;
+   wire n_23442;
+   wire n_23443;
+   wire n_23444;
+   wire n_23445;
+   wire n_23446;
+   wire n_23447;
+   wire n_23448;
+   wire n_23449;
+   wire n_23450;
+   wire n_23451;
+   wire n_23452;
+   wire n_23453;
+   wire n_23454;
+   wire n_23455;
+   wire n_23456;
+   wire n_23457;
+   wire n_23458;
+   wire n_23459;
+   wire n_23460;
+   wire n_23461;
+   wire n_23462;
+   wire n_23463;
+   wire n_23464;
+   wire n_23465;
+   wire n_23466;
+   wire n_23467;
+   wire n_23468;
+   wire n_23469;
+   wire n_23470;
+   wire n_23471;
+   wire n_23472;
+   wire n_23473;
+   wire n_23474;
+   wire n_23475;
+   wire n_23476;
+   wire n_23477;
+   wire n_23478;
+   wire n_23479;
+   wire n_23480;
+   wire n_23481;
+   wire n_23482;
+   wire n_23483;
+   wire n_23484;
+   wire n_23485;
+   wire n_23486;
+   wire n_23487;
+   wire n_23488;
+   wire n_23489;
+   wire n_23490;
+   wire n_23491;
+   wire n_23492;
+   wire n_23493;
+   wire n_23494;
+   wire n_23495;
+   wire n_23497;
+   wire n_23498;
+   wire n_23499;
+   wire n_23500;
+   wire n_23501;
+   wire n_23502;
+   wire n_23503;
+   wire n_23504;
+   wire n_23505;
+   wire n_23506;
+   wire n_23507;
+   wire n_23508;
+   wire n_23509;
+   wire n_23510;
+   wire n_23511;
+   wire n_23512;
+   wire n_23513;
+   wire n_23514;
+   wire n_23515;
+   wire n_23516;
+   wire n_23517;
+   wire n_23518;
+   wire n_23519;
+   wire n_23520;
+   wire n_23521;
+   wire n_23522;
+   wire n_23523;
+   wire n_23525;
+   wire n_23526;
+   wire n_23529;
+   wire n_23530;
+   wire n_23531;
+   wire n_23532;
+   wire n_23533;
+   wire n_23534;
+   wire n_23536;
+   wire n_23537;
+   wire n_23538;
+   wire n_23539;
+   wire n_23540;
+   wire n_23541;
+   wire n_23542;
+   wire n_23543;
+   wire n_23544;
+   wire n_23545;
+   wire n_23546;
+   wire n_23547;
+   wire n_23548;
+   wire n_23549;
+   wire n_23553;
+   wire n_23554;
+   wire n_23556;
+   wire n_23562;
+   wire n_23564;
+   wire n_23567;
+   wire n_23568;
+   wire n_23569;
+   wire n_23570;
+   wire n_23571;
+   wire n_23572;
+   wire n_23573;
+   wire n_23574;
+   wire n_23575;
+   wire n_23576;
+   wire n_23577;
+   wire n_23578;
+   wire n_23579;
+   wire n_23580;
+   wire n_23581;
+   wire n_23582;
+   wire n_23583;
+   wire n_23584;
+   wire n_23585;
+   wire n_23586;
+   wire n_23587;
+   wire n_23588;
+   wire n_23589;
+   wire n_23590;
+   wire n_23591;
+   wire n_23592;
+   wire n_23593;
+   wire n_23594;
+   wire n_23595;
+   wire n_23596;
+   wire n_23597;
+   wire n_23598;
+   wire n_23599;
+   wire n_23600;
+   wire n_23601;
+   wire n_23602;
+   wire n_23603;
+   wire n_23604;
+   wire n_23605;
+   wire n_23606;
+   wire n_23607;
+   wire n_23608;
+   wire n_23609;
+   wire n_23610;
+   wire n_23611;
+   wire n_23612;
+   wire n_23613;
+   wire n_23614;
+   wire n_23616;
+   wire n_23617;
+   wire n_23618;
+   wire n_23619;
+   wire n_23620;
+   wire n_23621;
+   wire n_23622;
+   wire n_23623;
+   wire n_23624;
+   wire n_23626;
+   wire n_23627;
+   wire n_23630;
+   wire n_23631;
+   wire n_23632;
+   wire n_23633;
+   wire n_23634;
+   wire n_23635;
+   wire n_23636;
+   wire n_23637;
+   wire n_23638;
+   wire n_23639;
+   wire n_23640;
+   wire n_23641;
+   wire n_23642;
+   wire n_23643;
+   wire n_23644;
+   wire n_23645;
+   wire n_23646;
+   wire n_23647;
+   wire n_23648;
+   wire n_23649;
+   wire n_23650;
+   wire n_23651;
+   wire n_23652;
+   wire n_23653;
+   wire n_23654;
+   wire n_23655;
+   wire n_23656;
+   wire n_23658;
+   wire n_23659;
+   wire n_23660;
+   wire n_23661;
+   wire n_23662;
+   wire n_23663;
+   wire n_23664;
+   wire n_23665;
+   wire n_23666;
+   wire n_23667;
+   wire n_23668;
+   wire n_23669;
+   wire n_23670;
+   wire n_23671;
+   wire n_23672;
+   wire n_23673;
+   wire n_23674;
+   wire n_23675;
+   wire n_23676;
+   wire n_23677;
+   wire n_23678;
+   wire n_23679;
+   wire n_23680;
+   wire n_23681;
+   wire n_23682;
+   wire n_23686;
+   wire n_23687;
+   wire n_23688;
+   wire n_23689;
+   wire n_23690;
+   wire n_23691;
+   wire n_23692;
+   wire n_23693;
+   wire n_23696;
+   wire n_23705;
+   wire n_23706;
+   wire n_23707;
+   wire n_23708;
+   wire n_23711;
+   wire n_23712;
+   wire n_23713;
+   wire n_23714;
+   wire n_23715;
+   wire n_23716;
+   wire n_23718;
+   wire n_23720;
+   wire n_23721;
+   wire n_23722;
+   wire n_23723;
+   wire n_23728;
+   wire n_23731;
+   wire n_23732;
+   wire n_23733;
+   wire n_23734;
+   wire n_23736;
+   wire n_23737;
+   wire n_23738;
+   wire n_23739;
+   wire n_23742;
+   wire n_23743;
+   wire n_23744;
+   wire n_23745;
+   wire n_23746;
+   wire n_23747;
+   wire n_23748;
+   wire n_23749;
+   wire n_23750;
+   wire n_23751;
+   wire n_23752;
+   wire n_23753;
+   wire n_23759;
+   wire n_23760;
+   wire n_23761;
+   wire n_23762;
+   wire n_23763;
+   wire n_23764;
+   wire n_23771;
+   wire n_23773;
+   wire n_23774;
+   wire n_23775;
+   wire n_23776;
+   wire n_23777;
+   wire n_23778;
+   wire n_23780;
+   wire n_23781;
+   wire n_23782;
+   wire n_23783;
+   wire n_23786;
+   wire n_23787;
+   wire n_23788;
+   wire n_23789;
+   wire n_23790;
+   wire n_23791;
+   wire n_23792;
+   wire n_23793;
+   wire n_23794;
+   wire n_23795;
+   wire n_23797;
+   wire n_23799;
+   wire n_23800;
+   wire n_23801;
+   wire n_23803;
+   wire n_23804;
+   wire n_23805;
+   wire n_23806;
+   wire n_23807;
+   wire n_23809;
+   wire n_23810;
+   wire n_23811;
+   wire n_23812;
+   wire n_23815;
+   wire n_23820;
+   wire n_23821;
+   wire n_23824;
+   wire n_23827;
+   wire n_23828;
+   wire n_23830;
+   wire n_23831;
+   wire n_23848;
+   wire n_23849;
+   wire n_23862;
+   wire n_23863;
+   wire n_23864;
+   wire n_23865;
+   wire n_23866;
+   wire n_23867;
+   wire n_23868;
+   wire n_23869;
+   wire n_23871;
+   wire n_23873;
+   wire n_23875;
+   wire n_23877;
+   wire n_23878;
+   wire n_23879;
+   wire n_23880;
+   wire n_23883;
+   wire n_23884;
+   wire n_23886;
+   wire n_23887;
+   wire n_23890;
+   wire n_23894;
+   wire n_23895;
+   wire n_23896;
+   wire n_23897;
+   wire n_23898;
+   wire n_23899;
+   wire n_23900;
+   wire n_23902;
+   wire n_23903;
+   wire n_23904;
+   wire n_23905;
+   wire n_23906;
+   wire n_23907;
+   wire n_23908;
+   wire n_23909;
+   wire n_23910;
+   wire n_23911;
+   wire n_23912;
+   wire n_23913;
+   wire n_23937;
+   wire n_23940;
+   wire n_23941;
+   wire n_23945;
+   wire n_23947;
+   wire n_23949;
+   wire n_23950;
+   wire n_23951;
+   wire n_23952;
+   wire n_23953;
+   wire n_23955;
+   wire n_23964;
+   wire n_23967;
+   wire n_23974;
+   wire n_23975;
+   wire n_23978;
+   wire n_23982;
+   wire n_23984;
+   wire n_23988;
+   wire n_23990;
+   wire n_23991;
+   wire n_24001;
+   wire n_24002;
+   wire n_24003;
+   wire n_24004;
+   wire n_24005;
+   wire n_24006;
+   wire n_24008;
+   wire n_24012;
+   wire n_24013;
+   wire n_24014;
+   wire n_24015;
+   wire n_24020;
+   wire n_24027;
+   wire n_24028;
+   wire n_24035;
+   wire n_24041;
+   wire n_24054;
+   wire n_24062;
+   wire n_24063;
+   wire n_24064;
+   wire n_24065;
+   wire n_24066;
+   wire n_24067;
+   wire n_24068;
+   wire n_24069;
+   wire n_24071;
+   wire n_24072;
+   wire n_24076;
+   wire n_24077;
+   wire n_24083;
+   wire n_24084;
+   wire n_24085;
+   wire n_24086;
+   wire n_24087;
+   wire n_24088;
+   wire n_24089;
+   wire n_24090;
+   wire n_24091;
+   wire n_24092;
+   wire n_24093;
+   wire n_24094;
+   wire n_24095;
+   wire n_24096;
+   wire n_24097;
+   wire n_24101;
+   wire n_24111;
+   wire n_24113;
+   wire n_24116;
+   wire n_24126;
+   wire n_24127;
+   wire n_24131;
+   wire n_24138;
+   wire n_24139;
+   wire n_24143;
+   wire n_24148;
+   wire n_24149;
+   wire n_24154;
+   wire n_24159;
+   wire n_24161;
+   wire n_24168;
+   wire n_24169;
+   wire n_24170;
+   wire n_24171;
+   wire n_24181;
+   wire n_24189;
+   wire n_24194;
+   wire n_24197;
+   wire n_24200;
+   wire n_24206;
+   wire n_24207;
+   wire n_24209;
+   wire n_24211;
+   wire n_24223;
+   wire n_24224;
+   wire n_24229;
+   wire n_24237;
+   wire n_24238;
+   wire n_24239;
+   wire n_24240;
+   wire n_24241;
+   wire n_24242;
+   wire n_24243;
+   wire n_24244;
+   wire n_24245;
+   wire n_24246;
+   wire n_24247;
+   wire n_24248;
+   wire n_24251;
+   wire n_24252;
+   wire n_24253;
+   wire n_24254;
+   wire n_24255;
+   wire n_24256;
+   wire n_24257;
+   wire n_24258;
+   wire n_24259;
+   wire n_24260;
+   wire n_24261;
+   wire n_24262;
+   wire n_24263;
+   wire n_24264;
+   wire n_24265;
+   wire n_24267;
+   wire n_24268;
+   wire n_24269;
+   wire n_24270;
+   wire n_24271;
+   wire n_24272;
+   wire n_24273;
+   wire n_24274;
+   wire n_24276;
+   wire n_24277;
+   wire n_24278;
+   wire n_24281;
+   wire n_24285;
+   wire n_24286;
+   wire n_24287;
+   wire n_24291;
+   wire n_24298;
+   wire n_24299;
+   wire n_24300;
+   wire n_24303;
+   wire n_24316;
+   wire n_24317;
+   wire n_24319;
+   wire n_24320;
+   wire n_24322;
+   wire n_24325;
+   wire n_24326;
+   wire n_24337;
+   wire n_24338;
+   wire n_24341;
+   wire n_24343;
+   wire n_24344;
+   wire n_24349;
+   wire n_24350;
+   wire n_24352;
+   wire n_24353;
+   wire n_24355;
+   wire n_24356;
+   wire n_24358;
+   wire n_24359;
+   wire n_24371;
+   wire n_24372;
+   wire n_24374;
+   wire n_24376;
+   wire n_24378;
+   wire n_24382;
+   wire n_24384;
+   wire n_24385;
+   wire n_24401;
+   wire n_24407;
+   wire n_24408;
+   wire n_24409;
+   wire n_24410;
+   wire n_24411;
+   wire n_24413;
+   wire n_24423;
+   wire n_24425;
+   wire n_24427;
+   wire n_24432;
+   wire n_24434;
+   wire n_24440;
+   wire n_24447;
+   wire n_24450;
+   wire n_24452;
+   wire n_24455;
+   wire n_24468;
+   wire n_24472;
+   wire n_24479;
+   wire n_24480;
+   wire n_24483;
+   wire n_24484;
+   wire n_24501;
+   wire n_24504;
+   wire n_24505;
+   wire n_24506;
+   wire n_24508;
+   wire n_24515;
+   wire n_24516;
+   wire n_24518;
+   wire n_24523;
+   wire n_24525;
+   wire n_24526;
+   wire n_24527;
+   wire n_24528;
+   wire n_24529;
+   wire n_24531;
+   wire n_24532;
+   wire n_24534;
+   wire n_24536;
+   wire n_24537;
+   wire n_24538;
+   wire n_24539;
+   wire n_24540;
+   wire n_24541;
+   wire n_24543;
+   wire n_24544;
+   wire n_24545;
+   wire n_24546;
+   wire n_24549;
+   wire n_24550;
+   wire n_24552;
+   wire n_24553;
+   wire n_24554;
+   wire n_24555;
+   wire n_24556;
+   wire n_24558;
+   wire n_24559;
+   wire n_24562;
+   wire n_24563;
+   wire n_24565;
+   wire n_24566;
+   wire n_24567;
+   wire n_24568;
+   wire n_24569;
+   wire n_24570;
+   wire n_24571;
+   wire n_24572;
+   wire n_24573;
+   wire n_24574;
+   wire n_24575;
+   wire n_24576;
+   wire n_24577;
+   wire n_24584;
+   wire n_24586;
+   wire n_24587;
+   wire n_24588;
+   wire n_24589;
+   wire n_24594;
+   wire n_24595;
+   wire n_24596;
+   wire n_24597;
+   wire n_24598;
+   wire n_24599;
+   wire n_24600;
+   wire n_24601;
+   wire n_24605;
+   wire n_24611;
+   wire n_24613;
+   wire n_24615;
+   wire n_24616;
+   wire n_24617;
+   wire n_24621;
+   wire n_24622;
+   wire n_24624;
+   wire n_24626;
+   wire n_24627;
+   wire n_24628;
+   wire n_24629;
+   wire n_24630;
+   wire n_24631;
+   wire n_24632;
+   wire n_24633;
+   wire n_24634;
+   wire n_24635;
+   wire n_24636;
+   wire n_24637;
+   wire n_24638;
+   wire n_24639;
+   wire n_24640;
+   wire n_24641;
+   wire n_24642;
+   wire n_24643;
+   wire n_24644;
+   wire n_24645;
+   wire n_24646;
+   wire n_24647;
+   wire n_24648;
+   wire n_24649;
+   wire n_24650;
+   wire n_24651;
+   wire n_24652;
+   wire n_24653;
+   wire n_24654;
+   wire n_24655;
+   wire n_24656;
+   wire n_24657;
+   wire n_24658;
+   wire n_24659;
+   wire n_24660;
+   wire n_24661;
+   wire n_24662;
+   wire n_24663;
+   wire n_24664;
+   wire n_24665;
+   wire n_24666;
+   wire n_24667;
+   wire n_24668;
+   wire n_24669;
+   wire n_24670;
+   wire n_24671;
+   wire n_24672;
+   wire n_24673;
+   wire n_24674;
+   wire n_24675;
+   wire n_24676;
+   wire n_24677;
+   wire n_24678;
+   wire n_24679;
+   wire n_24680;
+   wire n_24681;
+   wire n_24682;
+   wire n_24683;
+   wire n_24684;
+   wire n_24685;
+   wire n_24687;
+   wire n_24703;
+   wire n_24845;
+   wire n_24997;
+   wire n_24998;
+   wire n_25000;
+   wire n_25001;
+   wire n_25025;
+   wire n_25029;
+   wire n_25068;
+   wire n_25074;
+   wire n_25076;
+   wire n_25079;
+   wire n_25083;
+   wire n_25087;
+   wire n_25088;
+   wire n_25090;
+   wire n_25092;
+   wire n_25093;
+   wire n_25096;
+   wire n_25097;
+   wire n_25100;
+   wire n_25105;
+   wire n_25106;
+   wire n_25107;
+   wire n_25109;
+   wire n_25110;
+   wire n_25111;
+   wire n_25112;
+   wire n_25113;
+   wire n_25117;
+   wire n_25118;
+   wire n_25119;
+   wire n_25120;
+   wire n_25121;
+   wire n_25122;
+   wire n_25123;
+   wire n_25124;
+   wire n_25125;
+   wire n_25126;
+   wire n_25127;
+   wire n_25128;
+   wire n_25129;
+   wire n_25130;
+   wire n_25131;
+   wire n_25132;
+   wire n_25133;
+   wire n_25134;
+   wire n_25135;
+   wire n_25136;
+   wire n_25137;
+   wire n_25138;
+   wire n_25139;
+   wire n_25140;
+   wire n_25141;
+   wire n_25142;
+   wire n_25143;
+   wire n_25144;
+   wire n_25145;
+   wire n_25146;
+   wire n_25147;
+   wire n_25149;
+   wire n_25152;
+   wire n_25157;
+   wire n_25158;
+   wire n_25159;
+   wire n_25160;
+   wire n_25161;
+   wire n_25162;
+   wire n_25165;
+   wire n_25167;
+   wire n_25168;
+   wire n_25169;
+   wire n_25170;
+   wire n_25173;
+   wire n_25174;
+   wire n_25175;
+   wire n_25176;
+   wire n_25177;
+   wire n_25178;
+   wire n_25179;
+   wire n_25180;
+   wire n_25181;
+   wire n_25182;
+   wire n_25183;
+   wire n_25184;
+   wire n_25186;
+   wire n_25188;
+   wire n_25189;
+   wire n_25190;
+   wire n_25191;
+   wire n_25192;
+   wire n_25193;
+   wire n_25194;
+   wire n_25195;
+   wire n_25196;
+   wire n_25197;
+   wire n_25198;
+   wire n_25199;
+   wire n_25200;
+   wire n_25201;
+   wire n_25202;
+   wire n_25203;
+   wire n_25204;
+   wire n_25205;
+   wire n_25206;
+   wire n_25207;
+   wire n_25208;
+   wire n_25209;
+   wire n_25210;
+   wire n_25211;
+   wire n_25212;
+   wire n_25213;
+   wire n_25214;
+   wire n_25215;
+   wire n_25216;
+   wire n_25217;
+   wire n_25218;
+   wire n_25219;
+   wire n_25220;
+   wire n_25221;
+   wire n_25222;
+   wire n_25224;
+   wire n_25225;
+   wire n_25226;
+   wire n_25227;
+   wire n_25228;
+   wire n_25229;
+   wire n_25230;
+   wire n_25231;
+   wire n_25232;
+   wire n_25233;
+   wire n_25234;
+   wire n_25235;
+   wire n_25236;
+   wire n_25237;
+   wire n_25238;
+   wire n_25239;
+   wire n_25240;
+   wire n_25241;
+   wire n_25242;
+   wire n_25243;
+   wire n_25244;
+   wire n_25245;
+   wire n_25246;
+   wire n_25247;
+   wire n_25248;
+   wire n_25249;
+   wire n_25250;
+   wire n_25251;
+   wire n_25252;
+   wire n_25253;
+   wire n_25254;
+   wire n_25255;
+   wire n_25256;
+   wire n_25257;
+   wire n_25258;
+   wire n_25259;
+   wire n_25260;
+   wire n_25261;
+   wire n_25262;
+   wire n_25263;
+   wire n_25264;
+   wire n_25266;
+   wire n_25268;
+   wire n_25270;
+   wire n_25271;
+   wire n_25272;
+   wire n_25273;
+   wire n_25274;
+   wire n_25275;
+   wire n_25276;
+   wire n_25278;
+   wire n_25279;
+   wire n_25281;
+   wire n_25282;
+   wire n_25283;
+   wire n_25284;
+   wire n_25285;
+   wire n_25287;
+   wire n_25288;
+   wire n_25291;
+   wire n_25292;
+   wire n_25293;
+   wire n_25294;
+   wire n_25296;
+   wire n_25297;
+   wire n_25298;
+   wire n_25301;
+   wire n_25302;
+   wire n_25304;
+   wire n_25308;
+   wire n_25312;
+   wire n_25319;
+   wire n_25320;
+   wire n_25321;
+   wire n_25322;
+   wire n_25323;
+   wire n_25324;
+   wire n_25328;
+   wire n_25329;
+   wire n_25330;
+   wire n_25332;
+   wire n_25334;
+   wire n_25335;
+   wire n_25337;
+   wire n_25338;
+   wire n_25339;
+   wire n_25340;
+   wire n_25341;
+   wire n_25342;
+   wire n_25343;
+   wire n_25344;
+   wire n_25345;
+   wire n_25348;
+   wire n_25349;
+   wire n_25350;
+   wire n_25351;
+   wire n_25352;
+   wire n_25356;
+   wire n_25360;
+   wire n_25362;
+   wire n_25363;
+   wire n_25364;
+   wire n_25365;
+   wire n_25367;
+   wire n_25370;
+   wire n_25371;
+   wire n_25372;
+   wire n_25383;
+   wire n_25386;
+   wire n_25389;
+   wire n_25391;
+   wire n_25395;
+   wire n_25398;
+   wire n_25401;
+   wire n_25406;
+   wire n_25410;
+   wire n_25412;
+   wire n_25413;
+   wire n_25414;
+   wire n_25415;
+   wire n_25416;
+   wire n_25417;
+   wire n_25419;
+   wire n_25420;
+   wire n_25422;
+   wire n_25423;
+   wire n_25424;
+   wire n_25425;
+   wire n_25426;
+   wire n_25427;
+   wire n_25428;
+   wire n_25429;
+   wire n_25430;
+   wire n_25431;
+   wire n_25432;
+   wire n_25433;
+   wire n_25435;
+   wire n_25436;
+   wire n_25439;
+   wire n_25440;
+   wire n_25441;
+   wire n_25443;
+   wire n_25444;
+   wire n_25445;
+   wire n_25446;
+   wire n_25447;
+   wire n_25448;
+   wire n_25449;
+   wire n_25450;
+   wire n_25451;
+   wire n_25452;
+   wire n_25453;
+   wire n_25454;
+   wire n_25455;
+   wire n_25466;
+   wire n_25468;
+   wire n_25469;
+   wire n_25470;
+   wire n_25471;
+   wire n_25472;
+   wire n_25473;
+   wire n_25474;
+   wire n_25475;
+   wire n_25476;
+   wire n_25477;
+   wire n_25478;
+   wire n_25479;
+   wire n_25480;
+   wire n_25481;
+   wire n_25482;
+   wire n_25483;
+   wire n_25484;
+   wire n_25485;
+   wire n_25486;
+   wire n_25487;
+   wire n_25490;
+   wire n_25510;
+   wire n_25514;
+   wire n_25516;
+   wire n_25517;
+   wire n_25518;
+   wire n_25523;
+   wire n_25524;
+   wire n_25525;
+   wire n_25526;
+   wire n_25527;
+   wire n_25528;
+   wire n_25529;
+   wire n_25530;
+   wire n_25531;
+   wire n_25532;
+   wire n_25533;
+   wire n_25534;
+   wire n_25535;
+   wire n_25536;
+   wire n_25537;
+   wire n_25538;
+   wire n_25539;
+   wire n_25540;
+   wire n_25541;
+   wire n_25542;
+   wire n_25543;
+   wire n_25544;
+   wire n_25545;
+   wire n_25546;
+   wire n_25547;
+   wire n_25548;
+   wire n_25549;
+   wire n_25550;
+   wire n_25551;
+   wire n_25552;
+   wire n_25553;
+   wire n_25554;
+   wire n_25555;
+   wire n_25556;
+   wire n_25557;
+   wire n_25558;
+   wire n_25559;
+   wire n_25560;
+   wire n_25561;
+   wire n_25562;
+   wire n_25563;
+   wire n_25564;
+   wire n_25565;
+   wire n_25566;
+   wire n_25567;
+   wire n_25568;
+   wire n_25569;
+   wire n_25570;
+   wire n_25571;
+   wire n_25572;
+   wire n_25573;
+   wire n_25574;
+   wire n_25575;
+   wire n_25576;
+   wire n_25577;
+   wire n_25578;
+   wire n_25579;
+   wire n_25580;
+   wire n_25581;
+   wire n_25582;
+   wire n_25583;
+   wire n_25584;
+   wire n_25585;
+   wire n_25586;
+   wire n_25587;
+   wire n_25588;
+   wire n_25589;
+   wire n_25590;
+   wire n_25591;
+   wire n_25592;
+   wire n_25593;
+   wire n_25595;
+   wire n_25596;
+   wire n_25597;
+   wire n_25598;
+   wire n_25599;
+   wire n_25600;
+   wire n_25601;
+   wire n_25602;
+   wire n_25603;
+   wire n_25604;
+   wire n_25605;
+   wire n_25606;
+   wire n_25607;
+   wire n_25608;
+   wire n_25609;
+   wire n_25610;
+   wire n_25611;
+   wire n_25612;
+   wire n_25613;
+   wire n_25614;
+   wire n_25615;
+   wire n_25616;
+   wire n_25617;
+   wire n_25618;
+   wire n_25619;
+   wire n_25620;
+   wire n_25621;
+   wire n_25622;
+   wire n_25623;
+   wire n_25624;
+   wire n_25625;
+   wire n_25626;
+   wire n_25627;
+   wire n_25628;
+   wire n_25629;
+   wire n_25630;
+   wire n_25631;
+   wire n_25632;
+   wire n_25633;
+   wire n_25634;
+   wire n_25635;
+   wire n_25636;
+   wire n_25637;
+   wire n_25638;
+   wire n_25639;
+   wire n_25640;
+   wire n_25641;
+   wire n_25642;
+   wire n_25643;
+   wire n_25644;
+   wire n_25645;
+   wire n_25646;
+   wire n_25647;
+   wire n_25648;
+   wire n_25649;
+   wire n_25650;
+   wire n_25651;
+   wire n_25652;
+   wire n_25653;
+   wire n_25654;
+   wire n_25655;
+   wire n_25656;
+   wire n_25657;
+   wire n_25658;
+   wire n_25659;
+   wire n_25660;
+   wire n_25661;
+   wire n_25662;
+   wire n_25663;
+   wire n_25664;
+   wire n_25665;
+   wire n_25666;
+   wire n_25667;
+   wire n_25668;
+   wire n_25669;
+   wire n_25670;
+   wire n_25671;
+   wire n_25672;
+   wire n_25673;
+   wire n_25674;
+   wire n_25675;
+   wire n_25676;
+   wire n_25677;
+   wire n_25678;
+   wire n_25679;
+   wire n_25680;
+   wire n_25681;
+   wire n_25682;
+   wire n_25683;
+   wire n_25684;
+   wire n_25685;
+   wire n_25686;
+   wire n_25687;
+   wire n_25688;
+   wire n_25689;
+   wire n_25690;
+   wire n_25691;
+   wire n_25692;
+   wire n_25693;
+   wire n_25694;
+   wire n_25695;
+   wire n_25696;
+   wire n_25697;
+   wire n_25698;
+   wire n_25699;
+   wire n_25700;
+   wire n_25701;
+   wire n_25702;
+   wire n_25703;
+   wire n_25704;
+   wire n_25705;
+   wire n_25706;
+   wire n_25707;
+   wire n_25708;
+   wire n_25709;
+   wire n_25710;
+   wire n_25711;
+   wire n_25712;
+   wire n_25713;
+   wire n_25714;
+   wire n_25715;
+   wire n_25716;
+   wire n_25717;
+   wire n_25718;
+   wire n_25719;
+   wire n_25720;
+   wire n_25721;
+   wire n_25722;
+   wire n_25723;
+   wire n_25724;
+   wire n_25725;
+   wire n_25726;
+   wire n_25727;
+   wire n_25728;
+   wire n_25729;
+   wire n_25730;
+   wire n_25731;
+   wire n_25732;
+   wire n_25733;
+   wire n_25734;
+   wire n_25735;
+   wire n_25736;
+   wire n_25737;
+   wire n_25738;
+   wire n_25739;
+   wire n_25740;
+   wire n_25741;
+   wire n_25742;
+   wire n_25743;
+   wire n_25744;
+   wire n_25745;
+   wire n_25746;
+   wire n_25747;
+   wire n_25748;
+   wire n_25749;
+   wire n_25750;
+   wire n_25751;
+   wire n_25752;
+   wire n_25753;
+   wire n_25754;
+   wire n_25755;
+   wire n_25756;
+   wire n_25757;
+   wire n_25758;
+   wire n_25759;
+   wire n_25760;
+   wire n_25762;
+   wire n_25763;
+   wire n_25764;
+   wire n_25765;
+   wire n_25766;
+   wire n_25768;
+   wire n_25769;
+   wire n_25770;
+   wire n_25771;
+   wire n_25772;
+   wire n_25773;
+   wire n_25774;
+   wire n_25775;
+   wire n_25776;
+   wire n_25777;
+   wire n_25778;
+   wire n_25782;
+   wire n_25783;
+   wire n_25788;
+   wire n_25790;
+   wire n_25791;
+   wire n_25792;
+   wire n_25793;
+   wire n_25794;
+   wire n_25795;
+   wire n_25798;
+   wire n_25799;
+   wire n_25800;
+   wire n_25801;
+   wire n_25802;
+   wire n_25804;
+   wire n_25807;
+   wire n_25808;
+   wire n_25809;
+   wire n_25810;
+   wire n_25811;
+   wire n_25812;
+   wire n_25814;
+   wire n_25815;
+   wire n_25816;
+   wire n_25817;
+   wire n_25818;
+   wire n_25819;
+   wire n_25820;
+   wire n_25821;
+   wire n_25822;
+   wire n_25823;
+   wire n_25824;
+   wire n_25825;
+   wire n_25826;
+   wire n_25827;
+   wire n_25828;
+   wire n_25829;
+   wire n_25830;
+   wire n_25831;
+   wire n_25832;
+   wire n_25833;
+   wire n_25834;
+   wire n_25835;
+   wire n_25836;
+   wire n_25837;
+   wire n_25838;
+   wire n_25839;
+   wire n_25840;
+   wire n_25841;
+   wire n_25842;
+   wire n_25843;
+   wire n_25844;
+   wire n_25845;
+   wire n_25846;
+   wire n_25847;
+   wire n_25848;
+   wire n_25849;
+   wire n_25850;
+   wire n_25851;
+   wire n_25855;
+   wire n_25856;
+   wire n_25857;
+   wire n_25858;
+   wire n_25860;
+   wire n_25861;
+   wire n_25865;
+   wire n_25866;
+   wire n_25867;
+   wire n_25868;
+   wire n_25870;
+   wire n_25871;
+   wire n_25872;
+   wire n_25873;
+   wire n_25874;
+   wire n_25875;
+   wire n_25876;
+   wire n_25877;
+   wire n_25878;
+   wire n_25879;
+   wire n_25880;
+   wire n_25881;
+   wire n_25882;
+   wire n_25883;
+   wire n_25884;
+   wire n_25885;
+   wire n_25886;
+   wire n_25887;
+   wire n_25888;
+   wire n_25889;
+   wire n_25890;
+   wire n_25891;
+   wire n_25892;
+   wire n_25893;
+   wire n_25894;
+   wire n_25895;
+   wire n_25896;
+   wire n_25897;
+   wire n_25898;
+   wire n_25899;
+   wire n_25900;
+   wire n_25901;
+   wire n_25902;
+   wire n_25903;
+   wire n_25904;
+   wire n_25905;
+   wire n_25906;
+   wire n_25907;
+   wire n_25908;
+   wire n_25910;
+   wire n_25912;
+   wire n_25913;
+   wire n_25914;
+   wire n_25915;
+   wire n_25916;
+   wire n_25917;
+   wire n_25919;
+   wire n_25920;
+   wire n_25921;
+   wire n_25922;
+   wire n_25923;
+   wire n_25924;
+   wire n_25925;
+   wire n_25926;
+   wire n_25927;
+   wire n_25929;
+   wire n_25930;
+   wire n_25931;
+   wire n_25932;
+   wire n_25939;
+   wire n_25940;
+   wire n_25941;
+   wire n_25942;
+   wire n_25943;
+   wire n_25944;
+   wire n_25945;
+   wire n_25946;
+   wire n_25947;
+   wire n_25948;
+   wire n_25949;
+   wire n_25950;
+   wire n_25951;
+   wire n_25952;
+   wire n_25953;
+   wire n_25954;
+   wire n_25955;
+   wire n_25956;
+   wire n_25957;
+   wire n_25958;
+   wire n_25959;
+   wire n_25960;
+   wire n_25961;
+   wire n_25962;
+   wire n_25963;
+   wire n_25964;
+   wire n_25965;
+   wire n_25966;
+   wire n_25967;
+   wire n_25968;
+   wire n_25969;
+   wire n_25970;
+   wire n_25971;
+   wire n_25972;
+   wire n_25973;
+   wire n_25974;
+   wire n_25975;
+   wire n_25976;
+   wire n_25977;
+   wire n_25978;
+   wire n_25979;
+   wire n_25980;
+   wire n_25982;
+   wire n_25983;
+   wire n_25984;
+   wire n_25985;
+   wire n_25986;
+   wire n_25987;
+   wire n_25988;
+   wire n_25989;
+   wire n_25990;
+   wire n_25991;
+   wire n_25992;
+   wire n_25993;
+   wire n_25994;
+   wire n_25995;
+   wire n_25996;
+   wire n_25997;
+   wire n_25998;
+   wire n_25999;
+   wire n_26000;
+   wire n_26001;
+   wire n_26002;
+   wire n_26003;
+   wire n_26005;
+   wire n_26006;
+   wire n_26007;
+   wire n_26008;
+   wire n_26009;
+   wire n_26010;
+   wire n_26011;
+   wire n_26012;
+   wire n_26013;
+   wire n_26014;
+   wire n_26015;
+   wire n_26016;
+   wire n_26017;
+   wire n_26018;
+   wire n_26019;
+   wire n_26020;
+   wire n_26021;
+   wire n_26022;
+   wire n_26023;
+   wire n_26024;
+   wire n_26025;
+   wire n_26026;
+   wire n_26027;
+   wire n_26028;
+   wire n_26029;
+   wire n_26030;
+   wire n_26031;
+   wire n_26032;
+   wire n_26033;
+   wire n_26034;
+   wire n_26035;
+   wire n_26036;
+   wire n_26037;
+   wire n_26038;
+   wire n_26039;
+   wire n_26040;
+   wire n_26041;
+   wire n_26042;
+   wire n_26043;
+   wire n_26044;
+   wire n_26045;
+   wire n_26046;
+   wire n_26047;
+   wire n_26048;
+   wire n_26049;
+   wire n_26050;
+   wire n_26051;
+   wire n_26052;
+   wire n_26053;
+   wire n_26054;
+   wire n_26055;
+   wire n_26056;
+   wire n_26057;
+   wire n_26058;
+   wire n_26059;
+   wire n_26060;
+   wire n_26061;
+   wire n_26062;
+   wire n_26063;
+   wire n_26064;
+   wire n_26065;
+   wire n_26066;
+   wire n_26067;
+   wire n_26068;
+   wire n_26069;
+   wire n_26070;
+   wire n_26071;
+   wire n_26072;
+   wire n_26073;
+   wire n_26074;
+   wire n_26075;
+   wire n_26076;
+   wire n_26077;
+   wire n_26078;
+   wire n_26079;
+   wire n_26080;
+   wire n_26081;
+   wire n_26082;
+   wire n_26083;
+   wire n_26084;
+   wire n_26085;
+   wire n_26086;
+   wire n_26087;
+   wire n_26088;
+   wire n_26089;
+   wire n_26090;
+   wire n_26091;
+   wire n_26092;
+   wire n_26093;
+   wire n_26094;
+   wire n_26095;
+   wire n_26096;
+   wire n_26097;
+   wire n_26098;
+   wire n_26099;
+   wire n_26100;
+   wire n_26101;
+   wire n_26102;
+   wire n_26103;
+   wire n_26104;
+   wire n_26105;
+   wire n_26106;
+   wire n_26107;
+   wire n_26108;
+   wire n_26109;
+   wire n_26110;
+   wire n_26111;
+   wire n_26112;
+   wire n_26113;
+   wire n_26114;
+   wire n_26115;
+   wire n_26116;
+   wire n_26117;
+   wire n_26118;
+   wire n_26119;
+   wire n_26120;
+   wire n_26121;
+   wire n_26122;
+   wire n_26123;
+   wire n_26124;
+   wire n_26125;
+   wire n_26126;
+   wire n_26127;
+   wire n_26128;
+   wire n_26129;
+   wire n_26130;
+   wire n_26131;
+   wire n_26132;
+   wire n_26133;
+   wire n_26134;
+   wire n_26135;
+   wire n_26136;
+   wire n_26137;
+   wire n_26138;
+   wire n_26139;
+   wire n_26140;
+   wire n_26141;
+   wire n_26142;
+   wire n_26143;
+   wire n_26144;
+   wire n_26145;
+   wire n_26146;
+   wire n_26147;
+   wire n_26148;
+   wire n_26149;
+   wire n_26150;
+   wire n_26151;
+   wire n_26152;
+   wire n_26153;
+   wire n_26154;
+   wire n_26155;
+   wire n_26156;
+   wire n_26157;
+   wire n_26158;
+   wire n_26159;
+   wire n_26160;
+   wire n_26161;
+   wire n_26162;
+   wire n_26163;
+   wire n_26164;
+   wire n_26165;
+   wire n_26166;
+   wire n_26167;
+   wire n_26168;
+   wire n_26169;
+   wire n_26170;
+   wire n_26171;
+   wire n_26172;
+   wire n_26173;
+   wire n_26174;
+   wire n_26175;
+   wire n_26176;
+   wire n_26177;
+   wire n_26178;
+   wire n_26179;
+   wire n_26180;
+   wire n_26181;
+   wire n_26182;
+   wire n_26183;
+   wire n_26184;
+   wire n_26185;
+   wire n_26186;
+   wire n_26187;
+   wire n_26188;
+   wire n_26189;
+   wire n_26190;
+   wire n_26191;
+   wire n_26192;
+   wire n_26193;
+   wire n_26194;
+   wire n_26195;
+   wire n_26196;
+   wire n_26197;
+   wire n_26198;
+   wire n_26199;
+   wire n_26200;
+   wire n_26202;
+   wire n_26203;
+   wire n_26204;
+   wire n_26205;
+   wire n_26208;
+   wire n_26209;
+   wire n_26210;
+   wire n_26212;
+   wire n_26213;
+   wire n_26214;
+   wire n_26215;
+   wire n_26216;
+   wire n_26217;
+   wire n_26218;
+   wire n_26219;
+   wire n_26220;
+   wire n_26221;
+   wire n_26222;
+   wire n_26223;
+   wire n_26224;
+   wire n_26225;
+   wire n_26226;
+   wire n_26227;
+   wire n_26228;
+   wire n_26229;
+   wire n_26230;
+   wire n_26231;
+   wire n_26232;
+   wire n_26233;
+   wire n_26234;
+   wire n_26235;
+   wire n_26236;
+   wire n_26237;
+   wire n_26238;
+   wire n_26239;
+   wire n_26240;
+   wire n_26241;
+   wire n_26242;
+   wire n_26243;
+   wire n_26244;
+   wire n_26245;
+   wire n_26246;
+   wire n_26247;
+   wire n_26248;
+   wire n_26249;
+   wire n_26250;
+   wire n_26251;
+   wire n_26252;
+   wire n_26253;
+   wire n_26254;
+   wire n_26255;
+   wire n_26256;
+   wire n_26257;
+   wire n_26258;
+   wire n_26259;
+   wire n_26260;
+   wire n_26261;
+   wire n_26262;
+   wire n_26263;
+   wire n_26264;
+   wire n_26265;
+   wire n_26266;
+   wire n_26267;
+   wire n_26268;
+   wire n_26269;
+   wire n_26270;
+   wire n_26271;
+   wire n_26273;
+   wire n_26274;
+   wire n_26275;
+   wire n_26276;
+   wire n_26277;
+   wire n_26278;
+   wire n_26279;
+   wire n_26280;
+   wire n_26281;
+   wire n_26282;
+   wire n_26283;
+   wire n_26284;
+   wire n_26285;
+   wire n_26286;
+   wire n_26287;
+   wire n_26288;
+   wire n_26289;
+   wire n_26290;
+   wire n_26291;
+   wire n_26292;
+   wire n_26293;
+   wire n_26294;
+   wire n_26295;
+   wire n_26296;
+   wire n_26297;
+   wire n_26298;
+   wire n_26299;
+   wire n_26300;
+   wire n_26301;
+   wire n_26302;
+   wire n_26303;
+   wire n_26304;
+   wire n_26305;
+   wire n_26306;
+   wire n_26307;
+   wire n_26308;
+   wire n_26309;
+   wire n_26310;
+   wire n_26311;
+   wire n_26312;
+   wire n_26313;
+   wire n_26314;
+   wire n_26315;
+   wire n_26316;
+   wire n_26317;
+   wire n_26318;
+   wire n_26319;
+   wire n_26320;
+   wire n_26321;
+   wire n_26322;
+   wire n_26323;
+   wire n_26324;
+   wire n_26325;
+   wire n_26326;
+   wire n_26327;
+   wire n_26328;
+   wire n_26329;
+   wire n_26330;
+   wire n_26331;
+   wire n_26332;
+   wire n_26342;
+   wire n_26344;
+   wire n_26345;
+   wire n_26363;
+   wire n_26366;
+   wire n_26367;
+   wire n_26368;
+   wire n_26372;
+   wire n_26374;
+   wire n_26380;
+   wire n_26383;
+   wire n_26384;
+   wire n_26385;
+   wire n_26386;
+   wire n_26390;
+   wire n_26391;
+   wire n_26392;
+   wire n_26394;
+   wire n_26397;
+   wire n_26398;
+   wire n_26400;
+   wire n_26402;
+   wire n_26404;
+   wire n_26406;
+   wire n_26407;
+   wire n_26444;
+   wire n_26446;
+   wire n_26448;
+   wire n_26449;
+   wire n_26454;
+   wire n_26458;
+   wire n_26459;
+   wire n_26461;
+   wire n_26464;
+   wire n_26502;
+   wire n_26504;
+   wire n_26506;
+   wire n_26508;
+   wire n_26509;
+   wire n_26510;
+   wire n_26511;
+   wire n_26512;
+   wire n_26513;
+   wire n_26514;
+   wire n_26515;
+   wire n_26516;
+   wire n_26517;
+   wire n_26518;
+   wire n_26519;
+   wire n_26520;
+   wire n_26521;
+   wire n_26522;
+   wire n_26523;
+   wire n_26528;
+   wire n_26534;
+   wire n_26536;
+   wire n_26542;
+   wire n_26553;
+   wire n_26554;
+   wire n_26555;
+   wire n_26556;
+   wire n_26557;
+   wire n_26559;
+   wire n_26560;
+   wire n_26561;
+   wire n_26562;
+   wire n_26563;
+   wire n_26564;
+   wire n_26565;
+   wire n_26566;
+   wire n_26567;
+   wire n_26568;
+   wire n_26569;
+   wire n_26570;
+   wire n_26571;
+   wire n_26572;
+   wire n_26573;
+   wire n_26574;
+   wire n_26576;
+   wire n_26581;
+   wire n_26587;
+   wire n_26588;
+   wire n_26589;
+   wire n_26590;
+   wire n_26591;
+   wire n_26593;
+   wire n_26595;
+   wire n_26598;
+   wire n_26599;
+   wire n_26600;
+   wire n_26601;
+   wire n_26602;
+   wire n_26603;
+   wire n_26604;
+   wire n_26607;
+   wire n_26608;
+   wire n_26609;
+   wire n_26614;
+   wire n_26622;
+   wire n_26623;
+   wire n_26629;
+   wire n_26630;
+   wire n_26631;
+   wire n_26632;
+   wire n_26633;
+   wire n_26634;
+   wire n_26635;
+   wire n_26636;
+   wire n_26637;
+   wire n_26638;
+   wire n_26639;
+   wire n_26640;
+   wire n_26641;
+   wire n_26642;
+   wire n_26643;
+   wire n_26644;
+   wire n_26645;
+   wire n_26646;
+   wire n_26647;
+   wire n_26648;
+   wire n_26649;
+   wire n_26650;
+   wire n_26651;
+   wire n_26652;
+   wire n_26653;
+   wire n_26723;
+   wire n_26742;
+   wire n_26748;
+   wire n_26766;
+   wire n_26767;
+   wire n_26768;
+   wire n_26771;
+   wire n_26772;
+   wire n_26791;
+   wire n_26793;
+   wire n_26794;
+   wire n_26796;
+   wire n_26797;
+   wire n_26798;
+   wire n_26800;
+   wire n_26801;
+   wire n_26802;
+   wire n_26803;
+   wire n_26804;
+   wire n_26805;
+   wire n_26806;
+   wire n_26808;
+   wire n_26809;
+   wire n_26810;
+   wire n_26811;
+   wire n_26812;
+   wire n_26813;
+   wire n_26814;
+   wire n_26815;
+   wire n_26816;
+   wire n_26817;
+   wire n_26818;
+   wire n_26819;
+   wire n_26820;
+   wire n_26821;
+   wire n_26822;
+   wire n_26827;
+   wire n_26829;
+   wire n_26832;
+   wire n_26837;
+   wire n_26838;
+   wire n_26846;
+   wire n_26848;
+   wire n_26850;
+   wire n_26853;
+   wire n_26856;
+   wire n_26860;
+   wire n_26861;
+   wire n_26864;
+   wire n_26865;
+   wire n_26866;
+   wire n_26867;
+   wire n_26868;
+   wire n_26869;
+   wire n_26870;
+   wire n_26874;
+   wire n_26876;
+   wire n_26879;
+   wire n_26880;
+   wire n_26886;
+   wire n_26888;
+   wire n_26891;
+   wire n_26892;
+   wire n_26893;
+   wire n_26894;
+   wire n_26895;
+   wire n_26896;
+   wire n_26897;
+   wire n_26899;
+   wire n_26900;
+   wire n_26901;
+   wire n_26902;
+   wire n_26903;
+   wire n_26904;
+   wire n_26905;
+   wire n_26906;
+   wire n_26907;
+   wire n_26908;
+   wire n_26909;
+   wire n_26910;
+   wire n_26911;
+   wire n_26912;
+   wire n_26913;
+   wire n_26914;
+   wire n_26915;
+   wire n_26916;
+   wire n_26917;
+   wire n_26918;
+   wire n_26920;
+   wire n_26921;
+   wire n_26924;
+   wire n_26925;
+   wire n_26926;
+   wire n_26927;
+   wire n_26928;
+   wire n_26929;
+   wire n_26930;
+   wire n_26932;
+   wire n_26933;
+   wire n_26936;
+   wire n_26937;
+   wire n_26943;
+   wire n_26944;
+   wire n_26948;
+   wire n_26952;
+   wire n_26953;
+   wire n_26954;
+   wire n_26955;
+   wire n_26956;
+   wire n_26957;
+   wire n_26958;
+   wire n_26959;
+   wire n_26964;
+   wire n_26965;
+   wire n_26966;
+   wire n_26967;
+   wire n_26968;
+   wire n_26969;
+   wire n_26970;
+   wire n_26976;
+   wire n_26977;
+   wire n_26978;
+   wire n_26979;
+   wire n_26980;
+   wire n_26981;
+   wire n_26982;
+   wire n_26983;
+   wire n_26984;
+   wire n_26985;
+   wire n_26986;
+   wire n_26993;
+   wire n_26994;
+   wire n_26997;
+   wire n_26998;
+   wire n_26999;
+   wire n_27000;
+   wire n_27001;
+   wire n_27002;
+   wire n_27004;
+   wire n_27006;
+   wire n_27007;
+   wire n_27008;
+   wire n_27009;
+   wire n_27010;
+   wire n_27015;
+   wire n_27017;
+   wire n_27018;
+   wire n_27019;
+   wire n_27020;
+   wire n_27021;
+   wire n_27022;
+   wire n_27023;
+   wire n_27024;
+   wire n_27027;
+   wire n_27028;
+   wire n_27029;
+   wire n_27034;
+   wire n_27035;
+   wire n_27037;
+   wire n_27038;
+   wire n_27043;
+   wire n_27044;
+   wire n_27045;
+   wire n_27046;
+   wire n_27048;
+   wire n_27054;
+   wire n_27055;
+   wire n_27056;
+   wire n_27057;
+   wire n_27059;
+   wire n_27060;
+   wire n_27062;
+   wire n_27063;
+   wire n_27064;
+   wire n_27065;
+   wire n_27066;
+   wire n_27067;
+   wire n_27068;
+   wire n_27071;
+   wire n_27072;
+   wire n_27075;
+   wire n_27076;
+   wire n_27077;
+   wire n_27078;
+   wire n_27079;
+   wire n_27082;
+   wire n_27084;
+   wire n_27088;
+   wire n_27090;
+   wire n_27092;
+   wire n_27093;
+   wire n_27094;
+   wire n_27095;
+   wire n_27096;
+   wire n_27097;
+   wire n_27098;
+   wire n_27100;
+   wire n_27101;
+   wire n_27102;
+   wire n_27103;
+   wire n_27104;
+   wire n_27105;
+   wire n_27106;
+   wire n_27107;
+   wire n_27108;
+   wire n_27109;
+   wire n_27110;
+   wire n_27111;
+   wire n_27112;
+   wire n_27113;
+   wire n_27114;
+   wire n_27115;
+   wire n_27116;
+   wire n_27117;
+   wire n_27118;
+   wire n_27119;
+   wire n_27120;
+   wire n_27121;
+   wire n_27122;
+   wire n_27123;
+   wire n_27124;
+   wire n_27125;
+   wire n_27126;
+   wire n_27127;
+   wire n_27128;
+   wire n_27129;
+   wire n_27130;
+   wire n_27131;
+   wire n_27132;
+   wire n_27133;
+   wire n_27134;
+   wire n_27135;
+   wire n_27136;
+   wire n_27137;
+   wire n_27138;
+   wire n_27139;
+   wire n_27140;
+   wire n_27141;
+   wire n_27142;
+   wire n_27143;
+   wire n_27144;
+   wire n_27145;
+   wire n_27146;
+   wire n_27147;
+   wire n_27148;
+   wire n_27149;
+   wire n_27150;
+   wire n_27151;
+   wire n_27152;
+   wire n_27153;
+   wire n_27154;
+   wire n_27155;
+   wire n_27156;
+   wire n_27157;
+   wire n_27158;
+   wire n_27159;
+   wire n_27160;
+   wire n_27161;
+   wire n_27162;
+   wire n_27163;
+   wire n_27164;
+   wire n_27165;
+   wire n_27166;
+   wire n_27167;
+   wire n_27168;
+   wire n_27169;
+   wire n_27170;
+   wire n_27171;
+   wire n_27172;
+   wire n_27173;
+   wire n_27174;
+   wire n_27175;
+   wire n_27176;
+   wire n_27177;
+   wire n_27178;
+   wire n_27179;
+   wire n_27180;
+   wire n_27181;
+   wire n_27182;
+   wire n_27183;
+   wire n_27184;
+   wire n_27185;
+   wire n_27186;
+   wire n_27187;
+   wire n_27188;
+   wire n_27189;
+   wire n_27190;
+   wire n_27191;
+   wire n_27192;
+   wire n_27193;
+   wire n_27194;
+   wire n_27195;
+   wire n_27196;
+   wire n_27197;
+   wire n_27198;
+   wire n_27199;
+   wire n_27200;
+   wire n_27201;
+   wire n_27202;
+   wire n_27203;
+   wire n_27204;
+   wire n_27205;
+   wire n_27206;
+   wire n_27207;
+   wire n_27208;
+   wire n_27209;
+   wire n_27210;
+   wire n_27211;
+   wire n_27212;
+   wire n_27213;
+   wire n_27214;
+   wire n_27215;
+   wire n_27216;
+   wire n_27217;
+   wire n_27218;
+   wire n_27219;
+   wire n_27220;
+   wire n_27221;
+   wire n_27222;
+   wire n_27223;
+   wire n_27224;
+   wire n_27225;
+   wire n_27226;
+   wire n_27227;
+   wire n_27228;
+   wire n_27229;
+   wire n_27230;
+   wire n_27231;
+   wire n_27232;
+   wire n_27233;
+   wire n_27234;
+   wire n_27235;
+   wire n_27236;
+   wire n_27237;
+   wire n_27238;
+   wire n_27239;
+   wire n_27240;
+   wire n_27241;
+   wire n_27242;
+   wire n_27243;
+   wire n_27244;
+   wire n_27245;
+   wire n_27246;
+   wire n_27247;
+   wire n_27248;
+   wire n_27249;
+   wire n_27250;
+   wire n_27251;
+   wire n_27252;
+   wire n_27253;
+   wire n_27254;
+   wire n_27255;
+   wire n_27256;
+   wire n_27257;
+   wire n_27258;
+   wire n_27259;
+   wire n_27260;
+   wire n_27261;
+   wire n_27262;
+   wire n_27263;
+   wire n_27264;
+   wire n_27265;
+   wire n_27266;
+   wire n_27267;
+   wire n_27268;
+   wire n_27269;
+   wire n_27270;
+   wire n_27271;
+   wire n_27272;
+   wire n_27273;
+   wire n_27274;
+   wire n_27275;
+   wire n_27276;
+   wire n_27277;
+   wire n_27278;
+   wire n_27279;
+   wire n_27280;
+   wire n_27281;
+   wire n_27282;
+   wire n_27283;
+   wire n_27284;
+   wire n_27285;
+   wire n_27286;
+   wire n_27287;
+   wire n_27288;
+   wire n_27289;
+   wire n_27290;
+   wire n_27291;
+   wire n_27292;
+   wire n_27293;
+   wire n_27294;
+   wire n_27295;
+   wire n_27296;
+   wire n_27297;
+   wire n_27298;
+   wire n_27299;
+   wire n_27300;
+   wire n_27301;
+   wire n_27302;
+   wire n_27303;
+   wire n_27304;
+   wire n_27305;
+   wire n_27306;
+   wire n_27307;
+   wire n_27309;
+   wire n_27310;
+   wire n_27311;
+   wire n_27312;
+   wire n_27313;
+   wire n_27314;
+   wire n_27315;
+   wire n_27316;
+   wire n_27317;
+   wire n_27318;
+   wire n_27319;
+   wire n_27320;
+   wire n_27321;
+   wire n_27322;
+   wire n_27323;
+   wire n_27324;
+   wire n_27325;
+   wire n_27326;
+   wire n_27327;
+   wire n_27328;
+   wire n_27329;
+   wire n_27330;
+   wire n_27331;
+   wire n_27332;
+   wire n_27333;
+   wire n_27334;
+   wire n_27335;
+   wire n_27336;
+   wire n_27337;
+   wire n_27338;
+   wire n_27339;
+   wire n_27341;
+   wire n_27343;
+   wire n_27344;
+   wire n_27345;
+   wire n_27346;
+   wire n_27347;
+   wire n_27348;
+   wire n_27349;
+   wire n_27350;
+   wire n_27351;
+   wire n_27352;
+   wire n_27353;
+   wire n_27354;
+   wire n_27355;
+   wire n_27356;
+   wire n_27357;
+   wire n_27358;
+   wire n_27359;
+   wire n_27360;
+   wire n_27361;
+   wire n_27362;
+   wire n_27363;
+   wire n_27364;
+   wire n_27365;
+   wire n_27366;
+   wire n_27367;
+   wire n_27368;
+   wire n_27369;
+   wire n_27370;
+   wire n_27371;
+   wire n_27372;
+   wire n_27373;
+   wire n_27374;
+   wire n_27375;
+   wire n_27376;
+   wire n_27377;
+   wire n_27378;
+   wire n_27380;
+   wire n_27382;
+   wire n_27383;
+   wire n_27384;
+   wire n_27387;
+   wire n_27393;
+   wire n_27394;
+   wire n_27396;
+   wire n_27397;
+   wire n_27398;
+   wire n_27400;
+   wire n_27403;
+   wire n_27404;
+   wire n_27405;
+   wire n_27406;
+   wire n_27407;
+   wire n_27408;
+   wire n_27409;
+   wire n_27410;
+   wire n_27411;
+   wire n_27412;
+   wire n_27413;
+   wire n_27414;
+   wire n_27415;
+   wire n_27416;
+   wire n_27417;
+   wire n_27418;
+   wire n_27419;
+   wire n_27420;
+   wire n_27421;
+   wire n_27422;
+   wire n_27423;
+   wire n_27424;
+   wire n_27425;
+   wire n_27426;
+   wire n_27427;
+   wire n_27428;
+   wire n_27429;
+   wire n_27430;
+   wire n_27431;
+   wire n_27432;
+   wire n_27433;
+   wire n_27434;
+   wire n_27435;
+   wire n_27436;
+   wire n_27438;
+   wire n_27439;
+   wire n_27440;
+   wire n_27441;
+   wire n_27442;
+   wire n_27443;
+   wire n_27444;
+   wire n_27445;
+   wire n_27446;
+   wire n_27447;
+   wire n_27448;
+   wire n_27449;
+   wire n_27450;
+   wire n_27451;
+   wire n_27452;
+   wire n_27453;
+   wire n_27454;
+   wire n_27455;
+   wire n_27456;
+   wire n_27457;
+   wire n_27458;
+   wire n_27459;
+   wire n_27460;
+   wire n_27461;
+   wire n_27462;
+   wire n_27463;
+   wire n_27464;
+   wire n_27465;
+   wire n_27466;
+   wire n_27467;
+   wire n_27468;
+   wire n_27469;
+   wire n_27470;
+   wire n_27471;
+   wire n_27472;
+   wire n_27473;
+   wire n_27474;
+   wire n_27475;
+   wire n_27476;
+   wire n_27477;
+   wire n_27479;
+   wire n_27481;
+   wire n_27482;
+   wire n_27483;
+   wire n_27484;
+   wire n_27485;
+   wire n_27486;
+   wire n_27487;
+   wire n_27488;
+   wire n_27489;
+   wire n_27490;
+   wire n_27491;
+   wire n_27492;
+   wire n_27493;
+   wire n_27494;
+   wire n_27495;
+   wire n_27496;
+   wire n_27498;
+   wire n_27499;
+   wire n_27500;
+   wire n_27501;
+   wire n_27502;
+   wire n_27503;
+   wire n_27504;
+   wire n_27505;
+   wire n_27506;
+   wire n_27508;
+   wire n_27509;
+   wire n_27511;
+   wire n_27512;
+   wire n_27513;
+   wire n_27514;
+   wire n_27515;
+   wire n_27516;
+   wire n_29205;
+   wire n_29218;
+   wire n_29219;
+   wire n_29220;
+   wire n_29221;
+   wire n_29222;
+   wire n_29223;
+   wire n_29224;
+   wire n_29225;
+   wire n_29226;
+   wire n_29227;
+   wire n_29228;
+   wire n_29229;
+   wire n_29230;
+   wire n_29231;
+   wire n_29232;
+   wire n_29233;
+   wire n_29234;
+   wire n_29235;
+   wire n_29236;
+   wire n_29237;
+   wire n_29238;
+   wire n_29239;
+   wire n_29240;
+   wire n_29241;
+   wire n_29242;
+   wire n_29243;
+   wire n_29244;
+   wire n_29245;
+   wire n_29246;
+   wire n_29247;
+   wire n_29248;
+   wire n_29249;
+   wire n_29250;
+   wire n_29251;
+   wire n_29252;
+   wire n_29253;
+   wire n_29254;
+   wire n_29255;
+   wire n_29256;
+   wire n_29257;
+   wire n_29258;
+   wire n_29259;
+   wire n_29260;
+   wire n_29261;
+   wire n_29262;
+   wire n_29263;
+   wire n_29264;
+   wire n_29265;
+   wire n_29266;
+   wire n_29267;
+   wire n_29268;
+   wire n_29269;
+   wire n_29270;
+   wire n_29271;
+   wire n_29272;
+   wire n_29273;
+   wire n_29274;
+   wire n_29275;
+   wire n_29276;
+   wire n_29277;
+   wire n_29278;
+   wire n_29279;
+   wire n_29280;
+   wire n_29350;
+   wire n_29379;
+   wire n_29390;
+   wire n_29391;
+   wire n_29392;
+   wire n_29393;
+   wire n_29394;
+   wire n_29395;
+   wire n_29396;
+   wire n_29397;
+   wire n_29399;
+   wire n_29400;
+   wire n_29401;
+   wire n_29402;
+   wire n_29411;
+   wire n_29416;
+   wire n_29420;
+   wire n_29449;
+   wire n_29458;
+   wire n_29466;
+   wire n_29469;
+   wire n_29477;
+   wire n_29497;
+   wire n_29509;
+   wire n_29511;
+   wire n_29512;
+   wire n_29513;
+   wire n_29515;
+   wire n_29516;
+   wire n_29518;
+   wire n_29520;
+   wire n_29527;
+   wire n_29544;
+   wire n_29546;
+   wire n_29547;
+   wire n_29549;
+   wire n_29551;
+   wire n_29552;
+   wire n_29553;
+   wire n_29555;
+   wire n_29556;
+   wire n_29557;
+   wire n_29561;
+   wire n_29574;
+   wire n_29575;
+   wire n_29578;
+   wire n_29591;
+   wire n_29595;
+   wire n_29609;
+   wire n_29615;
+   wire n_29621;
+   wire n_29625;
+   wire n_29626;
+   wire n_29634;
+   wire n_29635;
+   wire n_29645;
+   wire n_29646;
+   wire n_29648;
+   wire n_29649;
+   wire n_29651;
+   wire n_29663;
+   wire n_29665;
+   wire n_29666;
+   wire n_29668;
+   wire n_29669;
+   wire n_29671;
+   wire n_29672;
+   wire n_29673;
+   wire n_29674;
+   wire n_29675;
+   wire n_29676;
+   wire n_29681;
+   wire n_29682;
+   wire n_29683;
+   wire n_29684;
+   wire n_29685;
+   wire n_29686;
+   wire n_29687;
+   wire n_29688;
+   wire n_29689;
+   wire n_29690;
+   wire n_29691;
+   wire n_29692;
+   wire n_29697;
+   wire n_29700;
+   wire n_29703;
+   wire n_29715;
+   wire n_29727;
+   wire n_29735;
+   wire n_29746;
+   wire n_29787;
+   wire n_29790;
+   wire n_29791;
+   wire n_29793;
+   wire n_29794;
+   wire n_29799;
+   wire n_29800;
+   wire n_29812;
+   wire n_29813;
+   wire n_29818;
+   wire n_29819;
+   wire n_29820;
+   wire n_29823;
+   wire n_29824;
+   wire n_29825;
+   wire n_29832;
+   wire n_29834;
+   wire n_29835;
+   wire n_29836;
+   wire n_29840;
+   wire n_29841;
+   wire n_29843;
+   wire n_29847;
+   wire n_29849;
+   wire n_29850;
+   wire n_29851;
+   wire n_29854;
+   wire n_29856;
+   wire n_29857;
+   wire n_29860;
+   wire n_29863;
+   wire n_29868;
+   wire n_29869;
+   wire n_29870;
+   wire n_29871;
+   wire n_29872;
+   wire n_29882;
+   wire n_29884;
+   wire n_29899;
+   wire n_29900;
+   wire n_29923;
+   wire n_29936;
+   wire n_29942;
+   wire n_29944;
+   wire n_29945;
+   wire n_29946;
+   wire n_29953;
+   wire n_29960;
+   wire n_29962;
+   wire n_29963;
+   wire n_29971;
+   wire n_29972;
+   wire n_29973;
+   wire n_29974;
+   wire n_29975;
+   wire n_29976;
+   wire n_29977;
+   wire n_29980;
+   wire n_29981;
+   wire n_29982;
+   wire n_29983;
+   wire n_29984;
+   wire n_29985;
+   wire n_29986;
+   wire n_29987;
+   wire n_29988;
+   wire n_29989;
+   wire n_29990;
+   wire n_29991;
+   wire n_29992;
+   wire n_29993;
+   wire n_29994;
+   wire n_29996;
+   wire n_29998;
+   wire n_29999;
+   wire n_30000;
+   wire n_30001;
+   wire n_30002;
+   wire n_30004;
+   wire n_30005;
+   wire n_30006;
+   wire n_30007;
+   wire n_30008;
+   wire n_30009;
+   wire n_30010;
+   wire n_30011;
+   wire n_30012;
+   wire n_30013;
+   wire n_30014;
+   wire n_30015;
+   wire n_30016;
+   wire n_30017;
+   wire n_30019;
+   wire n_30020;
+   wire n_30021;
+   wire n_30022;
+   wire n_30023;
+   wire n_30024;
+   wire n_30025;
+   wire n_30027;
+   wire n_30028;
+   wire n_30029;
+   wire n_30030;
+   wire n_30031;
+   wire n_30032;
+   wire n_30033;
+   wire n_30035;
+   wire n_30036;
+   wire n_30037;
+   wire n_30038;
+   wire n_30039;
+   wire n_30058;
+   wire n_30060;
+   wire n_30081;
+   wire n_30086;
+   wire n_30087;
+   wire n_30095;
+   wire n_30104;
+   wire n_30114;
+   wire n_30116;
+   wire n_30124;
+   wire n_30138;
+   wire n_30144;
+   wire n_30147;
+   wire n_30151;
+   wire n_30160;
+   wire n_30161;
+   wire n_30162;
+   wire n_30163;
+   wire n_30164;
+   wire n_30165;
+   wire n_30166;
+   wire n_30167;
+   wire n_30168;
+   wire n_30169;
+   wire n_30170;
+   wire n_30173;
+   wire n_30174;
+   wire n_30181;
+   wire n_30182;
+   wire n_30183;
+   wire n_30184;
+   wire n_30185;
+   wire n_30187;
+   wire n_30188;
+   wire n_30189;
+   wire n_30190;
+   wire n_30191;
+   wire n_30192;
+   wire n_30193;
+   wire n_30194;
+   wire n_30195;
+   wire n_30196;
+   wire n_30199;
+   wire n_30201;
+   wire n_30202;
+   wire n_30203;
+   wire n_30204;
+   wire n_30205;
+   wire n_30206;
+   wire n_30207;
+   wire n_30208;
+   wire n_30210;
+   wire n_30211;
+   wire n_30212;
+   wire n_30213;
+   wire n_30214;
+   wire n_30216;
+   wire n_30217;
+   wire n_30218;
+   wire n_30219;
+   wire n_30220;
+   wire n_30222;
+   wire n_30223;
+   wire n_30224;
+   wire n_30228;
+   wire n_30229;
+   wire n_30230;
+   wire n_30231;
+   wire n_30232;
+   wire n_30233;
+   wire n_30234;
+   wire n_30235;
+   wire n_30236;
+   wire n_30237;
+   wire n_30240;
+   wire n_30241;
+   wire n_30242;
+   wire n_30243;
+   wire n_30244;
+   wire n_30245;
+   wire n_30246;
+   wire n_30247;
+   wire n_30248;
+   wire n_30249;
+   wire n_30250;
+   wire n_30251;
+   wire n_30253;
+   wire n_30254;
+   wire n_30255;
+   wire n_30256;
+   wire n_30257;
+   wire n_30258;
+   wire n_30259;
+   wire n_30260;
+   wire n_30261;
+   wire n_30262;
+   wire n_30263;
+   wire n_30264;
+   wire n_30265;
+   wire n_30266;
+   wire n_30267;
+   wire n_30268;
+   wire n_30269;
+   wire n_30270;
+   wire n_30271;
+   wire n_30272;
+   wire n_30273;
+   wire n_30274;
+   wire n_30275;
+   wire n_30276;
+   wire n_30278;
+   wire n_30279;
+   wire n_30280;
+   wire n_30281;
+   wire n_30282;
+   wire n_30283;
+   wire n_30284;
+   wire n_30285;
+   wire n_30286;
+   wire n_30287;
+   wire n_30288;
+   wire n_30289;
+   wire n_30290;
+   wire n_30291;
+   wire n_30292;
+   wire n_30295;
+   wire n_30297;
+   wire n_30298;
+   wire n_30299;
+   wire n_30300;
+   wire n_30304;
+   wire n_30305;
+   wire n_30306;
+   wire n_30308;
+   wire n_30309;
+   wire n_30346;
+   wire n_30364;
+   wire n_30382;
+   wire n_30385;
+   wire n_30387;
+   wire n_30389;
+   wire n_30391;
+   wire n_30395;
+   wire n_30396;
+   wire n_30399;
+   wire n_30404;
+   wire n_30406;
+   wire n_30407;
+   wire n_30415;
+   wire n_30423;
+   wire n_30424;
+   wire n_30425;
+   wire n_30426;
+   wire n_30429;
+   wire n_30431;
+   wire n_30455;
+   wire n_30461;
+   wire n_30468;
+   wire n_30476;
+   wire n_30492;
+   wire n_30494;
+   wire n_30495;
+   wire n_30503;
+   wire n_30505;
+   wire n_30513;
+   wire n_30519;
+   wire n_30524;
+   wire n_30525;
+   wire n_30526;
+   wire n_30527;
+   wire n_30553;
+   wire n_30583;
+   wire n_30585;
+   wire n_30586;
+   wire n_30587;
+   wire n_30589;
+   wire n_30590;
+   wire n_30591;
+   wire n_30592;
+   wire n_30593;
+   wire n_30594;
+   wire n_30595;
+   wire n_30596;
+   wire n_30597;
+   wire n_30598;
+   wire n_30599;
+   wire n_30602;
+   wire n_30603;
+   wire n_30634;
+   wire n_30635;
+   wire n_30636;
+   wire n_30637;
+   wire n_30638;
+   wire n_30643;
+   wire n_30644;
+   wire n_30647;
+   wire n_30648;
+   wire n_30649;
+   wire n_30650;
+   wire n_30681;
+   wire n_30682;
+   wire n_30702;
+   wire n_30704;
+   wire n_30709;
+   wire n_30710;
+   wire n_30711;
+   wire n_30712;
+   wire n_30713;
+   wire n_30714;
+   wire n_30715;
+   wire n_30716;
+   wire n_30717;
+   wire n_30721;
+   wire n_30723;
+   wire n_30724;
+   wire n_30725;
+   wire n_30733;
+   wire n_30737;
+   wire n_30738;
+   wire n_30739;
+   wire n_30756;
+   wire n_30788;
+   wire n_30809;
+   wire n_30811;
+   wire n_30825;
+   wire n_30826;
+   wire n_30829;
+   wire n_30838;
+   wire n_30842;
+   wire n_30844;
+   wire n_30937;
+   wire n_30939;
+   wire n_30944;
+   wire n_30945;
+   wire n_30955;
+   wire n_30956;
+   wire n_31023;
+   wire n_31024;
+   wire n_31068;
+   wire n_31093;
+   wire n_31094;
+   wire n_31096;
+   wire n_31100;
+   wire n_31109;
+   wire n_31132;
+   wire n_31134;
+   wire n_31180;
+   wire n_31260;
+   wire n_31266;
+   wire n_31276;
+   wire n_31288;
+   wire n_31374;
+   wire n_31465;
+   wire n_31531;
+   wire n_31540;
+   wire n_31552;
+   wire n_31571;
+   wire n_31572;
+   wire n_31624;
+   wire n_31641;
+   wire n_31670;
+   wire n_31682;
+   wire n_31684;
+   wire n_31726;
+   wire n_31810;
+   wire n_31816;
+   wire n_31969;
+   wire n_32034;
+   wire n_32040;
+   wire n_32041;
+   wire n_32042;
+   wire n_32045;
+   wire n_32047;
+   wire n_32098;
+   wire n_32152;
+   wire n_32158;
+   wire n_32161;
+   wire n_32799;
+   wire n_32831;
+   wire n_32832;
+   wire n_32877;
+   wire n_32900;
+   wire n_32902;
+   wire n_32953;
+   wire n_32968;
+   wire n_32971;
+   wire n_32974;
+   wire n_32979;
+   wire n_32982;
+   wire n_32986;
+   wire n_32987;
+   wire n_32994;
+   wire n_32995;
+   wire n_32998;
+   wire n_33012;
+   wire n_33013;
+   wire n_33026;
+   wire n_33027;
+   wire n_33028;
+   wire n_33029;
+   wire n_33037;
+   wire n_33040;
+   wire n_33043;
+   wire n_33044;
+   wire n_33045;
+   wire n_33046;
+   wire n_33047;
+   wire n_33048;
+   wire n_33049;
+   wire n_33050;
+   wire n_33053;
+   wire n_33054;
+   wire n_33055;
+   wire n_33073;
+   wire n_33079;
+   wire n_33080;
+   wire n_33081;
+   wire n_33082;
+   wire n_33083;
+   wire n_33084;
+   wire n_33085;
+   wire n_33087;
+   wire n_33088;
+   wire n_33099;
+   wire n_33101;
+   wire n_33103;
+   wire n_33106;
+   wire n_33107;
+   wire n_33115;
+   wire n_33116;
+   wire n_33117;
+   wire n_33118;
+   wire n_33121;
+   wire n_33123;
+   wire n_33124;
+   wire n_33126;
+   wire n_33127;
+   wire n_33128;
+   wire n_33129;
+   wire n_33130;
+   wire n_33131;
+   wire n_33132;
+   wire n_33133;
+   wire n_33134;
+   wire n_33135;
+   wire n_33136;
+   wire n_33137;
+   wire n_33139;
+   wire n_33140;
+   wire n_33142;
+   wire n_33145;
+   wire n_33146;
+   wire n_33147;
+   wire n_33149;
+   wire n_33155;
+   wire n_33156;
+   wire n_33157;
+   wire n_33158;
+   wire n_33159;
+   wire n_33166;
+   wire n_33167;
+   wire n_33174;
+   wire n_33191;
+   wire n_33192;
+   wire n_33198;
+   wire n_33199;
+   wire n_33200;
+   wire n_33201;
+   wire n_33202;
+   wire n_33203;
+   wire n_33208;
+   wire n_33210;
+   wire n_33211;
+   wire n_33212;
+   wire n_33218;
+   wire n_33303;
+   wire n_33304;
+   wire n_33305;
+   wire n_33311;
+   wire n_33312;
+   wire n_33326;
+   wire n_33418;
+   wire n_33420;
+   wire n_33432;
+   wire n_33457;
+   wire n_33461;
+   wire n_33462;
+   wire n_33466;
+   wire n_33467;
+   wire n_33469;
+   wire n_33470;
+   wire n_33471;
+   wire n_33472;
+   wire n_33473;
+   wire n_33475;
+   wire n_33502;
+   wire n_33505;
+   wire n_33506;
+   wire n_33509;
+   wire n_33510;
+   wire n_33511;
+   wire n_33515;
+   wire n_33516;
+   wire n_33517;
+   wire n_33519;
+   wire n_33520;
+   wire n_33524;
+   wire n_33525;
+   wire n_33528;
+   wire n_33534;
+   wire n_33535;
+   wire n_33536;
+   wire n_33537;
+   wire n_33554;
+   wire n_33556;
+   wire n_33558;
+   wire n_33590;
+   wire n_33603;
+   wire n_33634;
+   wire n_33667;
+   wire n_33668;
+   wire n_33673;
+   wire n_33674;
+   wire n_33678;
+   wire n_33680;
+   wire n_33693;
+   wire n_33706;
+   wire n_33710;
+   wire n_33727;
+   wire n_33762;
+   wire n_33775;
+   wire n_33796;
+   wire n_33806;
+   wire n_33847;
+   wire n_33866;
+   wire n_33887;
+   wire n_33902;
+   wire n_33911;
+   wire n_33914;
+   wire n_33915;
+   wire n_33921;
+   wire n_33941;
+   wire n_33955;
+   wire n_33980;
+   wire n_33981;
+   wire n_33983;
+   wire n_33984;
+   wire n_34140;
+   wire n_34144;
+   wire n_34146;
+   wire n_34148;
+   wire n_34157;
+   wire n_34163;
+   wire n_34165;
+   wire n_34167;
+   wire n_34170;
+   wire n_34173;
+   wire n_34174;
+   wire n_34175;
+   wire n_34176;
+   wire n_34198;
+   wire n_34268;
+   wire n_34270;
+   wire n_34271;
+   wire n_34288;
+   wire n_34296;
+   wire n_34299;
+   wire n_34302;
+   wire n_34304;
+   wire n_34308;
+   wire n_34309;
+   wire n_34327;
+   wire n_34333;
+   wire n_34351;
+   wire n_34352;
+   wire n_34354;
+   wire n_34355;
+   wire n_34357;
+   wire n_34358;
+   wire n_34387;
+   wire n_34418;
+   wire n_34427;
+   wire n_34435;
+   wire n_34436;
+   wire n_34437;
+   wire n_34467;
+   wire n_34470;
+   wire n_34480;
+   wire n_34496;
+   wire n_34529;
+   wire n_34542;
+   wire n_34561;
+   wire n_34576;
+   wire n_34577;
+   wire n_34579;
+   wire n_34580;
+   wire n_34584;
+   wire n_34588;
+   wire n_34604;
+   wire n_34609;
+   wire n_34614;
+   wire n_34616;
+   wire n_34625;
+   wire n_34627;
+   wire n_34641;
+   wire n_34680;
+   wire n_34681;
+   wire n_34683;
+   wire n_34684;
+   wire n_34689;
+   wire n_34700;
+   wire n_34702;
+   wire n_34705;
+   wire n_34755;
+   wire n_34798;
+   wire n_34805;
+   wire n_34810;
+   wire n_34812;
+   wire n_34813;
+   wire n_34816;
+   wire n_34817;
+   wire n_34818;
+   wire n_34819;
+   wire n_34821;
+   wire n_34822;
+   wire n_34823;
+   wire n_34824;
+   wire n_34853;
+   wire n_34971;
+   wire n_34972;
+   wire n_35029;
+   wire n_35030;
+   wire n_35031;
+   wire n_35041;
+   wire n_35043;
+   wire n_35044;
+   wire n_35053;
+   wire n_35068;
+   wire n_35082;
+   wire n_35084;
+   wire n_35086;
+   wire n_35094;
+   wire n_35095;
+   wire n_35096;
+   wire n_35097;
+   wire n_35105;
+   wire n_35114;
+   wire n_35115;
+   wire n_35116;
+   wire n_35118;
+   wire n_35119;
+   wire n_35142;
+   wire n_35147;
+   wire n_35158;
+   wire n_35161;
+   wire n_35162;
+   wire n_35164;
+   wire n_35165;
+   wire n_35167;
+   wire n_35241;
+   wire n_35245;
+   wire n_35254;
+   wire n_35255;
+   wire n_35256;
+   wire n_35257;
+   wire n_35266;
+   wire n_35267;
+   wire n_35268;
+   wire n_35270;
+   wire n_35271;
+   wire n_35273;
+   wire n_35280;
+   wire n_35286;
+   wire n_35290;
+   wire n_35294;
+   wire n_35295;
+   wire n_35296;
+   wire n_35302;
+   wire n_35308;
+   wire n_35311;
+   wire n_35312;
+   wire n_35323;
+   wire n_35332;
+   wire n_35337;
+   wire n_35358;
+   wire n_35359;
+   wire n_35360;
+   wire n_35367;
+   wire n_35387;
+   wire n_35392;
+   wire n_35395;
+   wire n_35396;
+   wire n_35397;
+   wire n_35398;
+   wire n_35412;
+   wire n_35424;
+   wire n_35425;
+   wire n_35437;
+   wire n_35444;
+   wire n_35452;
+   wire n_35472;
+   wire n_35480;
+   wire n_35482;
+   wire n_35483;
+   wire n_35490;
+   wire n_35495;
+   wire n_35499;
+   wire n_35500;
+   wire n_35502;
+   wire n_35503;
+   wire n_35504;
+   wire n_35506;
+   wire n_35516;
+   wire n_35517;
+   wire n_35523;
+   wire n_35524;
+   wire n_35528;
+   wire n_35529;
+   wire n_35536;
+   wire n_35538;
+   wire n_35587;
+   wire n_35591;
+   wire n_35615;
+   wire n_35618;
+   wire n_35635;
+   wire n_35636;
+   wire n_35637;
+   wire n_35638;
+   wire n_35660;
+   wire n_35661;
+   wire n_35662;
+   wire n_35669;
+   wire n_35671;
+   wire n_35672;
+   wire n_35673;
+   wire n_35675;
+   wire n_35676;
+   wire n_35677;
+   wire n_35678;
+   wire n_35679;
+   wire n_35680;
+   wire n_35681;
+   wire n_35685;
+   wire n_35686;
+   wire n_35687;
+   wire n_35688;
+   wire n_35690;
+   wire n_35692;
+   wire n_35693;
+   wire n_35699;
+   wire n_35722;
+   wire n_35725;
+   wire n_35728;
+   wire n_35732;
+   wire n_35734;
+   wire n_35742;
+   wire n_35744;
+   wire n_35749;
+   wire n_35750;
+   wire n_35769;
+   wire n_35827;
+   wire n_35837;
+   wire n_35840;
+   wire n_35843;
+   wire n_35845;
+   wire n_35847;
+   wire n_35852;
+   wire n_35855;
+   wire n_35867;
+   wire n_35868;
+   wire n_35870;
+   wire n_35872;
+   wire n_35874;
+   wire n_35878;
+   wire n_35881;
+   wire n_35882;
+   wire n_35883;
+   wire n_35886;
+   wire n_35902;
+   wire n_35903;
+   wire n_35940;
+   wire n_35968;
+   wire n_35970;
+   wire n_35971;
+   wire n_35972;
+   wire n_36026;
+   wire n_36100;
+   wire n_36103;
+   wire n_36104;
+   wire n_36105;
+   wire n_36111;
+   wire n_36112;
+   wire n_36122;
+   wire n_36126;
+   wire n_36132;
+   wire n_36142;
+   wire n_36146;
+   wire n_36147;
+   wire n_36148;
+   wire n_36151;
+   wire n_36152;
+   wire n_36153;
+   wire n_36288;
+   wire n_36302;
+   wire n_36303;
+   wire n_36309;
+   wire n_36311;
+   wire n_36319;
+   wire n_36322;
+   wire n_36338;
+   wire n_36340;
+   wire n_36375;
+   wire n_36429;
+   wire n_36430;
+   wire n_36431;
+   wire n_36531;
+   wire n_36534;
+   wire n_36535;
+   wire n_36619;
+   wire n_36620;
+   wire n_36621;
+   wire n_36622;
+   wire n_36623;
+   wire n_36624;
+   wire n_36625;
+   wire n_36626;
+   wire n_36627;
+   wire n_36629;
+   wire n_36630;
+   wire n_36631;
+   wire n_36632;
+   wire n_36633;
+   wire n_36634;
+   wire n_36635;
+   wire n_36636;
+   wire n_36644;
+   wire n_36645;
+   wire n_36663;
+   wire n_36668;
+   wire n_36671;
+   wire n_36675;
+   wire n_36676;
+   wire n_36677;
+   wire n_36679;
+   wire n_36680;
+   wire n_36683;
+   wire n_36692;
+   wire n_36693;
+   wire n_36694;
+   wire n_36695;
+   wire n_36696;
+   wire n_36698;
+   wire n_36703;
+   wire n_36704;
+   wire n_36706;
+   wire n_36715;
+   wire n_36717;
+   wire n_36719;
+   wire n_36725;
+   wire n_36727;
+   wire n_36729;
+   wire n_36734;
+   wire n_36735;
+   wire n_36736;
+   wire n_36743;
+   wire n_36744;
+   wire n_36745;
+   wire n_36747;
+   wire n_36753;
+   wire n_36765;
+   wire n_36768;
+   wire n_36784;
+   wire n_36786;
+   wire n_36815;
+   wire n_36835;
+   wire n_36838;
+   wire n_36842;
+   wire n_36875;
+   wire n_36877;
+   wire n_36879;
+   wire n_36893;
+   wire n_36918;
+   wire n_37021;
+   wire n_37023;
+   wire n_37025;
+   wire n_37026;
+   wire n_37027;
+   wire n_37028;
+   wire n_37029;
+   wire n_37032;
+   wire n_37033;
+   wire n_37038;
+   wire n_37241;
+   wire n_37359;
+   wire n_37367;
+   wire n_37368;
+   wire n_37374;
+   wire n_37375;
+   wire n_37382;
+   wire n_37383;
+   wire n_37397;
+   wire n_37422;
+   wire n_37426;
+   wire n_37444;
+   wire n_37458;
+   wire n_37460;
+   wire n_37502;
+   wire n_37524;
+   wire n_37531;
+   wire n_37532;
+   wire n_37545;
+   wire n_37546;
+   wire n_37547;
+   wire n_37551;
+   wire n_37552;
+   wire n_37553;
+   wire n_37554;
+   wire n_37555;
+   wire n_37574;
+   wire n_37575;
+   wire n_37576;
+   wire n_37580;
+   wire n_37581;
+   wire n_37582;
+   wire n_37584;
+   wire n_37607;
+   wire n_37829;
+   wire n_37830;
+   wire n_37903;
+   wire n_37906;
+   wire n_37925;
+   wire n_37939;
+   wire n_37940;
+   wire n_37948;
+   wire n_37965;
+   wire n_37967;
+   wire n_37970;
+   wire n_37981;
+   wire n_37982;
+   wire n_37995;
+   wire n_37996;
+   wire n_37998;
+   wire n_37999;
+   wire n_38000;
+   wire n_38001;
+   wire n_38004;
+   wire n_38005;
+   wire n_38006;
+   wire n_38129;
+   wire n_38134;
+   wire n_38135;
+   wire n_38188;
+   wire n_38189;
+   wire n_38196;
+   wire n_38199;
+   wire n_38203;
+   wire n_38206;
+   wire n_38207;
+   wire n_38208;
+   wire n_38209;
+   wire n_38210;
+   wire n_38214;
+   wire n_38221;
+   wire n_38222;
+   wire n_38224;
+   wire n_38225;
+   wire n_38226;
+   wire n_38227;
+   wire n_38228;
+   wire n_38229;
+   wire n_38251;
+   wire n_38254;
+   wire n_38255;
+   wire n_38257;
+   wire n_38258;
+   wire n_38269;
+   wire n_38277;
+   wire n_38278;
+   wire n_38279;
+   wire n_38297;
+   wire n_38298;
+   wire n_38299;
+   wire n_38303;
+   wire n_38305;
+   wire n_38306;
+   wire n_38307;
+   wire n_38308;
+   wire n_38309;
+   wire n_38310;
+   wire n_38312;
+   wire n_38313;
+   wire n_38314;
+   wire n_38315;
+   wire n_38316;
+   wire n_38317;
+   wire n_38318;
+   wire n_38320;
+   wire n_38321;
+   wire n_38344;
+   wire n_38352;
+   wire n_38356;
+   wire n_38357;
+   wire n_38361;
+   wire n_38363;
+   wire n_38364;
+   wire n_38365;
+   wire n_38366;
+   wire n_38367;
+   wire n_38369;
+   wire n_38370;
+   wire n_38371;
+   wire n_38372;
+   wire n_38373;
+   wire n_38374;
+   wire n_38375;
+   wire n_38386;
+   wire n_38390;
+   wire n_38392;
+   wire n_38400;
+   wire n_38402;
+   wire n_38403;
+   wire n_38416;
+   wire n_38417;
+   wire n_38418;
+   wire n_38422;
+   wire n_38426;
+   wire n_38427;
+   wire n_38430;
+   wire n_38435;
+   wire n_38437;
+   wire n_38438;
+   wire n_38655;
+   wire n_38656;
+   wire n_38726;
+   wire n_38736;
+   wire n_38753;
+   wire n_38764;
+   wire n_38808;
+   wire n_38809;
+   wire n_38810;
+   wire n_38817;
+   wire n_38819;
+   wire n_38822;
+   wire n_38974;
+   wire n_39015;
+   wire n_39016;
+   wire n_39020;
+   wire n_39036;
+   wire n_39039;
+   wire n_39040;
+   wire n_39046;
+   wire n_39048;
+   wire n_39061;
+   wire n_39065;
+   wire n_39068;
+   wire n_39069;
+   wire n_39071;
+   wire n_39072;
+   wire n_39076;
+   wire n_39262;
+   wire n_39318;
+   wire n_39319;
+   wire n_39324;
+   wire n_39340;
+   wire n_39341;
+   wire n_39351;
+   wire n_39352;
+   wire n_39362;
+   wire n_39365;
+   wire n_39367;
+   wire n_39374;
+   wire n_39662;
+   wire n_39663;
+   wire n_39687;
+   wire n_39691;
+   wire n_39692;
+   wire n_39693;
+   wire n_39698;
+   wire n_39699;
+   wire n_39700;
+   wire n_39705;
+   wire n_39707;
+   wire n_39708;
+   wire n_39713;
+   wire n_39737;
+   wire n_39740;
+   wire n_39741;
+   wire n_39745;
+   wire n_39749;
+   wire n_39755;
+   wire n_39757;
+   wire n_40078;
+   wire n_40079;
+   wire n_40087;
+   wire n_40105;
+   wire n_40106;
+   wire n_40107;
+   wire n_40108;
+   wire n_40109;
+   wire n_40133;
+   wire n_40177;
+   wire n_40200;
+   wire n_40201;
+   wire n_40204;
+   wire n_40517;
+   wire n_40525;
+   wire n_40530;
+   wire n_40531;
+   wire n_40532;
+   wire n_40534;
+   wire n_40535;
+   wire n_40538;
+   wire n_40560;
+   wire n_40572;
+   wire n_40573;
+   wire n_40576;
+   wire n_40577;
+   wire n_40584;
+   wire n_40585;
+   wire n_40586;
+   wire n_40784;
+   wire n_40786;
+   wire n_40810;
+   wire n_40818;
+   wire n_40824;
+   wire n_40828;
+   wire n_41130;
+   wire n_41134;
+   wire n_41135;
+   wire n_41136;
+   wire n_41137;
+   wire n_41155;
+   wire n_41156;
+   wire n_41158;
+   wire n_41159;
+   wire n_41160;
+   wire n_41163;
+   wire n_41165;
+   wire n_41172;
+   wire n_41413;
+   wire n_41415;
+   wire n_41416;
+   wire n_41417;
+   wire n_41460;
+   wire n_41491;
+   wire n_41492;
+   wire n_41498;
+   wire n_41500;
+   wire n_41501;
+   wire n_41502;
+   wire n_41504;
+   wire n_41505;
+   wire n_41508;
+   wire n_41515;
+   wire n_41516;
+   wire n_41517;
+   wire n_41518;
+   wire n_41520;
+   wire n_41521;
+   wire n_41522;
+   wire n_41524;
+   wire n_41525;
+   wire n_41531;
+   wire n_41538;
+   wire n_41539;
+   wire n_41548;
+   wire n_41549;
+   wire n_41550;
+   wire n_41551;
+   wire n_41562;
+   wire n_41564;
+   wire n_41571;
+   wire n_41572;
+   wire n_41577;
+   wire n_41580;
+   wire n_41582;
+   wire n_41588;
+   wire n_41881;
+   wire n_41883;
+   wire n_42162;
+   wire n_42791;
+   wire n_42792;
+   wire n_42795;
+   wire n_42796;
+   wire n_42797;
+   wire n_42798;
+   wire n_42799;
+   wire n_42800;
+   wire n_42801;
+   wire n_42802;
+   wire n_42803;
+   wire n_42804;
+   wire n_42805;
+   wire n_42820;
+   wire n_42823;
+   wire n_42824;
+   wire n_42825;
+   wire n_42826;
+   wire n_42827;
+   wire n_42829;
+   wire n_42830;
+   wire n_42831;
+   wire n_42832;
+   wire n_42833;
+   wire n_42838;
+   wire n_42839;
+   wire n_42847;
+   wire n_42850;
+   wire n_42851;
+   wire n_42897;
+   wire n_42898;
+   wire n_42899;
+   wire n_42900;
+   wire n_42901;
+   wire n_42902;
+   wire n_42903;
+   wire n_42905;
+   wire n_42906;
+   wire n_42911;
+   wire n_42913;
+   wire n_42914;
+   wire n_42915;
+   wire n_42918;
+   wire n_42919;
+   wire n_42920;
+   wire n_42921;
+   wire n_42924;
+   wire n_42927;
+   wire n_42928;
+   wire n_42930;
+   wire n_42934;
+   wire n_42936;
+   wire n_42937;
+   wire n_42942;
+   wire n_42949;
+   wire n_42955;
+   wire n_42957;
+   wire n_42960;
+   wire n_42976;
+   wire n_42977;
+   wire n_42978;
+   wire n_42980;
+   wire n_42981;
+   wire n_42982;
+   wire n_42989;
+   wire n_42990;
+   wire n_42991;
+   wire n_42992;
+   wire n_42993;
+   wire n_42995;
+   wire n_42998;
+   wire n_43000;
+   wire n_43001;
+   wire n_43002;
+   wire n_43005;
+   wire n_43006;
+   wire n_43008;
+   wire n_43010;
+   wire n_43011;
+   wire n_43012;
+   wire n_43014;
+   wire n_43015;
+   wire n_43017;
+   wire n_43018;
+   wire n_43023;
+   wire n_43024;
+   wire n_43025;
+   wire n_43026;
+   wire n_43030;
+   wire n_43033;
+   wire n_43034;
+   wire n_43035;
+   wire n_43036;
+   wire n_43037;
+   wire n_43038;
+   wire n_43039;
+   wire n_43040;
+   wire n_43042;
+   wire n_43045;
+   wire n_43046;
+   wire n_43049;
+   wire n_43053;
+   wire n_43054;
+   wire n_43056;
+   wire n_43060;
+   wire n_43061;
+   wire n_43064;
+   wire n_43071;
+   wire n_43072;
+   wire n_43077;
+   wire n_43090;
+   wire n_43091;
+   wire n_43099;
+   wire n_43100;
+   wire n_43106;
+   wire n_43107;
+   wire n_43109;
+   wire n_43111;
+   wire n_43112;
+   wire n_43114;
+   wire n_43115;
+   wire n_43116;
+   wire n_43117;
+   wire n_43118;
+   wire n_43122;
+   wire n_43123;
+   wire n_43124;
+   wire n_43128;
+   wire n_43130;
+   wire n_43131;
+   wire n_43134;
+   wire n_43135;
+   wire n_43137;
+   wire n_43138;
+   wire n_43140;
+   wire n_43141;
+   wire n_43142;
+   wire n_43143;
+   wire n_43148;
+   wire n_43150;
+   wire n_43151;
+   wire n_43152;
+   wire n_43157;
+   wire n_43161;
+   wire n_43163;
+   wire n_43178;
+   wire n_43179;
+   wire n_43185;
+   wire n_43186;
+   wire n_43187;
+   wire n_43191;
+   wire n_43192;
+   wire n_43194;
+   wire n_43195;
+   wire n_43196;
+   wire n_43197;
+   wire n_43235;
+   wire n_43242;
+   wire n_43243;
+   wire n_43244;
+   wire n_43245;
+   wire n_43246;
+   wire n_43247;
+   wire n_43248;
+   wire n_43249;
+   wire n_43250;
+   wire n_43253;
+   wire n_43254;
+   wire n_43269;
+   wire n_43270;
+   wire n_43271;
+   wire n_43272;
+   wire n_43273;
+   wire n_43274;
+   wire n_43275;
+   wire n_43276;
+   wire n_43279;
+   wire n_43280;
+   wire n_43283;
+   wire n_43287;
+   wire n_43290;
+   wire n_43291;
+   wire n_43304;
+   wire n_43309;
+   wire n_43311;
+   wire n_43312;
+   wire n_43318;
+   wire n_43353;
+   wire n_43358;
+   wire n_43360;
+   wire n_43361;
+   wire n_43366;
+   wire n_43367;
+   wire n_43368;
+   wire n_43369;
+   wire n_43370;
+   wire n_43371;
+   wire n_43372;
+   wire n_43373;
+   wire n_43374;
+   wire n_43375;
+   wire n_43384;
+   wire n_43385;
+   wire n_43386;
+   wire n_43387;
+   wire n_43388;
+   wire n_43389;
+   wire n_43391;
+   wire n_43392;
+   wire n_43393;
+   wire n_43402;
+   wire n_43407;
+   wire n_43408;
+   wire n_43409;
+   wire n_43410;
+   wire n_43413;
+   wire n_43414;
+   wire n_43419;
+   wire n_43420;
+   wire n_43432;
+   wire n_43439;
+   wire n_43441;
+   wire n_43454;
+   wire n_43457;
+   wire n_43458;
+   wire n_43459;
+   wire n_43460;
+   wire n_43461;
+   wire n_43462;
+   wire n_43463;
+   wire n_43466;
+   wire n_43467;
+   wire n_43468;
+   wire n_43470;
+   wire n_43471;
+   wire n_43472;
+   wire n_43473;
+   wire n_43474;
+   wire n_43475;
+   wire n_43476;
+   wire n_43477;
+   wire n_43478;
+   wire n_43479;
+   wire n_43480;
+   wire n_43482;
+   wire n_43484;
+   wire n_43485;
+   wire n_43486;
+   wire n_43488;
+   wire n_43497;
+   wire n_43499;
+   wire n_43503;
+   wire n_43504;
+   wire n_43511;
+   wire n_43514;
+   wire n_43515;
+   wire n_43516;
+   wire n_43517;
+   wire n_43518;
+   wire n_43519;
+   wire n_43524;
+   wire n_43525;
+   wire n_43526;
+   wire n_43527;
+   wire n_43528;
+   wire n_43529;
+   wire n_43530;
+   wire n_43542;
+   wire n_43543;
+   wire n_43547;
+   wire n_43548;
+   wire n_43549;
+   wire n_43552;
+   wire n_43553;
+   wire n_43554;
+   wire n_43555;
+   wire n_43557;
+   wire n_43559;
+   wire n_43562;
+   wire n_43564;
+   wire n_43572;
+   wire n_43573;
+   wire n_43574;
+   wire n_43575;
+   wire n_43577;
+   wire n_43578;
+   wire n_43583;
+   wire n_43584;
+   wire n_43588;
+   wire n_43589;
+   wire n_43592;
+   wire n_43593;
+   wire n_43594;
+   wire n_43595;
+   wire n_43598;
+   wire n_43600;
+   wire n_43601;
+   wire n_43602;
+   wire n_43604;
+   wire n_43608;
+   wire n_43609;
+   wire n_43611;
+   wire n_43612;
+   wire n_43613;
+   wire n_43624;
+   wire n_43625;
+   wire n_43626;
+   wire n_43627;
+   wire n_43745;
+   wire n_43746;
+   wire n_43747;
+   wire n_43748;
+   wire n_43750;
+   wire n_43759;
+   wire n_43761;
+   wire n_43764;
+   wire n_43766;
+   wire n_43768;
+   wire n_43794;
+   wire n_43799;
+   wire n_43800;
+   wire n_43801;
+   wire n_43803;
+   wire n_43804;
+   wire n_43805;
+   wire n_43807;
+   wire n_43808;
+   wire n_43810;
+   wire n_43811;
+   wire n_43847;
+   wire n_43857;
+   wire n_43858;
+   wire n_43861;
+   wire n_43904;
+   wire n_43905;
+   wire n_43910;
+   wire n_43927;
+   wire n_43930;
+   wire n_43937;
+   wire n_43938;
+   wire n_43951;
+   wire n_43956;
+   wire n_43957;
+   wire n_43959;
+   wire n_43960;
+   wire n_43962;
+   wire n_43965;
+   wire n_43966;
+   wire n_43971;
+   wire n_43972;
+   wire n_43975;
+   wire n_43976;
+   wire n_43977;
+   wire n_43984;
+   wire n_43986;
+   wire n_43987;
+   wire n_43989;
+   wire n_43995;
+   wire n_43996;
+   wire n_43997;
+   wire n_44003;
+   wire n_44006;
+   wire n_44007;
+   wire n_44008;
+   wire n_44021;
+   wire n_44023;
+   wire n_44024;
+   wire n_44028;
+   wire n_44029;
+   wire n_44030;
+   wire n_44031;
+   wire n_44033;
+   wire n_44034;
+   wire n_44035;
+   wire n_44036;
+   wire n_44039;
+   wire n_44042;
+   wire n_44043;
+   wire n_44044;
+   wire n_44049;
+   wire n_44074;
+   wire n_44077;
+   wire n_44085;
+   wire n_44090;
+   wire n_44098;
+   wire n_44099;
+   wire n_44101;
+   wire n_44104;
+   wire n_44105;
+   wire n_44108;
+   wire n_44111;
+   wire n_44137;
+   wire n_44141;
+   wire n_44142;
+   wire n_44146;
+   wire n_44147;
+   wire n_44148;
+   wire n_44161;
+   wire n_44167;
+   wire n_44171;
+   wire n_44177;
+   wire n_44180;
+   wire n_44188;
+   wire n_44189;
+   wire n_44195;
+   wire n_44196;
+   wire n_44199;
+   wire n_44200;
+   wire n_44201;
+   wire n_44202;
+   wire n_44203;
+   wire n_44205;
+   wire n_44219;
+   wire n_44227;
+   wire n_44229;
+   wire n_44230;
+   wire n_44234;
+   wire n_44235;
+   wire n_44236;
+   wire n_44239;
+   wire n_44270;
+   wire n_44271;
+   wire n_44273;
+   wire n_44282;
+   wire n_44294;
+   wire n_44303;
+   wire n_44304;
+   wire n_44305;
+   wire n_44312;
+   wire n_44313;
+   wire n_44314;
+   wire n_44315;
+   wire n_44318;
+   wire n_44319;
+   wire n_44320;
+   wire n_44327;
+   wire n_44332;
+   wire n_44338;
+   wire n_44346;
+   wire n_44347;
+   wire n_44349;
+   wire n_44364;
+   wire n_44367;
+   wire n_44368;
+   wire n_44369;
+   wire n_44370;
+   wire n_44371;
+   wire n_44390;
+   wire n_44391;
+   wire n_44393;
+   wire n_44399;
+   wire n_44400;
+   wire n_44401;
+   wire n_44416;
+   wire n_44423;
+   wire n_44442;
+   wire n_44443;
+   wire n_44448;
+   wire n_44462;
+   wire n_44466;
+   wire n_44467;
+   wire n_44468;
+   wire n_44490;
+   wire n_44509;
+   wire n_44518;
+   wire n_44520;
+   wire n_44521;
+   wire n_44522;
+   wire n_44527;
+   wire n_44528;
+   wire n_44529;
+   wire n_44530;
+   wire n_44539;
+   wire n_44544;
+   wire n_44545;
+   wire n_44548;
+   wire n_44549;
+   wire n_44560;
+   wire n_44561;
+   wire n_44566;
+   wire n_44567;
+   wire n_44577;
+   wire n_44584;
+   wire n_44616;
+   wire n_44617;
+   wire n_44621;
+   wire n_44631;
+   wire n_44632;
+   wire n_44633;
+   wire n_44731;
+   wire n_44734;
+   wire n_44735;
+   wire n_44736;
+   wire n_44740;
+   wire n_44767;
+   wire n_44791;
+   wire n_44793;
+   wire n_44794;
+   wire n_44795;
+   wire n_44799;
+   wire n_44800;
+   wire n_44803;
+   wire n_44838;
+   wire n_44839;
+   wire n_44846;
+   wire n_44851;
+   wire n_44879;
+   wire n_44881;
+   wire n_44883;
+   wire n_44884;
+   wire n_44886;
+   wire n_44888;
+   wire n_44889;
+   wire n_44898;
+   wire n_44925;
+   wire n_44930;
+   wire n_44937;
+   wire n_44942;
+   wire n_44945;
+   wire n_44952;
+   wire n_44958;
+   wire n_44959;
+   wire n_44961;
+   wire n_44970;
+   wire n_44980;
+   wire n_44981;
+   wire n_44982;
+   wire n_44990;
+   wire n_44991;
+   wire n_44992;
+   wire n_44993;
+   wire n_44999;
+   wire n_45002;
+   wire n_45015;
+   wire n_45049;
+   wire n_45050;
+   wire n_45057;
+   wire n_45060;
+   wire n_45064;
+   wire n_45070;
+   wire n_45072;
+   wire n_45084;
+   wire n_45085;
+   wire n_45093;
+   wire n_45143;
+   wire n_45144;
+   wire n_45146;
+   wire n_45147;
+   wire n_45148;
+   wire n_45152;
+   wire n_45153;
+   wire n_45154;
+   wire n_45155;
+   wire n_45156;
+   wire n_45162;
+   wire n_45179;
+   wire n_45180;
+   wire n_45181;
+   wire n_45201;
+   wire n_45223;
+   wire n_45225;
+   wire n_45226;
+   wire n_45230;
+   wire n_45231;
+   wire n_45232;
+   wire n_45233;
+   wire n_45234;
+   wire n_45237;
+   wire n_45238;
+   wire n_45239;
+   wire n_45242;
+   wire n_45243;
+   wire n_45249;
+   wire n_45252;
+   wire n_45253;
+   wire n_45279;
+   wire n_45285;
+   wire n_45286;
+   wire n_45291;
+   wire n_45302;
+   wire n_45304;
+   wire n_45307;
+   wire n_45320;
+   wire n_45321;
+   wire n_45331;
+   wire n_45337;
+   wire n_45338;
+   wire n_45341;
+   wire n_45354;
+   wire n_45356;
+   wire n_45371;
+   wire n_45381;
+   wire n_45390;
+   wire n_45394;
+   wire n_45395;
+   wire n_45396;
+   wire n_45397;
+   wire n_45398;
+   wire n_45436;
+   wire n_45443;
+   wire n_45448;
+   wire n_45450;
+   wire n_45451;
+   wire n_45453;
+   wire n_45455;
+   wire n_45458;
+   wire n_45462;
+   wire n_45463;
+   wire n_45468;
+   wire n_45471;
+   wire n_45475;
+   wire n_45476;
+   wire n_45477;
+   wire n_45498;
+   wire n_45506;
+   wire n_45507;
+   wire n_45508;
+   wire n_45511;
+   wire n_45521;
+   wire n_45544;
+   wire n_45590;
+   wire n_45592;
+   wire n_45596;
+   wire n_45610;
+   wire n_45614;
+   wire n_45615;
+   wire n_45616;
+   wire n_45617;
+   wire n_45619;
+   wire n_45629;
+   wire n_45635;
+   wire n_45636;
+   wire n_45637;
+   wire n_45638;
+   wire n_45645;
+   wire n_45646;
+   wire n_45649;
+   wire n_45650;
+   wire n_45653;
+   wire n_45668;
+   wire n_45671;
+   wire n_45672;
+   wire n_45678;
+   wire n_45680;
+   wire n_45685;
+   wire n_45686;
+   wire n_45689;
+   wire n_45690;
+   wire n_45692;
+   wire n_45709;
+   wire n_45711;
+   wire n_45712;
+   wire n_45722;
+   wire n_45725;
+   wire n_45778;
+   wire n_45798;
+   wire n_45801;
+   wire n_45803;
+   wire n_45804;
+   wire n_45805;
+   wire n_45806;
+   wire n_45807;
+   wire n_45808;
+   wire n_45809;
+   wire n_45810;
+   wire n_45811;
+   wire n_45812;
+   wire n_45817;
+   wire n_45823;
+   wire n_45824;
+   wire n_45827;
+   wire n_45828;
+   wire n_45829;
+   wire n_45857;
+   wire n_45885;
+   wire n_45891;
+   wire n_45910;
+   wire n_45911;
+   wire n_45915;
+   wire n_45923;
+   wire n_45932;
+   wire n_45934;
+   wire n_45935;
+   wire n_45937;
+   wire n_45943;
+   wire n_45959;
+   wire n_45961;
+   wire n_45964;
+   wire n_45978;
+   wire n_45982;
+   wire n_45983;
+   wire n_45989;
+   wire n_45991;
+   wire n_45999;
+   wire n_46011;
+   wire n_46016;
+   wire n_46017;
+   wire n_46041;
+   wire n_46042;
+   wire n_46044;
+   wire n_46048;
+   wire n_46049;
+   wire n_46050;
+   wire n_46051;
+   wire n_46052;
+   wire n_46053;
+   wire n_46060;
+   wire n_46061;
+   wire n_46062;
+   wire n_46064;
+   wire n_46067;
+   wire n_46068;
+   wire n_46069;
+   wire n_46070;
+   wire n_46078;
+   wire n_46088;
+   wire n_46093;
+   wire n_46095;
+   wire n_46096;
+   wire n_46098;
+   wire n_46100;
+   wire n_46101;
+   wire n_46102;
+   wire n_46103;
+   wire n_46109;
+   wire n_46111;
+   wire n_46112;
+   wire n_46114;
+   wire n_46115;
+   wire n_46125;
+   wire n_46139;
+   wire n_46142;
+   wire n_46156;
+   wire n_46168;
+   wire n_46169;
+   wire n_46174;
+   wire n_46188;
+   wire n_46198;
+   wire n_46200;
+   wire n_46202;
+   wire n_46204;
+   wire n_46216;
+   wire n_46232;
+   wire n_46233;
+   wire n_46269;
+   wire n_46270;
+   wire n_46275;
+   wire n_46276;
+   wire n_46280;
+   wire n_46284;
+   wire n_46285;
+   wire n_46314;
+   wire n_46319;
+   wire n_46320;
+   wire n_46335;
+   wire n_46337;
+   wire n_46338;
+   wire n_46340;
+   wire n_46347;
+   wire n_46348;
+   wire n_46349;
+   wire n_46350;
+   wire n_46364;
+   wire n_46365;
+   wire n_46370;
+   wire n_46372;
+   wire n_46373;
+   wire n_46376;
+   wire n_46377;
+   wire n_46379;
+   wire n_46395;
+   wire n_46400;
+   wire n_46409;
+   wire n_46410;
+   wire n_46411;
+   wire n_46413;
+   wire n_46414;
+   wire n_46417;
+   wire n_46419;
+   wire n_46422;
+   wire n_46423;
+   wire n_46424;
+   wire n_46450;
+   wire n_46457;
+   wire n_46465;
+   wire n_46467;
+   wire n_46470;
+   wire n_46472;
+   wire n_46484;
+   wire n_46491;
+   wire n_46493;
+   wire n_46495;
+   wire n_46498;
+   wire n_46500;
+   wire n_46501;
+   wire n_46504;
+   wire n_46505;
+   wire n_46507;
+   wire n_46547;
+   wire n_46548;
+   wire n_46598;
+   wire n_46610;
+   wire n_46627;
+   wire n_46628;
+   wire n_46633;
+   wire n_46636;
+   wire n_46639;
+   wire n_46641;
+   wire n_46642;
+   wire n_46645;
+   wire n_46648;
+   wire n_46651;
+   wire n_46652;
+   wire n_46655;
+   wire n_46656;
+   wire n_46657;
+   wire n_46660;
+   wire n_46661;
+   wire n_46662;
+   wire n_46664;
+   wire n_46665;
+   wire n_46667;
+   wire n_46674;
+   wire n_46675;
+   wire n_46677;
+   wire n_46678;
+   wire n_46679;
+   wire n_46680;
+   wire n_46690;
+   wire n_46692;
+   wire n_46696;
+   wire n_46697;
+   wire n_46698;
+   wire n_46701;
+   wire n_46711;
+   wire n_46722;
+   wire n_46725;
+   wire n_46726;
+   wire n_46728;
+   wire n_46729;
+   wire n_46730;
+   wire n_46731;
+   wire n_46732;
+   wire n_46738;
+   wire n_46739;
+   wire n_46743;
+   wire n_46744;
+   wire n_46745;
+   wire n_46746;
+   wire n_46747;
+   wire n_46748;
+   wire n_46753;
+   wire n_46754;
+   wire n_46755;
+   wire n_46756;
+   wire n_46757;
+   wire n_46758;
+   wire n_46759;
+   wire n_46760;
+   wire n_46761;
+   wire n_46762;
+   wire n_46763;
+   wire n_46764;
+   wire n_46781;
+   wire n_46794;
+   wire n_46796;
+   wire n_46797;
+   wire n_46798;
+   wire n_46800;
+   wire n_46801;
+   wire n_46802;
+   wire n_46803;
+   wire n_46809;
+   wire n_46810;
+   wire n_46828;
+   wire n_46831;
+   wire n_46833;
+   wire n_46834;
+   wire n_46835;
+   wire n_46836;
+   wire n_46837;
+   wire n_46838;
+   wire n_46839;
+   wire n_46840;
+   wire n_46841;
+   wire n_46846;
+   wire n_46882;
+   wire n_46883;
+   wire n_46886;
+   wire n_46887;
+   wire n_46888;
+   wire n_46891;
+   wire n_46893;
+   wire n_46910;
+   wire n_46921;
+   wire n_46929;
+   wire n_46931;
+   wire n_46932;
+   wire n_46933;
+   wire n_46935;
+   wire n_46936;
+   wire n_46939;
+   wire n_46942;
+   wire n_46956;
+   wire n_46957;
+   wire n_46961;
+   wire n_46962;
+   wire n_46964;
+   wire n_46970;
+   wire n_46972;
+   wire n_46973;
+   wire n_46974;
+   wire n_46975;
+   wire n_46976;
+   wire n_46977;
+   wire n_46979;
+   wire n_46982;
+   wire n_46994;
+   wire n_46995;
+   wire n_46996;
+   wire n_46997;
+   wire n_47001;
+   wire n_47002;
+   wire n_47003;
+   wire n_47004;
+   wire n_47005;
+   wire n_47010;
+   wire n_47011;
+   wire n_47012;
+   wire n_47013;
+   wire n_47014;
+   wire n_47020;
+   wire n_47026;
+   wire n_47027;
+   wire n_47028;
+   wire n_47033;
+   wire n_47052;
+   wire n_47055;
+   wire n_47058;
+   wire n_47059;
+   wire n_47063;
+   wire n_47076;
+   wire n_47087;
+   wire n_47088;
+   wire n_47089;
+   wire n_47106;
+   wire n_47123;
+   wire n_47124;
+   wire n_47125;
+   wire n_47126;
+   wire n_47127;
+   wire n_47128;
+   wire n_47129;
+   wire n_47130;
+   wire n_47131;
+   wire n_47133;
+   wire n_47137;
+   wire n_47146;
+   wire n_47148;
+   wire n_47151;
+   wire n_47152;
+   wire n_47155;
+   wire n_47157;
+   wire n_47159;
+   wire n_47161;
+   wire n_47162;
+   wire n_47163;
+   wire n_47164;
+   wire n_47165;
+   wire n_47167;
+   wire n_47168;
+   wire n_47169;
+   wire n_47171;
+   wire n_47173;
+   wire n_47199;
+   wire n_47224;
+   wire n_47235;
+   wire n_47240;
+   wire n_47264;
+   wire n_47280;
+   wire n_47282;
+   wire n_47288;
+   wire n_47304;
+   wire n_47305;
+   wire n_47316;
+   wire n_47319;
+   wire n_47321;
+   wire n_47322;
+   wire n_47324;
+   wire n_47328;
+   wire n_47354;
+   wire n_47355;
+   wire n_47361;
+   wire n_47375;
+   wire n_47378;
+   wire n_47380;
+   wire n_47381;
+   wire n_47382;
+   wire n_47383;
+   wire n_47384;
+   wire n_47385;
+   wire n_47386;
+   wire n_47394;
+   wire n_47399;
+   wire n_47402;
+   wire n_47405;
+   wire n_47411;
+   wire n_47417;
+   wire n_47420;
+   wire n_47425;
+   wire n_47447;
+   wire n_47455;
+   wire n_47464;
+   wire n_47478;
+   wire n_47479;
+   wire n_47480;
+   wire n_47481;
+   wire n_47482;
+   wire n_47485;
+   wire n_47486;
+   wire n_47488;
+   wire n_47489;
+   wire n_47490;
+   wire n_47496;
+   wire n_47497;
+   wire n_47510;
+   wire n_47513;
+   wire n_47517;
+   wire n_47519;
+   wire n_47526;
+   wire n_47527;
+   wire n_47530;
+   wire n_47531;
+   wire n_47537;
+   wire n_47539;
+   wire n_47546;
+   wire n_47552;
+   wire n_47554;
+   wire n_47555;
+   wire n_47556;
+   wire n_47561;
+   wire n_47562;
+   wire n_47564;
+   wire n_47565;
+   wire n_47566;
+   wire n_47569;
+   wire n_47570;
+   wire n_47571;
+   wire n_47572;
+   wire n_47575;
+   wire n_47576;
+   wire n_47577;
+   wire n_47578;
+   wire n_47579;
+   wire n_47580;
+   wire n_47596;
+   wire n_47603;
+   wire n_47604;
+   wire n_47605;
+   wire n_47606;
+   wire n_47615;
+   wire n_47616;
+   wire n_47620;
+   wire n_47623;
+   wire n_47624;
+   wire n_47682;
+   wire n_47687;
+   wire n_47688;
+   wire n_47691;
+   wire n_47692;
+   wire n_47693;
+   wire n_47696;
+   wire n_47698;
+   wire n_47728;
+   wire n_47729;
+   wire n_47746;
+   wire n_47747;
+   wire n_47751;
+   wire n_47752;
+   wire n_47756;
+   wire n_47759;
+   wire n_47760;
+   wire n_47761;
+   wire n_47763;
+   wire n_47774;
+   wire n_47789;
+   wire n_47796;
+   wire n_47799;
+   wire n_47800;
+   wire n_47801;
+   wire n_47807;
+   wire n_47808;
+   wire n_47809;
+   wire n_47810;
+   wire n_47825;
+   wire n_47826;
+   wire n_47827;
+   wire n_47828;
+   wire n_47829;
+   wire n_47830;
+   wire n_47831;
+   wire n_47839;
+   wire n_47846;
+   wire n_47847;
+   wire n_47849;
+   wire n_47859;
+   wire n_47863;
+   wire n_47864;
+   wire n_47865;
+   wire n_47866;
+   wire n_47873;
+   wire n_47876;
+   wire n_47877;
+   wire n_47897;
+   wire n_47902;
+   wire n_47914;
+   wire n_47915;
+   wire n_47916;
+   wire n_47917;
+   wire n_47925;
+   wire n_47936;
+   wire n_47937;
+   wire n_47943;
+   wire n_47944;
+   wire n_47945;
+   wire n_47956;
+   wire n_47958;
+   wire n_47959;
+   wire n_47963;
+   wire n_47964;
+   wire n_47965;
+   wire n_47966;
+   wire n_47967;
+   wire n_47968;
+   wire n_47969;
+   wire n_47970;
+   wire n_47971;
+   wire n_47975;
+   wire n_47976;
+   wire n_47994;
+   wire n_47995;
+   wire n_47996;
+   wire n_47997;
+   wire n_47998;
+   wire n_48001;
+   wire n_48002;
+   wire n_48016;
+   wire n_48019;
+   wire n_48020;
+   wire n_48025;
+   wire n_48028;
+   wire n_48030;
+   wire n_48031;
+   wire n_48032;
+   wire n_48033;
+   wire n_48041;
+   wire n_48043;
+   wire n_48045;
+   wire n_48046;
+   wire n_48047;
+   wire n_48048;
+   wire n_48049;
+   wire n_48059;
+   wire n_48070;
+   wire n_48071;
+   wire n_48077;
+   wire n_48078;
+   wire n_48083;
+   wire n_48085;
+   wire n_48089;
+   wire n_48090;
+   wire n_48091;
+   wire n_48103;
+   wire n_48107;
+   wire n_48108;
+   wire n_48109;
+   wire n_48115;
+   wire n_48116;
+   wire n_48117;
+   wire n_48128;
+   wire n_48129;
+   wire n_48136;
+   wire n_48137;
+   wire n_48177;
+   wire n_48178;
+   wire n_48183;
+   wire n_48187;
+   wire n_48219;
+   wire n_48220;
+   wire n_48225;
+   wire n_48231;
+   wire n_48232;
+   wire n_48233;
+   wire n_48234;
+   wire n_48237;
+   wire n_48243;
+   wire n_48244;
+   wire n_48246;
+   wire n_48249;
+   wire n_48250;
+   wire n_48251;
+   wire n_48253;
+   wire n_48259;
+   wire n_48260;
+   wire n_48262;
+   wire n_48265;
+   wire n_48272;
+   wire n_48274;
+   wire n_48275;
+   wire n_48276;
+   wire n_48277;
+   wire n_48279;
+   wire n_48280;
+   wire n_48281;
+   wire n_48288;
+   wire n_48295;
+   wire n_48298;
+   wire n_48302;
+   wire n_48304;
+   wire n_48305;
+   wire n_48306;
+   wire n_48308;
+   wire n_48310;
+   wire n_48311;
+   wire n_48314;
+   wire n_48315;
+   wire n_48316;
+   wire n_48317;
+   wire n_48318;
+   wire n_48324;
+   wire n_48325;
+   wire n_48346;
+   wire n_48347;
+   wire n_48348;
+   wire n_48349;
+   wire n_48350;
+   wire n_48351;
+   wire n_48352;
+   wire n_48353;
+   wire n_48357;
+   wire n_48380;
+   wire n_48381;
+   wire n_48382;
+   wire n_48383;
+   wire n_48385;
+   wire n_48386;
+   wire n_48397;
+   wire n_48398;
+   wire n_48400;
+   wire n_48408;
+   wire n_48409;
+   wire n_48410;
+   wire n_48412;
+   wire n_48418;
+   wire n_48419;
+   wire n_48428;
+   wire n_48434;
+   wire n_48435;
+   wire n_48442;
+   wire n_48443;
+   wire n_48444;
+   wire n_48445;
+   wire n_48447;
+   wire n_48448;
+   wire n_48449;
+   wire n_48450;
+   wire n_48451;
+   wire n_48452;
+   wire n_48453;
+   wire n_48460;
+   wire n_48461;
+   wire n_48462;
+   wire n_48463;
+   wire n_48464;
+   wire n_48466;
+   wire n_48467;
+   wire n_48476;
+   wire n_48477;
+   wire n_48478;
+   wire n_48480;
+   wire n_48491;
+   wire n_48499;
+   wire n_48503;
+   wire n_48505;
+   wire n_48510;
+   wire n_48512;
+   wire n_48513;
+   wire n_48514;
+   wire n_48515;
+   wire n_48516;
+   wire n_48518;
+   wire n_48519;
+   wire n_48520;
+   wire n_48528;
+   wire n_48529;
+   wire n_48535;
+   wire n_48536;
+   wire n_48537;
+   wire n_48538;
+   wire n_48539;
+   wire n_48541;
+   wire n_48542;
+   wire n_48543;
+   wire n_48544;
+   wire n_48551;
+   wire n_48558;
+   wire n_48559;
+   wire n_48562;
+   wire n_48563;
+   wire n_48576;
+   wire n_48580;
+   wire n_48599;
+   wire n_48602;
+   wire n_48603;
+   wire n_48604;
+   wire n_48605;
+   wire n_48606;
+   wire n_48607;
+   wire n_48612;
+   wire n_48617;
+   wire n_48618;
+   wire n_48619;
+   wire n_48620;
+   wire n_48622;
+   wire n_48631;
+   wire n_48638;
+   wire n_48642;
+   wire n_48651;
+   wire n_48659;
+   wire n_48660;
+   wire n_48661;
+   wire n_48664;
+   wire n_48665;
+   wire n_48669;
+   wire n_48670;
+   wire n_48675;
+   wire n_48676;
+   wire n_48677;
+   wire n_48678;
+   wire n_48681;
+   wire n_48686;
+   wire n_48687;
+   wire n_48689;
+   wire n_48690;
+   wire n_48700;
+   wire n_48705;
+   wire n_48707;
+   wire n_48708;
+   wire n_48727;
+   wire n_48728;
+   wire n_48730;
+   wire n_48731;
+   wire n_48732;
+   wire n_48733;
+   wire n_48734;
+   wire n_48752;
+   wire n_48753;
+   wire n_48758;
+   wire n_48779;
+   wire n_48781;
+   wire n_48782;
+   wire n_48783;
+   wire n_48788;
+   wire n_48789;
+   wire n_48791;
+   wire n_48792;
+   wire n_48845;
+   wire n_48846;
+   wire n_48853;
+   wire n_48855;
+   wire n_48868;
+   wire n_48872;
+   wire n_48874;
+   wire n_48881;
+   wire n_48883;
+   wire n_48884;
+   wire n_48885;
+   wire n_48887;
+   wire n_48907;
+   wire n_48917;
+   wire n_48918;
+   wire n_48928;
+   wire n_48933;
+   wire n_48934;
+   wire n_48938;
+   wire n_48939;
+   wire n_48945;
+   wire n_48947;
+   wire n_48948;
+   wire n_48956;
+   wire n_48957;
+   wire n_48963;
+   wire n_48964;
+   wire n_48973;
+   wire n_48977;
+   wire n_48989;
+   wire n_48992;
+   wire n_48993;
+   wire n_48995;
+   wire n_48998;
+   wire n_48999;
+   wire n_49005;
+   wire n_49006;
+   wire n_49011;
+   wire n_49013;
+   wire n_49015;
+   wire n_49016;
+   wire n_49017;
+   wire n_49018;
+   wire n_49019;
+   wire n_49020;
+   wire n_49021;
+   wire n_49022;
+   wire n_49025;
+   wire n_49028;
+   wire n_49033;
+   wire n_49034;
+   wire n_49035;
+   wire n_49036;
+   wire n_49044;
+   wire n_49045;
+   wire n_49046;
+   wire n_49047;
+   wire n_49048;
+   wire n_49049;
+   wire n_49050;
+   wire n_49051;
+   wire n_49052;
+   wire n_49053;
+   wire n_49055;
+   wire n_49057;
+   wire n_49065;
+   wire n_49067;
+   wire n_49068;
+   wire n_49069;
+   wire n_49072;
+   wire n_49076;
+   wire n_49077;
+   wire n_49079;
+   wire n_49080;
+   wire n_49089;
+   wire n_49090;
+   wire n_49097;
+   wire n_49099;
+   wire n_49102;
+   wire n_49113;
+   wire n_49114;
+   wire n_49115;
+   wire n_49120;
+   wire n_49124;
+   wire n_49125;
+   wire n_49127;
+   wire n_49128;
+   wire n_49129;
+   wire n_49133;
+   wire n_49134;
+   wire n_49135;
+   wire n_49136;
+   wire n_49137;
+   wire n_49138;
+   wire n_49139;
+   wire n_49140;
+   wire n_49142;
+   wire n_49143;
+   wire n_49144;
+   wire n_49145;
+   wire n_49147;
+   wire n_49150;
+   wire n_49164;
+   wire n_49168;
+   wire n_49174;
+   wire n_49180;
+   wire n_49181;
+   wire n_49182;
+   wire n_49183;
+   wire n_49199;
+   wire n_49200;
+   wire n_49206;
+   wire n_49207;
+   wire n_49208;
+   wire n_49211;
+   wire n_49214;
+   wire n_49216;
+   wire n_49226;
+   wire n_49228;
+   wire n_49229;
+   wire n_49236;
+   wire n_49239;
+   wire n_49240;
+   wire n_49241;
+   wire n_49245;
+   wire n_49246;
+   wire n_49248;
+   wire n_49257;
+   wire n_49262;
+   wire n_49263;
+   wire n_49265;
+   wire n_49266;
+   wire n_49267;
+   wire n_49270;
+   wire n_49279;
+   wire n_49280;
+   wire n_49288;
+   wire n_49291;
+   wire n_49292;
+   wire n_49293;
+   wire n_49294;
+   wire n_49295;
+   wire n_49297;
+   wire n_49298;
+   wire n_49299;
+   wire n_49301;
+   wire n_49307;
+   wire n_49308;
+   wire n_49310;
+   wire n_49311;
+   wire n_49313;
+   wire n_49319;
+   wire n_49320;
+   wire n_49321;
+   wire n_49325;
+   wire n_49336;
+   wire n_49338;
+   wire n_49339;
+   wire n_49342;
+   wire n_49345;
+   wire n_49347;
+   wire n_49349;
+   wire n_49350;
+   wire n_49354;
+   wire n_49355;
+   wire n_49356;
+   wire n_49365;
+   wire n_49373;
+   wire n_49378;
+   wire n_49379;
+   wire n_49394;
+   wire n_49395;
+   wire n_49398;
+   wire n_49401;
+   wire n_49403;
+   wire n_49409;
+   wire n_49442;
+   wire n_49443;
+   wire n_49448;
+   wire n_49449;
+   wire n_49450;
+   wire n_49453;
+   wire n_49454;
+   wire n_49455;
+   wire n_49459;
+   wire n_49460;
+   wire n_49461;
+   wire n_49463;
+   wire n_49466;
+   wire n_49467;
+   wire n_49469;
+   wire n_49470;
+   wire n_49479;
+   wire n_49481;
+   wire n_49490;
+   wire n_49505;
+   wire n_49507;
+   wire n_49508;
+   wire n_49530;
+   wire n_49535;
+   wire n_49546;
+   wire n_49547;
+   wire n_49548;
+   wire n_49549;
+   wire n_49550;
+   wire n_49568;
+   wire n_49575;
+   wire n_49576;
+   wire n_49578;
+   wire n_49591;
+   wire n_49592;
+   wire n_49600;
+   wire n_49606;
+   wire n_49610;
+   wire n_49611;
+   wire n_49620;
+   wire n_49629;
+   wire n_49632;
+   wire n_49637;
+   wire n_49638;
+   wire n_49646;
+   wire n_49653;
+   wire n_49659;
+   wire n_49660;
+   wire n_49662;
+   wire n_49669;
+   wire n_49670;
+   wire n_49678;
+   wire n_49679;
+   wire n_49690;
+   wire n_49722;
+   wire n_49723;
+   wire n_49726;
+   wire n_49727;
+   wire n_49732;
+   wire n_49743;
+   wire n_49744;
+   wire n_49750;
+   wire n_49751;
+   wire n_49762;
+   wire n_49763;
+   wire n_49767;
+   wire n_49770;
+   wire n_49776;
+   wire n_49789;
+   wire n_49790;
+   wire n_49792;
+   wire n_49793;
+   wire n_49794;
+   wire n_49795;
+   wire n_49796;
+   wire n_49799;
+   wire n_49807;
+   wire n_49809;
+   wire n_49811;
+   wire n_49813;
+   wire n_49815;
+   wire n_49822;
+   wire n_49828;
+   wire n_49830;
+   wire n_49833;
+   wire n_49834;
+   wire n_49835;
+   wire n_49836;
+   wire n_49843;
+   wire n_49851;
+   wire n_49854;
+   wire n_49858;
+   wire n_49861;
+   wire n_49866;
+   wire n_49871;
+   wire n_49883;
+   wire n_49885;
+   wire n_49889;
+   wire n_49893;
+   wire n_49922;
+   wire n_49929;
+   wire n_49930;
+   wire n_49932;
+   wire n_49935;
+   wire n_49945;
+   wire n_49946;
+   wire n_49947;
+   wire n_49949;
+   wire n_49951;
+   wire n_49958;
+   wire n_49964;
+   wire n_49965;
+   wire n_49970;
+   wire n_49971;
+   wire n_49976;
+   wire n_49977;
+   wire n_49980;
+   wire n_49991;
+   wire n_49992;
+   wire n_49995;
+   wire n_49997;
+   wire n_50008;
+   wire n_50013;
+   wire n_50015;
+   wire n_50027;
+   wire n_50028;
+   wire n_50029;
+   wire n_50030;
+   wire n_50031;
+   wire n_50041;
+   wire n_50042;
+   wire n_50060;
+   wire n_50083;
+   wire n_50085;
+   wire n_50086;
+   wire n_50087;
+   wire n_50088;
+   wire n_50115;
+   wire n_50118;
+   wire n_50120;
+   wire n_50121;
+   wire n_50136;
+   wire n_50139;
+   wire n_50142;
+   wire n_50145;
+   wire n_50146;
+   wire n_50147;
+   wire n_50148;
+   wire n_50149;
+   wire n_50150;
+   wire n_50153;
+   wire n_50154;
+   wire n_50156;
+   wire n_50158;
+   wire n_50178;
+   wire n_50179;
+   wire n_50180;
+   wire n_50181;
+   wire n_50182;
+   wire n_50183;
+   wire n_50195;
+   wire n_50196;
+   wire n_50200;
+   wire n_50206;
+   wire n_50214;
+   wire n_50242;
+   wire n_50244;
+   wire n_50245;
+   wire n_50246;
+   wire n_50247;
+   wire n_50253;
+   wire n_50254;
+   wire n_50261;
+   wire n_50274;
+   wire n_50275;
+   wire n_50281;
+   wire n_50282;
+   wire n_50290;
+   wire n_50292;
+   wire n_50295;
+   wire n_50296;
+   wire n_50297;
+   wire n_50300;
+   wire n_50301;
+   wire n_50302;
+   wire n_50303;
+   wire n_50304;
+   wire n_50305;
+   wire n_50308;
+   wire n_50314;
+   wire n_50317;
+   wire n_50319;
+   wire n_50324;
+   wire n_50331;
+   wire n_50336;
+   wire n_50342;
+   wire n_50344;
+   wire n_50350;
+   wire n_50363;
+   wire n_50366;
+   wire n_50370;
+   wire n_50371;
+   wire n_50372;
+   wire n_50373;
+   wire n_50374;
+   wire n_50375;
+   wire n_50378;
+   wire n_50394;
+   wire n_50396;
+   wire n_50405;
+   wire n_50408;
+   wire n_50419;
+   wire n_50421;
+   wire n_50422;
+   wire n_50427;
+   wire n_50443;
+   wire n_50444;
+   wire n_50445;
+   wire n_50446;
+   wire n_50447;
+   wire n_50450;
+   wire n_50461;
+   wire n_50462;
+   wire n_50464;
+   wire n_50465;
+   wire n_50466;
+   wire n_50467;
+   wire n_50469;
+   wire n_50471;
+   wire n_50474;
+   wire n_50476;
+   wire n_50478;
+   wire n_50481;
+   wire n_50482;
+   wire n_50483;
+   wire n_50486;
+   wire n_50488;
+   wire n_50489;
+   wire n_50492;
+   wire n_50500;
+   wire n_50501;
+   wire n_50504;
+   wire n_50505;
+   wire n_50506;
+   wire n_50512;
+   wire n_50514;
+   wire n_50515;
+   wire n_50517;
+   wire n_50518;
+   wire n_50520;
+   wire n_50521;
+   wire n_50523;
+   wire n_50528;
+   wire n_50529;
+   wire n_50534;
+   wire n_50544;
+   wire n_50549;
+   wire n_50563;
+   wire n_50564;
+   wire n_50565;
+   wire n_50568;
+   wire n_50569;
+   wire n_50570;
+   wire n_50571;
+   wire n_50572;
+   wire n_50575;
+   wire n_50580;
+   wire n_50581;
+   wire n_50582;
+   wire n_50583;
+   wire n_50584;
+   wire n_50586;
+   wire n_50587;
+   wire n_50588;
+   wire n_50590;
+   wire n_50591;
+   wire n_50600;
+   wire n_50605;
+   wire n_50607;
+   wire n_50611;
+   wire n_50612;
+   wire n_50613;
+   wire n_50627;
+   wire n_50630;
+   wire n_50631;
+   wire n_50632;
+   wire n_50636;
+   wire n_50637;
+   wire n_50641;
+   wire n_50643;
+   wire n_50662;
+   wire n_50663;
+   wire n_50668;
+   wire n_50669;
+   wire n_50676;
+   wire n_50684;
+   wire n_50685;
+   wire n_50688;
+   wire n_50691;
+   wire n_50692;
+   wire n_50695;
+   wire n_50697;
+   wire n_50703;
+   wire n_50704;
+   wire n_50708;
+   wire n_50709;
+   wire n_50710;
+   wire n_50711;
+   wire n_50714;
+   wire n_50715;
+   wire n_50725;
+   wire n_50738;
+   wire n_50739;
+   wire n_50740;
+   wire n_50758;
+   wire n_50759;
+   wire n_50760;
+   wire n_50761;
+   wire n_50762;
+   wire n_50763;
+   wire n_50768;
+   wire n_50769;
+   wire n_50770;
+   wire n_50771;
+   wire n_50772;
+   wire n_50785;
+   wire n_50787;
+   wire n_50795;
+   wire n_50798;
+   wire n_50800;
+   wire n_50801;
+   wire n_50803;
+   wire n_50810;
+   wire n_50811;
+   wire n_50813;
+   wire n_50814;
+   wire n_50815;
+   wire n_50816;
+   wire n_50820;
+   wire n_50824;
+   wire n_50825;
+   wire n_50826;
+   wire n_50827;
+   wire n_50828;
+   wire n_50830;
+   wire n_50842;
+   wire n_50845;
+   wire n_50846;
+   wire n_50852;
+   wire n_50856;
+   wire n_50865;
+   wire n_50867;
+   wire n_50869;
+   wire n_50871;
+   wire n_50873;
+   wire n_50874;
+   wire n_50882;
+   wire n_50883;
+   wire n_50885;
+   wire n_50889;
+   wire n_50892;
+   wire n_50894;
+   wire n_50895;
+   wire n_50896;
+   wire n_50903;
+   wire n_50921;
+   wire n_50922;
+   wire n_50923;
+   wire n_50924;
+   wire n_50933;
+   wire n_50935;
+   wire n_50936;
+   wire n_50937;
+   wire n_50938;
+   wire n_50940;
+   wire n_50941;
+   wire n_50942;
+   wire n_50944;
+   wire n_50961;
+   wire n_50966;
+   wire n_50971;
+   wire n_50972;
+   wire n_50978;
+   wire n_50983;
+   wire n_50987;
+   wire n_50989;
+   wire n_50990;
+   wire n_50992;
+   wire n_50993;
+   wire n_51006;
+   wire n_51012;
+   wire n_51013;
+   wire n_51017;
+   wire n_51031;
+   wire n_51034;
+   wire n_51037;
+   wire n_51057;
+   wire n_51059;
+   wire n_51060;
+   wire n_51061;
+   wire n_51062;
+   wire n_51076;
+   wire n_51079;
+   wire n_51096;
+   wire n_51097;
+   wire n_51098;
+   wire n_51099;
+   wire n_51100;
+   wire n_51101;
+   wire n_51105;
+   wire n_51108;
+   wire n_51111;
+   wire n_51112;
+   wire n_51132;
+   wire n_51134;
+   wire n_51144;
+   wire n_51147;
+   wire n_51158;
+   wire n_51159;
+   wire n_51160;
+   wire n_51164;
+   wire n_51179;
+   wire n_51184;
+   wire n_51185;
+   wire n_51186;
+   wire n_51188;
+   wire n_51194;
+   wire n_51195;
+   wire n_51199;
+   wire n_51201;
+   wire n_51202;
+   wire n_51206;
+   wire n_51207;
+   wire n_51210;
+   wire n_51211;
+   wire n_51214;
+   wire n_51215;
+   wire n_51216;
+   wire n_51217;
+   wire n_51225;
+   wire n_51233;
+   wire n_51235;
+   wire n_51239;
+   wire n_51241;
+   wire n_51244;
+   wire n_51248;
+   wire n_51249;
+   wire n_51255;
+   wire n_51256;
+   wire n_51257;
+   wire n_51263;
+   wire n_51264;
+   wire n_51267;
+   wire n_51269;
+   wire n_51270;
+   wire n_51276;
+   wire n_51277;
+   wire n_51278;
+   wire n_51279;
+   wire n_51280;
+   wire n_51281;
+   wire n_51285;
+   wire n_51286;
+   wire n_51288;
+   wire n_51294;
+   wire n_51295;
+   wire n_51300;
+   wire n_51301;
+   wire n_51303;
+   wire n_51309;
+   wire n_51312;
+   wire n_51318;
+   wire n_51319;
+   wire n_51321;
+   wire n_51322;
+   wire n_51340;
+   wire n_51346;
+   wire n_51347;
+   wire n_51348;
+   wire n_51349;
+   wire n_51350;
+   wire n_51351;
+   wire n_51356;
+   wire n_51369;
+   wire n_51370;
+   wire n_51375;
+   wire n_51380;
+   wire n_51382;
+   wire n_51383;
+   wire n_51385;
+   wire n_51387;
+   wire n_51389;
+   wire n_51394;
+   wire n_51400;
+   wire n_51401;
+   wire n_51405;
+   wire n_51406;
+   wire n_51409;
+   wire n_51410;
+   wire n_51412;
+   wire n_51413;
+   wire n_51424;
+   wire n_51427;
+   wire n_51428;
+   wire n_51429;
+   wire n_51430;
+   wire n_51431;
+   wire n_51432;
+   wire n_51433;
+   wire n_51434;
+   wire n_51435;
+   wire n_51438;
+   wire n_51439;
+   wire n_51440;
+   wire n_51443;
+   wire n_51467;
+   wire n_51468;
+   wire n_51469;
+   wire n_51474;
+   wire n_51475;
+   wire n_51476;
+   wire n_51477;
+   wire n_51479;
+   wire n_51480;
+   wire n_51481;
+   wire n_51482;
+   wire n_51483;
+   wire n_51485;
+   wire n_51494;
+   wire n_51498;
+   wire n_51499;
+   wire n_51500;
+   wire n_51501;
+   wire n_51502;
+   wire n_51503;
+   wire n_51504;
+   wire n_51505;
+   wire n_51506;
+   wire n_51507;
+   wire n_51508;
+   wire n_51509;
+   wire n_51510;
+   wire n_51512;
+   wire n_51516;
+   wire n_51521;
+   wire n_51522;
+   wire n_51528;
+   wire n_51534;
+   wire n_51537;
+   wire n_51538;
+   wire n_51539;
+   wire n_51541;
+   wire n_51545;
+   wire n_51566;
+   wire n_51567;
+   wire n_51579;
+   wire n_51581;
+   wire n_51584;
+   wire n_51585;
+   wire n_51586;
+   wire n_51587;
+   wire n_51591;
+   wire n_51592;
+   wire n_51593;
+   wire n_51594;
+   wire n_51595;
+   wire n_51596;
+   wire n_51597;
+   wire n_51606;
+   wire n_51611;
+   wire n_51614;
+   wire n_51619;
+   wire n_51622;
+   wire n_51626;
+   wire n_51627;
+   wire n_51629;
+   wire n_51630;
+   wire n_51636;
+   wire n_51640;
+   wire n_51645;
+   wire n_51647;
+   wire n_51648;
+   wire n_51651;
+   wire n_51654;
+   wire n_51655;
+   wire n_51656;
+   wire n_51657;
+   wire n_51659;
+   wire n_51660;
+   wire n_51661;
+   wire n_51664;
+   wire n_51665;
+   wire n_51672;
+   wire n_51673;
+   wire n_51683;
+   wire n_51697;
+   wire n_51698;
+   wire n_51700;
+   wire n_51703;
+   wire n_51709;
+   wire n_51711;
+   wire n_51715;
+   wire n_51719;
+   wire n_51721;
+   wire n_51722;
+   wire n_51730;
+   wire n_51731;
+   wire n_51733;
+   wire n_51741;
+   wire n_51742;
+   wire n_51746;
+   wire n_51747;
+   wire n_51748;
+   wire n_51749;
+   wire n_51750;
+   wire n_51761;
+   wire n_51762;
+   wire n_51766;
+   wire n_51767;
+   wire n_51768;
+   wire n_51770;
+   wire n_51785;
+   wire n_51788;
+   wire n_51801;
+   wire n_51802;
+   wire n_51806;
+   wire n_51808;
+   wire n_51809;
+   wire n_51810;
+   wire n_51812;
+   wire n_51813;
+   wire n_51814;
+   wire n_51815;
+   wire n_51816;
+   wire n_51819;
+   wire n_51821;
+   wire n_51822;
+   wire n_51824;
+   wire n_51826;
+   wire n_51829;
+   wire n_51830;
+   wire n_51831;
+   wire n_51836;
+   wire n_51845;
+   wire n_51849;
+   wire n_51854;
+   wire n_51861;
+   wire n_51865;
+   wire n_51868;
+   wire n_51873;
+   wire n_51874;
+   wire n_51875;
+   wire n_51876;
+   wire n_51882;
+   wire n_51883;
+   wire n_51885;
+   wire n_51886;
+   wire n_51888;
+   wire n_51890;
+   wire n_51891;
+   wire n_51892;
+   wire n_51895;
+   wire n_51898;
+   wire n_51899;
+   wire n_51904;
+   wire n_51905;
+   wire n_51907;
+   wire n_51916;
+   wire n_51917;
+   wire n_51920;
+   wire n_51922;
+   wire n_51923;
+   wire n_51929;
+   wire n_51930;
+   wire n_51931;
+   wire n_51936;
+   wire n_51939;
+   wire n_51940;
+   wire n_51941;
+   wire n_51946;
+   wire n_51952;
+   wire n_51953;
+   wire n_51954;
+   wire n_51956;
+   wire n_51957;
+   wire n_51959;
+   wire n_51965;
+   wire n_51998;
+   wire n_52035;
+   wire n_52037;
+   wire n_52038;
+   wire n_52039;
+   wire n_52040;
+   wire n_52046;
+   wire n_52047;
+   wire n_52052;
+   wire n_52053;
+   wire n_52055;
+   wire n_52056;
+   wire n_52057;
+   wire n_52058;
+   wire n_52059;
+   wire n_52069;
+   wire n_52084;
+   wire n_52091;
+   wire n_52092;
+   wire n_52093;
+   wire n_52094;
+   wire n_52097;
+   wire n_52103;
+   wire n_52107;
+   wire n_52108;
+   wire n_52109;
+   wire n_52129;
+   wire n_52131;
+   wire n_52135;
+   wire n_52137;
+   wire n_52139;
+   wire n_52146;
+   wire n_52150;
+   wire n_52151;
+   wire n_52152;
+   wire n_52155;
+   wire n_52159;
+   wire n_52160;
+   wire n_52163;
+   wire n_52164;
+   wire n_52165;
+   wire n_52166;
+   wire n_52167;
+   wire n_52168;
+   wire n_52169;
+   wire n_52171;
+   wire n_52172;
+   wire n_52173;
+   wire n_52174;
+   wire n_52175;
+   wire n_52179;
+   wire n_52182;
+   wire n_52186;
+   wire n_52190;
+   wire n_52196;
+   wire n_52200;
+   wire n_52216;
+   wire n_52217;
+   wire n_52221;
+   wire n_52222;
+   wire n_52245;
+   wire n_52246;
+   wire n_52249;
+   wire n_52258;
+   wire n_52265;
+   wire n_52266;
+   wire n_52270;
+   wire n_52271;
+   wire n_52273;
+   wire n_52281;
+   wire n_52282;
+   wire n_52284;
+   wire n_52311;
+   wire n_52314;
+   wire n_52316;
+   wire n_52317;
+   wire n_52318;
+   wire n_52320;
+   wire n_52321;
+   wire n_52325;
+   wire n_52348;
+   wire n_52350;
+   wire n_52354;
+   wire n_52361;
+   wire n_52365;
+   wire n_52366;
+   wire n_52367;
+   wire n_52368;
+   wire n_52369;
+   wire n_52370;
+   wire n_52371;
+   wire n_52372;
+   wire n_52373;
+   wire n_52374;
+   wire n_52375;
+   wire n_52377;
+   wire n_52389;
+   wire n_52397;
+   wire n_52398;
+   wire n_52399;
+   wire n_52403;
+   wire n_52405;
+   wire n_52411;
+   wire n_52413;
+   wire n_52414;
+   wire n_52416;
+   wire n_52419;
+   wire n_52420;
+   wire n_52455;
+   wire n_52468;
+   wire n_52469;
+   wire n_52471;
+   wire n_52479;
+   wire n_52480;
+   wire n_52483;
+   wire n_52484;
+   wire n_52488;
+   wire n_52493;
+   wire n_52494;
+   wire n_52496;
+   wire n_52498;
+   wire n_52504;
+   wire n_52505;
+   wire n_52507;
+   wire n_52508;
+   wire n_52511;
+   wire n_52517;
+   wire n_52518;
+   wire n_52520;
+   wire n_52522;
+   wire n_52523;
+   wire n_52525;
+   wire n_52526;
+   wire n_52528;
+   wire n_52540;
+   wire n_52541;
+   wire n_52543;
+   wire n_52544;
+   wire n_52545;
+   wire n_52546;
+   wire n_52547;
+   wire n_52548;
+   wire n_52556;
+   wire n_52557;
+   wire n_52558;
+   wire n_52559;
+   wire n_52560;
+   wire n_52561;
+   wire n_52562;
+   wire n_52565;
+   wire n_52566;
+   wire n_52569;
+   wire n_52577;
+   wire n_52578;
+   wire n_52579;
+   wire n_52580;
+   wire n_52581;
+   wire n_52582;
+   wire n_52583;
+   wire n_52593;
+   wire n_52595;
+   wire n_52596;
+   wire n_52597;
+   wire n_52598;
+   wire n_52601;
+   wire n_52602;
+   wire n_52607;
+   wire n_52608;
+   wire n_52617;
+   wire n_52618;
+   wire n_52620;
+   wire n_52621;
+   wire n_52622;
+   wire n_52626;
+   wire n_52632;
+   wire n_52633;
+   wire n_52634;
+   wire n_52640;
+   wire n_52650;
+   wire n_52670;
+   wire n_52671;
+   wire n_52672;
+   wire n_52681;
+   wire n_52682;
+   wire n_52683;
+   wire n_52691;
+   wire n_52692;
+   wire n_52693;
+   wire n_52695;
+   wire n_52696;
+   wire n_52697;
+   wire n_52698;
+   wire n_52699;
+   wire n_52700;
+   wire n_52701;
+   wire n_52702;
+   wire n_52703;
+   wire n_52704;
+   wire n_52705;
+   wire n_52706;
+   wire n_52710;
+   wire n_52715;
+   wire n_52716;
+   wire n_52717;
+   wire n_52718;
+   wire n_52731;
+   wire n_52742;
+   wire n_52745;
+   wire n_52746;
+   wire n_52747;
+   wire n_52755;
+   wire n_52756;
+   wire n_52760;
+   wire n_52761;
+   wire n_52762;
+   wire n_52763;
+   wire n_52765;
+   wire n_52782;
+   wire n_52811;
+   wire n_52812;
+   wire n_52818;
+   wire n_52820;
+   wire n_52821;
+   wire n_52822;
+   wire n_52824;
+   wire n_52825;
+   wire n_52830;
+   wire n_52833;
+   wire n_52836;
+   wire n_52837;
+   wire n_52839;
+   wire n_52840;
+   wire n_52841;
+   wire n_52842;
+   wire n_52884;
+   wire n_52886;
+   wire n_52894;
+   wire n_52896;
+   wire n_52897;
+   wire n_52898;
+   wire n_52907;
+   wire n_52922;
+   wire n_52923;
+   wire n_52927;
+   wire n_52928;
+   wire n_52937;
+   wire n_52941;
+   wire n_52942;
+   wire n_52943;
+   wire n_52953;
+   wire n_52956;
+   wire n_52957;
+   wire n_52959;
+   wire n_52961;
+   wire n_52962;
+   wire n_52969;
+   wire n_52970;
+   wire n_52971;
+   wire n_52977;
+   wire n_52983;
+   wire n_52984;
+   wire n_52993;
+   wire n_52996;
+   wire n_52997;
+   wire n_53001;
+   wire n_53002;
+   wire n_53003;
+   wire n_53004;
+   wire n_53021;
+   wire n_53022;
+   wire n_53023;
+   wire n_53024;
+   wire n_53025;
+   wire n_53026;
+   wire n_53027;
+   wire n_53028;
+   wire n_53029;
+   wire n_53030;
+   wire n_53031;
+   wire n_53032;
+   wire n_53039;
+   wire n_53040;
+   wire n_53041;
+   wire n_53042;
+   wire n_53043;
+   wire n_53058;
+   wire n_53060;
+   wire n_53061;
+   wire n_53062;
+   wire n_53063;
+   wire n_53064;
+   wire n_53065;
+   wire n_53066;
+   wire n_53067;
+   wire n_53072;
+   wire n_53090;
+   wire n_53092;
+   wire n_53100;
+   wire n_53102;
+   wire n_53109;
+   wire n_53110;
+   wire n_53112;
+   wire n_53113;
+   wire n_53115;
+   wire n_53116;
+   wire n_53117;
+   wire n_53119;
+   wire n_53120;
+   wire n_53121;
+   wire n_53122;
+   wire n_53126;
+   wire n_53128;
+   wire n_53129;
+   wire n_53130;
+   wire n_53131;
+   wire n_53133;
+   wire n_53135;
+   wire n_53137;
+   wire n_53141;
+   wire n_53152;
+   wire n_53161;
+   wire n_53181;
+   wire n_53192;
+   wire n_53193;
+   wire n_53197;
+   wire n_53199;
+   wire n_53211;
+   wire n_53218;
+   wire n_53220;
+   wire n_53221;
+   wire n_53223;
+   wire n_53225;
+   wire n_53226;
+   wire n_53227;
+   wire n_53229;
+   wire n_53239;
+   wire n_53241;
+   wire n_53260;
+   wire n_53263;
+   wire n_53264;
+   wire n_53265;
+   wire n_53268;
+   wire n_53269;
+   wire n_53271;
+   wire n_53274;
+   wire n_53275;
+   wire n_53279;
+   wire n_53281;
+   wire n_53282;
+   wire n_53285;
+   wire n_53294;
+   wire n_53299;
+   wire n_53300;
+   wire n_53306;
+   wire n_53307;
+   wire n_53308;
+   wire n_53310;
+   wire n_53312;
+   wire n_53313;
+   wire n_53316;
+   wire n_53317;
+   wire n_53324;
+   wire n_53326;
+   wire n_53327;
+   wire n_53328;
+   wire n_53330;
+   wire n_53331;
+   wire n_53333;
+   wire n_53334;
+   wire n_53335;
+   wire n_53336;
+   wire n_53337;
+   wire n_53338;
+   wire n_53339;
+   wire n_53340;
+   wire n_53347;
+   wire n_53350;
+   wire n_53356;
+   wire n_53357;
+   wire n_53359;
+   wire n_53360;
+   wire n_53361;
+   wire n_53362;
+   wire n_53363;
+   wire n_53364;
+   wire n_53365;
+   wire n_53366;
+   wire n_53367;
+   wire n_53368;
+   wire n_53369;
+   wire n_53370;
+   wire n_53371;
+   wire n_53372;
+   wire n_53373;
+   wire n_53374;
+   wire n_53375;
+   wire n_53386;
+   wire n_53402;
+   wire n_53403;
+   wire n_53409;
+   wire n_53413;
+   wire n_53414;
+   wire n_53416;
+   wire n_53417;
+   wire n_53418;
+   wire n_53420;
+   wire n_53422;
+   wire n_53423;
+   wire n_53425;
+   wire n_53427;
+   wire n_53429;
+   wire n_53437;
+   wire n_53439;
+   wire n_53443;
+   wire n_53516;
+   wire n_53522;
+   wire n_53615;
+   wire n_53616;
+   wire n_53617;
+   wire n_53625;
+   wire n_53631;
+   wire n_53632;
+   wire n_53633;
+   wire n_53634;
+   wire n_53635;
+   wire n_53637;
+   wire n_53639;
+   wire n_53640;
+   wire n_53641;
+   wire n_53644;
+   wire n_53645;
+   wire n_53646;
+   wire n_53695;
+   wire n_53697;
+   wire n_53698;
+   wire n_53702;
+   wire n_53703;
+   wire n_53704;
+   wire n_53705;
+   wire n_53706;
+   wire n_53708;
+   wire n_53712;
+   wire n_53716;
+   wire n_53720;
+   wire n_53722;
+   wire n_53723;
+   wire n_53725;
+   wire n_53726;
+   wire n_53730;
+   wire n_53731;
+   wire n_53742;
+   wire n_53743;
+   wire n_53744;
+   wire n_53745;
+   wire n_53746;
+   wire n_53747;
+   wire n_53748;
+   wire n_53750;
+   wire n_53791;
+   wire n_53793;
+   wire n_53796;
+   wire n_53797;
+   wire n_53798;
+   wire n_53802;
+   wire n_53805;
+   wire n_53807;
+   wire n_53808;
+   wire n_53810;
+   wire n_53811;
+   wire n_53812;
+   wire n_53814;
+   wire n_53819;
+   wire n_53823;
+   wire n_53826;
+   wire n_53829;
+   wire n_53847;
+   wire n_53849;
+   wire n_53850;
+   wire n_53851;
+   wire n_53853;
+   wire n_53855;
+   wire n_53856;
+   wire n_53858;
+   wire n_53860;
+   wire n_53861;
+   wire n_53863;
+   wire n_53864;
+   wire n_53865;
+   wire n_53869;
+   wire n_53870;
+   wire n_53882;
+   wire n_53883;
+   wire n_53899;
+   wire n_53900;
+   wire n_53905;
+   wire n_53909;
+   wire n_53910;
+   wire n_53914;
+   wire n_53919;
+   wire n_53926;
+   wire n_53928;
+   wire n_53936;
+   wire n_53937;
+   wire n_53942;
+   wire n_53943;
+   wire n_53944;
+   wire n_53945;
+   wire n_53947;
+   wire n_53948;
+   wire n_53951;
+   wire n_53952;
+   wire n_53954;
+   wire n_53957;
+   wire n_53960;
+   wire n_53961;
+   wire n_53962;
+   wire n_53963;
+   wire n_53964;
+   wire n_53968;
+   wire n_53970;
+   wire n_53973;
+   wire n_53985;
+   wire n_53990;
+   wire n_53991;
+   wire n_53992;
+   wire n_53994;
+   wire n_53995;
+   wire n_53997;
+   wire n_53998;
+   wire n_54001;
+   wire n_54004;
+   wire n_54005;
+   wire n_54007;
+   wire n_54015;
+   wire n_54016;
+   wire n_54017;
+   wire n_54019;
+   wire n_54020;
+   wire n_54021;
+   wire n_54023;
+   wire n_54027;
+   wire n_54028;
+   wire n_54030;
+   wire n_54036;
+   wire n_54041;
+   wire n_54049;
+   wire n_54053;
+   wire n_54055;
+   wire n_54056;
+   wire n_54064;
+   wire n_54065;
+   wire n_54067;
+   wire n_54068;
+   wire n_54069;
+   wire n_54072;
+   wire n_54073;
+   wire n_54074;
+   wire n_54075;
+   wire n_54078;
+   wire n_54080;
+   wire n_54088;
+   wire n_54089;
+   wire n_54090;
+   wire n_54091;
+   wire n_54093;
+   wire n_54095;
+   wire n_54100;
+   wire n_54102;
+   wire n_54104;
+   wire n_54107;
+   wire n_54109;
+   wire n_54110;
+   wire n_54112;
+   wire n_54113;
+   wire n_54114;
+   wire n_54141;
+   wire n_54147;
+   wire n_54158;
+   wire n_54159;
+   wire n_54171;
+   wire n_54172;
+   wire n_54173;
+   wire n_54178;
+   wire n_54179;
+   wire n_54181;
+   wire n_54186;
+   wire n_54187;
+   wire n_54191;
+   wire n_54193;
+   wire n_54194;
+   wire n_54197;
+   wire n_54207;
+   wire n_54212;
+   wire n_54214;
+   wire n_54215;
+   wire n_54221;
+   wire n_54225;
+   wire n_54226;
+   wire n_54235;
+   wire n_54237;
+   wire n_54243;
+   wire n_54248;
+   wire n_54252;
+   wire n_54260;
+   wire n_54268;
+   wire n_54269;
+   wire n_54270;
+   wire n_54271;
+   wire n_54297;
+   wire n_54298;
+   wire n_54299;
+   wire n_54302;
+   wire n_54304;
+   wire n_54305;
+   wire n_54312;
+   wire n_54315;
+   wire n_54318;
+   wire n_54319;
+   wire n_54320;
+   wire n_54323;
+   wire n_54324;
+   wire n_54325;
+   wire n_54326;
+   wire n_54341;
+   wire n_54342;
+   wire n_54345;
+   wire n_54348;
+   wire n_54349;
+   wire n_54352;
+   wire n_54354;
+   wire n_54361;
+   wire n_54364;
+   wire n_54365;
+   wire n_54366;
+   wire n_54368;
+   wire n_54373;
+   wire n_54376;
+   wire n_54378;
+   wire n_54379;
+   wire n_54380;
+   wire n_54384;
+   wire n_54386;
+   wire n_54388;
+   wire n_54393;
+   wire n_54396;
+   wire n_54399;
+   wire n_54402;
+   wire n_54405;
+   wire n_54406;
+   wire n_54407;
+   wire n_54408;
+   wire n_54412;
+   wire n_54415;
+   wire n_54416;
+   wire n_54417;
+   wire n_54420;
+   wire n_54423;
+   wire n_54425;
+   wire n_54428;
+   wire n_54429;
+   wire n_54430;
+   wire n_54431;
+   wire n_54436;
+   wire n_54437;
+   wire n_54438;
+   wire n_54439;
+   wire n_54440;
+   wire n_54441;
+   wire n_54442;
+   wire n_54443;
+   wire n_54447;
+   wire n_54453;
+   wire n_54454;
+   wire n_54458;
+   wire n_54460;
+   wire n_54468;
+   wire n_54474;
+   wire n_54479;
+   wire n_54481;
+   wire n_54499;
+   wire n_54506;
+   wire n_54507;
+   wire n_54514;
+   wire n_54515;
+   wire n_54516;
+   wire n_54520;
+   wire n_54523;
+   wire n_54530;
+   wire n_54531;
+   wire n_54532;
+   wire n_54533;
+   wire n_54534;
+   wire n_54535;
+   wire n_54536;
+   wire n_54537;
+   wire n_54541;
+   wire n_54542;
+   wire n_54543;
+   wire n_54545;
+   wire n_54546;
+   wire n_54557;
+   wire n_54558;
+   wire n_54560;
+   wire n_54561;
+   wire n_54563;
+   wire n_54573;
+   wire n_54574;
+   wire n_54575;
+   wire n_54578;
+   wire n_54581;
+   wire n_54583;
+   wire n_54596;
+   wire n_54609;
+   wire n_54610;
+   wire n_54616;
+   wire n_54617;
+   wire n_54618;
+   wire n_54623;
+   wire n_54624;
+   wire n_54625;
+   wire n_54632;
+   wire n_54640;
+   wire n_54645;
+   wire n_54649;
+   wire n_54650;
+   wire n_54651;
+   wire n_54655;
+   wire n_54664;
+   wire n_54666;
+   wire n_54671;
+   wire n_54676;
+   wire n_54677;
+   wire n_54681;
+   wire n_54689;
+   wire n_54690;
+   wire n_54694;
+   wire n_54695;
+   wire n_54701;
+   wire n_54702;
+   wire n_54703;
+   wire n_54707;
+   wire n_54708;
+   wire n_54709;
+   wire n_54710;
+   wire n_54711;
+   wire n_54712;
+   wire n_54713;
+   wire n_54714;
+   wire n_54715;
+   wire n_54717;
+   wire n_54718;
+   wire n_54722;
+   wire n_54723;
+   wire n_54724;
+   wire n_54725;
+   wire n_54726;
+   wire n_54728;
+   wire n_54729;
+   wire n_54731;
+   wire n_54732;
+   wire n_54740;
+   wire n_54742;
+   wire n_54743;
+   wire n_54744;
+   wire n_54745;
+   wire n_54746;
+   wire n_54747;
+   wire n_54749;
+   wire n_54750;
+   wire n_54751;
+   wire n_54752;
+   wire n_54753;
+   wire n_54754;
+   wire n_54759;
+   wire n_54762;
+   wire n_54763;
+   wire n_54767;
+   wire n_54768;
+   wire n_54769;
+   wire n_54771;
+   wire n_54774;
+   wire n_54776;
+   wire n_54778;
+   wire n_54779;
+   wire n_54780;
+   wire n_54781;
+   wire n_54782;
+   wire n_54783;
+   wire n_54784;
+   wire n_54785;
+   wire n_54789;
+   wire n_54792;
+   wire n_54793;
+   wire n_54794;
+   wire n_54799;
+   wire n_54804;
+   wire n_54805;
+   wire n_54806;
+   wire n_54812;
+   wire n_54815;
+   wire n_54822;
+   wire n_54825;
+   wire n_54826;
+   wire n_54829;
+   wire n_54830;
+   wire n_54831;
+   wire n_54833;
+   wire n_54840;
+   wire n_54879;
+   wire n_54880;
+   wire n_54889;
+   wire n_54890;
+   wire n_54892;
+   wire n_54898;
+   wire n_54899;
+   wire n_54901;
+   wire n_54906;
+   wire n_54907;
+   wire n_54908;
+   wire n_54912;
+   wire n_54919;
+   wire n_54920;
+   wire n_54923;
+   wire n_54924;
+   wire n_54925;
+   wire n_54926;
+   wire n_54930;
+   wire n_54931;
+   wire n_54932;
+   wire n_54933;
+   wire n_54939;
+   wire n_54940;
+   wire n_54941;
+   wire n_54944;
+   wire n_54946;
+   wire n_54963;
+   wire n_54967;
+   wire n_54969;
+   wire n_54970;
+   wire n_54971;
+   wire n_54981;
+   wire n_54983;
+   wire n_54984;
+   wire n_54985;
+   wire n_54989;
+   wire n_54990;
+   wire n_54991;
+   wire n_54992;
+   wire n_54993;
+   wire n_54995;
+   wire n_54996;
+   wire n_54997;
+   wire n_55009;
+   wire n_55010;
+   wire n_55012;
+   wire n_55013;
+   wire n_55014;
+   wire n_55015;
+   wire n_55017;
+   wire n_55019;
+   wire n_55020;
+   wire n_55021;
+   wire n_55022;
+   wire n_55025;
+   wire n_55026;
+   wire n_55030;
+   wire n_55031;
+   wire n_55034;
+   wire n_55038;
+   wire n_55047;
+   wire n_55048;
+   wire n_55049;
+   wire n_55050;
+   wire n_55051;
+   wire n_55052;
+   wire n_55061;
+   wire n_55062;
+   wire n_55063;
+   wire n_55065;
+   wire n_55066;
+   wire n_55068;
+   wire n_55072;
+   wire n_55073;
+   wire n_55083;
+   wire n_55088;
+   wire n_55092;
+   wire n_55095;
+   wire n_55096;
+   wire n_55097;
+   wire n_55098;
+   wire n_55101;
+   wire n_55103;
+   wire n_55107;
+   wire n_55108;
+   wire n_55109;
+   wire n_55111;
+   wire n_55112;
+   wire n_55136;
+   wire n_55137;
+   wire n_55139;
+   wire n_55140;
+   wire n_55141;
+   wire n_55142;
+   wire n_55143;
+   wire n_55145;
+   wire n_55146;
+   wire n_55147;
+   wire n_55148;
+   wire n_55149;
+   wire n_55150;
+   wire n_55151;
+   wire n_55164;
+   wire n_55177;
+   wire n_55184;
+   wire n_55185;
+   wire n_55186;
+   wire n_55187;
+   wire n_55188;
+   wire n_55190;
+   wire n_55191;
+   wire n_55192;
+   wire n_55193;
+   wire n_55204;
+   wire n_55205;
+   wire n_55208;
+   wire n_55214;
+   wire n_55215;
+   wire n_55216;
+   wire n_55218;
+   wire n_55220;
+   wire n_55229;
+   wire n_55230;
+   wire n_55231;
+   wire n_55232;
+   wire n_55234;
+   wire n_55235;
+   wire n_55236;
+   wire n_55238;
+   wire n_55239;
+   wire n_55241;
+   wire n_55244;
+   wire n_55247;
+   wire n_55248;
+   wire n_55250;
+   wire n_55251;
+   wire n_55253;
+   wire n_55276;
+   wire n_55278;
+   wire n_55279;
+   wire n_55281;
+   wire n_55282;
+   wire n_55284;
+   wire n_55289;
+   wire n_55293;
+   wire n_55298;
+   wire n_55303;
+   wire n_55304;
+   wire n_55305;
+   wire n_55306;
+   wire n_55315;
+   wire n_55322;
+   wire n_55327;
+   wire n_55328;
+   wire n_55331;
+   wire n_55332;
+   wire n_55333;
+   wire n_55334;
+   wire n_55336;
+   wire n_55337;
+   wire n_55341;
+   wire n_55347;
+   wire n_55522;
+   wire n_55587;
+   wire n_55588;
+   wire n_55738;
+   wire n_55741;
+   wire n_55753;
+   wire n_55758;
+   wire n_55762;
+   wire n_55763;
+   wire n_55765;
+   wire n_55770;
+   wire n_55771;
+   wire n_55772;
+   wire n_55776;
+   wire n_55777;
+   wire n_55782;
+   wire n_55785;
+   wire n_55789;
+   wire n_55793;
+   wire n_55794;
+   wire n_55796;
+   wire n_55797;
+   wire n_55799;
+   wire n_55800;
+   wire n_55810;
+   wire n_55815;
+   wire n_55820;
+   wire n_55824;
+   wire n_55826;
+   wire n_55828;
+   wire n_55829;
+   wire n_55837;
+   wire n_55842;
+   wire n_55843;
+   wire n_55848;
+   wire n_55849;
+   wire n_55850;
+   wire n_55851;
+   wire n_55856;
+   wire n_55862;
+   wire n_55864;
+   wire n_55865;
+   wire n_55866;
+   wire n_55869;
+   wire n_55870;
+   wire n_55871;
+   wire n_55873;
+   wire n_55875;
+   wire n_55876;
+   wire n_55877;
+   wire n_55879;
+   wire n_55883;
+   wire n_55890;
+   wire n_55891;
+   wire n_55895;
+   wire n_55898;
+   wire n_55899;
+   wire n_55901;
+   wire n_55904;
+   wire n_55905;
+   wire n_55908;
+   wire n_55910;
+   wire n_55911;
+   wire n_55912;
+   wire n_55913;
+   wire n_55914;
+   wire n_55915;
+   wire n_55917;
+   wire n_55918;
+   wire n_55920;
+   wire n_55954;
+   wire n_55955;
+   wire n_55956;
+   wire n_55960;
+   wire n_55969;
+   wire n_55970;
+   wire n_55971;
+   wire n_55972;
+   wire n_55976;
+   wire n_55977;
+   wire n_55978;
+   wire n_55981;
+   wire n_55983;
+   wire n_55984;
+   wire n_55985;
+   wire n_55990;
+   wire n_55992;
+   wire n_55993;
+   wire n_55994;
+   wire n_55999;
+   wire n_56000;
+   wire n_56005;
+   wire n_56006;
+   wire n_56007;
+   wire n_56011;
+   wire n_56012;
+   wire n_56013;
+   wire n_56016;
+   wire n_56017;
+   wire n_56022;
+   wire n_56030;
+   wire n_56031;
+   wire n_56033;
+   wire n_56034;
+   wire n_56035;
+   wire n_56036;
+   wire n_56043;
+   wire n_56049;
+   wire n_56050;
+   wire n_56051;
+   wire n_56052;
+   wire n_56053;
+   wire n_56055;
+   wire n_56056;
+   wire n_56057;
+   wire n_56058;
+   wire n_56059;
+   wire n_56061;
+   wire n_56062;
+   wire n_56063;
+   wire n_56066;
+   wire n_56068;
+   wire n_56082;
+   wire n_56084;
+   wire n_56117;
+   wire n_56254;
+   wire n_56267;
+   wire n_56268;
+   wire n_56269;
+   wire n_56271;
+   wire n_56275;
+   wire n_56281;
+   wire n_56288;
+   wire n_56293;
+   wire n_56294;
+   wire n_56295;
+   wire n_56296;
+   wire n_56298;
+   wire n_56299;
+   wire n_56300;
+   wire n_56302;
+   wire n_56303;
+   wire n_56305;
+   wire n_56308;
+   wire n_56309;
+   wire n_56310;
+   wire n_56311;
+   wire n_56312;
+   wire n_56313;
+   wire n_56314;
+   wire n_56316;
+   wire n_56317;
+   wire n_56318;
+   wire n_56319;
+   wire n_56321;
+   wire n_56322;
+   wire n_56333;
+   wire n_56337;
+   wire n_56344;
+   wire n_56350;
+   wire n_56356;
+   wire n_56358;
+   wire n_56359;
+   wire n_56361;
+   wire n_56421;
+   wire n_56668;
+   wire n_56671;
+   wire n_56674;
+   wire n_56675;
+   wire n_56682;
+   wire n_56683;
+   wire n_56685;
+   wire n_56694;
+   wire n_56695;
+   wire n_56698;
+   wire n_56699;
+   wire n_56702;
+   wire n_56704;
+   wire n_56705;
+   wire n_56708;
+   wire n_56709;
+   wire n_56710;
+   wire n_56712;
+   wire n_56714;
+   wire n_56722;
+   wire n_56725;
+   wire n_56727;
+   wire n_56728;
+   wire n_56732;
+   wire n_56769;
+   wire n_56770;
+   wire n_56782;
+   wire n_56784;
+   wire n_56786;
+   wire n_56787;
+   wire n_56788;
+   wire n_56789;
+   wire n_56794;
+   wire n_56795;
+   wire n_56796;
+   wire n_56797;
+   wire n_56799;
+   wire n_56800;
+   wire n_56801;
+   wire n_56802;
+   wire n_56825;
+   wire n_56831;
+   wire n_56832;
+   wire n_56836;
+   wire n_56839;
+   wire n_56840;
+   wire n_56841;
+   wire n_56842;
+   wire n_56843;
+   wire n_56847;
+   wire n_56848;
+   wire n_56849;
+   wire n_56851;
+   wire n_56853;
+   wire n_56855;
+   wire n_56856;
+   wire n_56857;
+   wire n_56858;
+   wire n_56860;
+   wire n_56861;
+   wire n_56862;
+   wire n_56869;
+   wire n_56870;
+   wire n_56871;
+   wire n_56873;
+   wire n_56874;
+   wire n_56879;
+   wire n_56880;
+   wire n_56881;
+   wire n_56884;
+   wire n_56885;
+   wire n_56886;
+   wire n_56887;
+   wire n_56911;
+   wire n_56917;
+   wire n_56922;
+   wire n_56929;
+   wire n_56935;
+   wire n_56938;
+   wire n_56961;
+   wire n_56978;
+   wire n_56979;
+   wire n_56981;
+   wire n_56982;
+   wire n_56983;
+   wire n_56984;
+   wire n_56985;
+   wire n_56989;
+   wire n_57251;
+   wire n_57252;
+   wire n_57258;
+   wire n_57259;
+   wire n_57260;
+   wire n_57264;
+   wire n_57265;
+   wire n_57266;
+   wire n_57267;
+   wire n_57269;
+   wire n_57270;
+   wire n_57272;
+   wire n_57273;
+   wire n_57274;
+   wire n_57277;
+   wire n_57278;
+   wire n_57279;
+   wire n_57301;
+   wire n_57303;
+   wire n_57309;
+   wire n_57310;
+   wire n_57311;
+   wire n_57314;
+   wire n_57316;
+   wire n_57317;
+   wire n_57323;
+   wire n_57325;
+   wire n_57326;
+   wire n_57327;
+   wire n_57328;
+   wire n_57329;
+   wire n_57332;
+   wire n_57336;
+   wire n_57337;
+   wire n_57338;
+   wire n_57339;
+   wire n_57340;
+   wire n_57342;
+   wire n_57348;
+   wire n_57349;
+   wire n_57350;
+   wire n_57351;
+   wire n_57360;
+   wire n_57361;
+   wire n_57363;
+   wire n_57364;
+   wire n_57365;
+   wire n_57366;
+   wire n_57367;
+   wire n_57370;
+   wire n_57371;
+   wire n_57372;
+   wire n_57383;
+   wire n_57384;
+   wire n_57386;
+   wire n_57389;
+   wire n_57390;
+   wire n_57392;
+   wire n_57393;
+   wire n_57395;
+   wire n_57396;
+   wire n_57397;
+   wire n_57398;
+   wire n_57402;
+   wire n_57406;
+   wire n_57407;
+   wire n_57408;
+   wire n_57412;
+   wire n_57414;
+   wire n_57418;
+   wire n_57420;
+   wire n_57422;
+   wire n_57423;
+   wire n_57424;
+   wire n_57425;
+   wire n_57426;
+   wire n_57427;
+   wire n_57428;
+   wire n_57429;
+   wire n_57430;
+   wire n_57432;
+   wire n_57436;
+   wire n_57437;
+   wire n_57438;
+   wire n_57439;
+   wire n_57443;
+   wire n_57471;
+   wire n_57472;
+   wire n_57473;
+   wire n_57474;
+   wire n_57482;
+   wire n_57485;
+   wire n_57486;
+   wire n_57487;
+   wire n_57491;
+   wire n_57493;
+   wire n_57495;
+   wire n_57497;
+   wire n_57656;
+   wire n_57657;
+   wire n_57659;
+   wire n_57660;
+   wire n_57662;
+   wire n_57717;
+   wire n_57718;
+   wire n_57719;
+   wire n_57720;
+   wire n_57721;
+   wire n_57722;
+   wire n_57724;
+   wire n_57726;
+   wire n_57728;
+   wire n_57729;
+   wire n_57730;
+   wire n_57822;
+   wire n_57823;
+   wire n_57826;
+   wire n_57828;
+   wire n_57902;
+   wire n_57903;
+   wire n_57941;
+   wire n_57942;
+   wire n_57943;
+   wire n_57944;
+   wire n_57948;
+   wire n_57949;
+   wire n_57950;
+   wire n_57951;
+   wire n_57952;
+   wire n_57955;
+   wire n_57957;
+   wire n_57963;
+   wire n_57964;
+   wire n_57967;
+   wire n_57970;
+   wire n_57971;
+   wire n_57972;
+   wire n_57973;
+   wire n_57974;
+   wire n_57975;
+   wire n_57976;
+   wire n_57977;
+   wire n_57978;
+   wire n_57979;
+   wire n_57980;
+   wire n_57993;
+   wire n_58026;
+   wire n_58028;
+   wire n_58036;
+   wire n_58037;
+   wire n_58044;
+   wire n_58048;
+   wire n_58050;
+   wire n_58051;
+   wire n_58052;
+   wire n_58053;
+   wire n_58055;
+   wire n_58057;
+   wire n_58058;
+   wire n_58059;
+   wire n_58060;
+   wire n_58062;
+   wire n_58063;
+   wire n_58067;
+   wire n_58068;
+   wire n_58069;
+   wire n_58070;
+   wire n_58074;
+   wire n_58076;
+   wire n_58080;
+   wire n_58083;
+   wire n_58090;
+   wire n_58094;
+   wire n_58098;
+   wire n_58099;
+   wire n_58100;
+   wire n_58101;
+   wire n_58103;
+   wire n_58104;
+   wire n_58112;
+   wire n_58113;
+   wire n_58114;
+   wire n_58116;
+   wire n_58117;
+   wire n_58118;
+   wire n_58119;
+   wire n_58120;
+   wire n_58121;
+   wire n_58123;
+   wire n_58124;
+   wire n_58126;
+   wire n_58128;
+   wire n_58129;
+   wire n_58130;
+   wire n_58131;
+   wire n_58132;
+   wire n_58134;
+   wire n_58519;
+   wire n_58522;
+   wire n_58523;
+   wire n_58525;
+   wire n_58527;
+   wire n_58528;
+   wire n_58530;
+   wire n_58531;
+   wire n_58532;
+   wire n_58533;
+   wire n_58534;
+   wire n_58540;
+   wire n_58543;
+   wire n_58554;
+   wire n_58593;
+   wire n_58626;
+   wire n_58628;
+   wire n_58643;
+   wire n_58647;
+   wire n_58666;
+   wire n_58668;
+   wire n_58670;
+   wire n_58675;
+   wire n_58676;
+   wire n_58677;
+   wire n_58679;
+   wire n_58691;
+   wire n_58692;
+   wire n_58695;
+   wire n_58696;
+   wire n_58702;
+   wire n_58703;
+   wire n_58706;
+   wire n_58946;
+   wire n_58948;
+   wire n_58949;
+   wire n_58950;
+   wire n_58952;
+   wire n_58955;
+   wire n_58958;
+   wire n_58959;
+   wire n_58961;
+   wire n_58964;
+   wire n_58967;
+   wire n_58970;
+   wire n_58975;
+   wire n_58976;
+   wire n_58978;
+   wire n_59186;
+   wire n_59187;
+   wire n_59188;
+   wire n_59189;
+   wire n_59190;
+   wire n_59191;
+   wire n_59192;
+   wire n_59193;
+   wire n_59194;
+   wire n_59195;
+   wire n_59196;
+   wire n_59197;
+   wire n_59202;
+   wire n_59204;
+   wire n_59206;
+   wire n_59207;
+   wire n_59208;
+   wire n_59209;
+   wire n_59242;
+   wire n_59244;
+   wire n_59245;
+   wire n_59263;
+   wire n_59272;
+   wire n_59273;
+   wire n_59274;
+   wire n_59277;
+   wire n_59574;
+   wire n_59575;
+   wire n_59576;
+   wire n_59577;
+   wire n_59578;
+   wire n_59598;
+   wire n_59600;
+   wire n_59601;
+   wire n_59603;
+   wire n_59606;
+   wire n_59607;
+   wire n_59610;
+   wire n_59613;
+   wire n_59614;
+   wire n_59753;
+   wire n_59754;
+   wire n_59953;
+   wire n_59954;
+   wire n_59956;
+   wire n_59958;
+   wire n_59959;
+   wire n_59960;
+   wire n_59964;
+   wire n_60163;
+   wire n_60164;
+   wire n_60168;
+   wire n_60169;
+   wire n_60170;
+   wire n_60292;
+   wire n_60294;
+   wire n_60296;
+   wire n_61001;
+   wire n_61002;
+   wire n_61003;
+   wire n_61025;
+   wire n_61026;
+   wire n_61102;
+   wire n_61104;
+   wire n_61105;
+   wire n_61106;
+   wire n_61107;
+   wire n_61108;
+   wire n_61109;
+   wire n_61110;
+   wire n_61111;
+   wire n_61112;
+   wire n_61113;
+   wire n_61114;
+   wire n_61115;
+   wire n_61116;
+   wire n_61117;
+   wire n_61118;
+   wire n_61119;
+   wire n_61121;
+   wire n_61122;
+   wire n_61123;
+   wire n_61124;
+   wire n_61125;
+   wire n_61126;
+   wire n_61127;
+   wire n_61128;
+   wire n_61129;
+   wire n_61130;
+   wire n_61131;
+   wire n_61132;
+   wire n_61133;
+   wire n_61134;
+   wire n_61135;
+   wire n_61170;
+   wire n_61171;
+   wire n_61172;
+   wire n_61174;
+   wire n_61177;
+   wire n_61179;
+   wire n_61180;
+   wire n_61181;
+   wire n_61182;
+   wire n_61183;
+   wire n_61184;
+   wire n_61185;
+   wire n_61186;
+   wire n_61187;
+   wire n_61189;
+   wire n_61197;
+   wire n_61198;
+   wire n_61227;
+   wire n_61228;
+   wire n_61229;
+   wire n_61279;
+   wire n_61284;
+   wire n_61285;
+   wire n_61287;
+   wire n_61288;
+   wire n_61289;
+   wire n_61290;
+   wire n_61291;
+   wire n_61292;
+   wire n_61293;
+   wire n_61294;
+   wire n_61328;
+   wire n_61340;
+   wire n_61453;
+   wire n_61459;
+   wire n_61461;
+   wire n_61462;
+   wire n_61466;
+   wire n_61467;
+   wire n_61468;
+   wire n_61469;
+   wire n_61483;
+   wire n_61484;
+   wire n_61485;
+   wire n_61486;
+   wire n_61487;
+   wire n_61492;
+   wire n_61513;
+   wire n_61514;
+   wire n_61515;
+   wire n_61517;
+   wire n_61518;
+   wire n_61519;
+   wire n_61520;
+   wire n_61524;
+   wire n_61525;
+   wire n_61527;
+   wire n_61528;
+   wire n_61530;
+   wire n_61531;
+   wire n_61532;
+   wire n_61533;
+   wire n_61535;
+   wire n_61537;
+   wire n_61539;
+   wire n_61540;
+   wire n_61543;
+   wire n_61563;
+   wire n_61568;
+   wire n_61583;
+   wire n_61584;
+   wire n_61585;
+   wire n_61586;
+   wire n_61587;
+   wire n_61588;
+   wire n_61589;
+   wire n_61590;
+   wire n_61591;
+   wire n_61611;
+   wire n_61618;
+   wire n_61620;
+   wire n_61621;
+   wire n_61624;
+   wire n_61625;
+   wire n_61677;
+   wire n_61678;
+   wire n_61679;
+   wire n_61680;
+   wire n_61681;
+   wire n_61682;
+   wire n_61683;
+   wire n_61684;
+   wire n_61685;
+   wire n_61686;
+   wire n_61687;
+   wire n_61688;
+   wire n_61689;
+   wire n_61690;
+   wire n_61692;
+   wire n_61693;
+   wire n_61694;
+   wire n_61720;
+   wire n_61725;
+   wire n_61726;
+   wire n_61727;
+   wire n_61728;
+   wire n_61768;
+   wire n_61769;
+   wire n_61772;
+   wire n_61773;
+   wire n_61774;
+   wire n_61776;
+   wire n_61795;
+   wire n_61826;
+   wire n_61827;
+   wire n_61828;
+   wire n_61829;
+   wire n_61830;
+   wire n_61831;
+   wire n_61841;
+   wire n_61842;
+   wire n_61856;
+   wire n_61857;
+   wire n_61858;
+   wire n_61881;
+   wire n_61886;
+   wire n_61963;
+   wire n_61978;
+   wire n_61979;
+   wire n_62030;
+   wire n_62051;
+   wire n_62052;
+   wire n_62056;
+   wire n_62057;
+   wire n_62058;
+   wire n_62059;
+   wire n_62060;
+   wire n_62061;
+   wire n_62062;
+   wire n_62063;
+   wire n_62065;
+   wire n_62067;
+   wire n_62068;
+   wire n_62069;
+   wire n_62074;
+   wire n_62087;
+   wire n_62088;
+   wire n_62089;
+   wire n_62205;
+   wire n_62206;
+   wire n_62207;
+   wire n_62208;
+   wire n_62209;
+   wire n_62213;
+   wire n_62214;
+   wire n_62215;
+   wire n_62236;
+   wire n_62237;
+   wire n_62238;
+   wire n_62239;
+   wire n_62250;
+   wire n_62251;
+   wire n_62252;
+   wire n_62254;
+   wire n_62255;
+   wire n_62256;
+   wire n_62300;
+   wire n_62315;
+   wire n_62316;
+   wire n_62317;
+   wire n_62318;
+   wire n_62319;
+   wire n_62323;
+   wire n_62324;
+   wire n_62325;
+   wire n_62384;
+   wire n_62387;
+   wire n_62388;
+   wire n_62389;
+   wire n_62401;
+   wire n_62402;
+   wire n_62403;
+   wire n_62404;
+   wire n_62405;
+   wire n_62417;
+   wire n_62419;
+   wire n_62424;
+   wire n_62425;
+   wire n_62431;
+   wire n_62432;
+   wire n_62434;
+   wire n_62451;
+   wire n_62453;
+   wire n_62454;
+   wire n_62455;
+   wire n_62456;
+   wire n_62457;
+   wire n_62467;
+   wire n_62468;
+   wire n_62469;
+   wire n_62470;
+   wire n_62471;
+   wire n_62498;
+   wire n_62500;
+   wire n_62501;
+   wire n_62502;
+   wire n_62513;
+   wire n_62515;
+   wire n_62520;
+   wire n_62522;
+   wire n_62527;
+   wire n_62529;
+   wire n_62542;
+   wire n_62544;
+   wire n_62595;
+   wire n_62606;
+   wire n_62617;
+   wire n_62624;
+   wire n_62631;
+   wire n_62634;
+   wire n_62643;
+   wire n_62645;
+   wire n_62654;
+   wire n_62656;
+   wire n_62657;
+   wire n_62667;
+   wire n_62672;
+   wire n_62674;
+   wire n_62692;
+   wire n_62693;
+   wire n_62694;
+   wire n_62697;
+   wire n_62698;
+   wire n_62699;
+   wire n_62700;
+   wire n_62742;
+   wire n_62746;
+   wire n_62747;
+   wire n_62748;
+   wire n_62749;
+   wire n_62750;
+   wire n_62772;
+   wire n_62787;
+   wire n_62790;
+   wire n_62791;
+   wire n_62792;
+   wire n_62793;
+   wire n_63049;
+   wire n_63103;
+   wire n_63109;
+   wire n_63110;
+   wire n_63111;
+   wire n_63112;
+   wire n_63113;
+   wire n_63114;
+   wire n_63115;
+   wire n_63116;
+   wire n_63117;
+   wire n_63118;
+   wire n_63119;
+   wire n_63120;
+   wire n_63121;
+   wire n_63122;
+   wire n_63123;
+   wire n_63124;
+   wire n_63125;
+   wire n_63126;
+   wire n_63127;
+   wire n_63128;
+   wire n_63129;
+   wire n_63130;
+   wire n_63131;
+   wire n_63132;
+   wire n_63133;
+   wire n_63134;
+   wire n_63135;
+   wire n_63136;
+   wire n_63137;
+   wire n_63138;
+   wire n_63140;
+   wire n_63143;
+   wire n_63144;
+   wire n_63145;
+   wire n_63146;
+   wire n_63147;
+   wire n_63148;
+   wire n_63149;
+   wire n_63150;
+   wire n_63151;
+   wire n_63174;
+   wire n_63238;
+   wire n_63240;
+   wire n_63241;
+   wire n_63279;
+   wire n_63280;
+   wire n_63316;
+   wire n_63317;
+   wire n_63318;
+   wire n_63443;
+   wire n_63447;
+   wire n_63448;
+   wire n_63449;
+   wire n_63568;
+   wire n_63570;
+   wire n_63571;
+   wire n_63580;
+   wire n_63617;
+   wire n_63618;
+   wire n_63688;
+   wire n_63690;
+   wire n_63691;
+   wire n_63692;
+   wire n_63693;
+   wire n_63694;
+   wire n_63702;
+   wire n_63704;
+   wire n_63705;
+   wire n_63706;
+   wire n_63720;
+   wire n_63802;
+   wire n_63803;
+   wire n_63828;
+   wire n_63829;
+   wire n_63830;
+   wire n_63831;
+   wire n_63832;
+   wire n_63833;
+   wire n_63834;
+   wire n_63835;
+   wire n_63929;
+   wire n_63930;
+   wire n_63931;
+   wire n_63953;
+   wire n_63961;
+   wire n_63963;
+   wire n_63966;
+   wire n_63967;
+   wire n_64033;
+   wire n_64047;
+   wire n_64048;
+   wire n_64049;
+   wire n_64050;
+   wire n_64051;
+   wire n_64059;
+   wire n_64060;
+   wire n_64061;
+   wire n_64062;
+   wire n_64063;
+   wire n_64064;
+   wire n_64065;
+   wire n_64089;
+   wire n_64090;
+   wire n_64091;
+   wire n_64092;
+   wire n_64174;
+   wire n_64175;
+   wire n_64176;
+   wire n_64177;
+   wire n_64178;
+   wire n_64179;
+   wire n_64180;
+   wire n_64181;
+   wire n_64182;
+   wire n_64183;
+   wire n_64184;
+   wire n_64213;
+   wire n_64214;
+   wire n_64215;
+   wire n_64216;
+   wire n_64222;
+   wire n_64223;
+   wire n_64575;
+   wire n_64643;
+   wire n_64644;
+   wire n_64645;
+   wire n_64679;
+   wire n_64680;
+   wire n_64682;
+   wire n_64684;
+   wire n_64685;
+   wire n_64686;
+   wire n_64688;
+   wire n_64689;
+   wire n_64690;
+   wire n_64691;
+   wire n_64692;
+   wire n_64693;
+   wire n_64694;
+   wire n_64695;
+   wire n_64696;
+   wire n_64697;
+   wire n_64698;
+   wire n_64699;
+   wire n_64700;
+   wire n_64701;
+   wire n_64702;
+   wire n_64703;
+   wire n_64704;
+   wire n_64705;
+   wire n_64720;
+   wire n_64721;
+   wire n_64722;
+   wire n_64723;
+   wire n_64724;
+   wire n_64725;
+   wire n_64726;
+   wire n_64727;
+   wire n_64728;
+   wire n_64729;
+   wire n_64730;
+   wire n_64731;
+   wire n_64732;
+   wire n_64733;
+   wire n_64734;
+   wire n_64735;
+   wire n_64736;
+   wire n_64737;
+   wire n_64738;
+   wire n_64740;
+   wire n_64741;
+   wire n_64742;
+   wire n_64743;
+   wire n_64744;
+   wire n_64745;
+   wire n_64764;
+   wire n_64765;
+   wire n_64893;
+   wire n_64894;
+   wire n_64895;
+   wire n_64896;
+   wire n_64897;
+   wire n_64929;
+   wire n_64955;
+   wire n_64956;
+   wire n_64958;
+   wire n_64992;
+   wire n_64993;
+   wire n_64994;
+   wire n_64995;
+   wire n_65010;
+   wire n_65012;
+   wire n_65046;
+   wire n_65048;
+   wire n_65049;
+   wire n_65050;
+   wire n_65051;
+   wire n_65110;
+   wire n_65113;
+   wire n_65115;
+   wire n_65116;
+   wire n_65140;
+   wire n_65141;
+   wire n_65142;
+   wire n_65152;
+   wire n_65156;
+   wire n_65157;
+   wire n_65158;
+   wire n_65159;
+   wire n_65160;
+   wire n_65161;
+   wire n_65175;
+   wire n_65176;
+   wire n_65182;
+   wire n_65183;
+   wire n_65184;
+   wire n_65185;
+   wire n_65193;
+   wire n_65194;
+   wire n_65195;
+   wire n_65196;
+   wire n_65197;
+   wire n_65198;
+   wire n_65199;
+   wire n_65200;
+   wire n_65210;
+   wire n_65215;
+   wire n_65216;
+   wire n_65217;
+   wire n_65239;
+   wire n_65381;
+   wire n_65405;
+   wire n_65410;
+   wire n_65414;
+   wire n_65475;
+   wire n_65516;
+   wire n_65551;
+   wire n_65552;
+   wire n_65553;
+   wire n_65554;
+   wire n_65555;
+   wire n_65556;
+   wire n_65602;
+   wire n_65604;
+   wire n_65605;
+   wire n_65620;
+   wire n_65624;
+   wire n_65625;
+   wire n_65626;
+   wire n_65627;
+   wire n_65628;
+   wire n_65629;
+   wire n_65630;
+   wire n_65631;
+   wire n_65689;
+   wire n_65691;
+   wire n_65692;
+   wire n_65693;
+   wire n_65711;
+   wire n_65712;
+   wire n_65713;
+   wire n_65714;
+   wire n_65715;
+   wire n_65716;
+   wire n_65718;
+   wire n_65745;
+   wire n_65747;
+   wire n_65749;
+   wire n_65750;
+   wire n_65751;
+   wire n_65752;
+   wire n_65753;
+   wire n_65759;
+   wire n_65760;
+   wire n_65763;
+   wire n_65765;
+   wire n_65776;
+   wire n_65779;
+   wire n_65786;
+   wire n_65789;
+   wire n_65794;
+   wire n_65821;
+   wire n_65834;
+   wire n_65838;
+   wire n_65839;
+   wire n_65840;
+   wire n_65841;
+   wire n_65850;
+   wire n_65851;
+   wire n_65852;
+   wire n_65854;
+   wire n_65856;
+   wire n_65857;
+   wire n_65859;
+   wire n_65860;
+   wire n_65868;
+   wire n_65869;
+   wire n_65870;
+   wire n_65871;
+   wire n_65893;
+   wire n_65894;
+   wire n_65895;
+   wire n_65896;
+   wire n_65897;
+   wire n_65898;
+   wire n_65906;
+   wire n_65909;
+   wire n_65945;
+   wire n_65946;
+   wire n_65947;
+   wire n_65948;
+   wire n_65952;
+   wire n_65991;
+   wire n_65993;
+   wire n_65994;
+   wire n_65995;
+   wire n_65998;
+   wire n_65999;
+   wire n_66000;
+   wire n_66003;
+   wire n_66004;
+   wire n_66006;
+   wire n_66042;
+   wire n_66043;
+   wire n_66044;
+   wire n_66045;
+   wire n_66046;
+   wire n_66051;
+   wire n_66052;
+   wire n_66054;
+   wire n_66060;
+   wire n_66061;
+   wire n_66062;
+   wire n_66063;
+   wire n_66065;
+   wire n_66067;
+   wire n_66068;
+   wire n_66069;
+   wire n_66073;
+   wire n_66074;
+   wire n_66077;
+   wire n_66078;
+   wire n_66079;
+   wire n_66083;
+   wire n_66084;
+   wire n_66086;
+   wire n_66087;
+   wire n_66088;
+   wire n_66092;
+   wire n_66093;
+   wire n_66095;
+   wire n_66096;
+   wire n_66099;
+   wire n_66103;
+   wire n_66115;
+   wire n_66117;
+   wire n_66118;
+   wire n_66119;
+   wire n_66120;
+   wire n_66121;
+   wire n_66130;
+   wire n_66132;
+   wire n_66137;
+   wire n_66142;
+   wire n_66143;
+   wire n_66144;
+   wire n_66147;
+   wire n_66148;
+   wire n_66149;
+   wire n_66150;
+   wire n_66151;
+   wire n_66152;
+   wire n_66153;
+   wire n_66154;
+   wire n_66157;
+   wire n_66158;
+   wire n_66159;
+   wire n_66160;
+   wire n_66161;
+   wire n_66162;
+   wire n_66163;
+   wire n_66164;
+   wire n_66165;
+   wire n_66166;
+   wire n_66167;
+   wire n_66170;
+   wire n_66172;
+   wire n_66180;
+   wire n_66182;
+   wire n_66186;
+   wire n_66187;
+   wire n_66188;
+   wire n_66189;
+   wire n_66190;
+   wire n_66191;
+   wire n_66192;
+   wire n_66193;
+   wire n_66194;
+   wire n_66195;
+   wire n_66197;
+   wire n_66206;
+   wire n_66207;
+   wire n_66208;
+   wire n_66209;
+   wire n_66210;
+   wire n_66211;
+   wire n_66215;
+   wire n_66216;
+   wire n_66217;
+   wire n_66218;
+   wire n_66219;
+   wire n_66220;
+   wire n_66221;
+   wire n_66222;
+   wire n_66230;
+   wire n_66233;
+   wire n_66236;
+   wire n_66245;
+   wire n_66249;
+   wire n_66252;
+   wire n_66261;
+   wire n_66262;
+   wire n_66263;
+   wire n_66264;
+   wire n_66266;
+   wire n_66267;
+   wire n_66274;
+   wire n_66289;
+   wire n_66290;
+   wire n_66292;
+   wire n_66297;
+   wire n_66300;
+   wire n_66304;
+   wire n_66305;
+   wire n_66306;
+   wire n_66307;
+   wire n_66308;
+   wire n_66309;
+   wire n_66311;
+   wire n_66312;
+   wire n_66314;
+   wire n_66319;
+   wire n_66322;
+   wire n_66323;
+   wire n_66327;
+   wire n_66331;
+   wire n_66332;
+   wire n_66338;
+   wire n_66341;
+   wire n_66342;
+   wire n_66343;
+   wire n_66344;
+   wire n_66345;
+   wire n_66346;
+   wire n_66347;
+   wire n_66348;
+   wire n_66351;
+   wire n_66353;
+   wire n_66354;
+   wire n_66355;
+   wire n_66356;
+   wire n_66357;
+   wire n_66361;
+   wire n_66362;
+   wire n_66363;
+   wire n_66364;
+   wire n_66365;
+   wire n_66368;
+   wire n_66369;
+   wire n_66370;
+   wire n_66371;
+   wire n_66373;
+   wire n_66374;
+   wire n_66381;
+   wire n_66382;
+   wire n_66384;
+   wire n_66390;
+   wire n_66392;
+   wire n_66395;
+   wire n_66398;
+   wire n_66399;
+   wire n_66404;
+   wire n_66407;
+   wire n_66408;
+   wire n_66409;
+   wire n_66412;
+   wire n_66413;
+   wire n_66414;
+   wire n_66415;
+   wire n_66417;
+   wire n_66422;
+   wire n_66423;
+   wire n_66426;
+   wire n_66428;
+   wire n_66429;
+   wire n_66430;
+   wire n_66432;
+   wire n_66435;
+   wire n_66436;
+   wire n_66437;
+   wire n_66438;
+   wire n_66439;
+   wire n_66440;
+   wire n_66441;
+   wire n_66443;
+   wire n_66444;
+   wire n_66445;
+   wire n_66446;
+   wire n_66447;
+   wire n_66449;
+   wire n_66451;
+   wire n_66455;
+   wire n_66456;
+   wire n_66457;
+   wire n_66460;
+   wire n_66461;
+   wire n_66462;
+   wire n_66463;
+   wire n_66464;
+   wire n_66465;
+   wire n_66466;
+   wire n_66467;
+   wire n_66472;
+   wire n_66473;
+   wire n_66475;
+   wire n_66492;
+   wire n_66495;
+   wire n_66496;
+   wire n_66516;
+   wire n_66517;
+   wire n_66518;
+   wire n_66520;
+   wire n_66523;
+   wire n_66524;
+   wire n_66525;
+   wire n_66528;
+   wire n_66534;
+   wire n_66540;
+   wire n_66542;
+   wire n_66544;
+   wire n_66548;
+   wire n_66549;
+   wire n_66550;
+   wire n_66558;
+   wire n_66568;
+   wire n_66569;
+   wire n_66572;
+   wire n_66573;
+   wire n_66574;
+   wire n_66576;
+   wire n_66579;
+   wire n_66582;
+   wire n_66583;
+   wire n_66584;
+   wire n_66593;
+   wire n_66601;
+   wire n_66602;
+   wire n_66603;
+   wire n_66604;
+   wire n_66606;
+   wire n_66607;
+   wire n_66608;
+   wire n_66609;
+   wire n_66610;
+   wire n_66611;
+   wire n_66612;
+   wire n_66613;
+   wire n_66614;
+   wire n_66615;
+   wire n_66616;
+   wire n_66617;
+   wire n_66618;
+   wire n_66619;
+   wire n_66620;
+   wire n_66621;
+   wire n_66622;
+   wire n_66623;
+   wire n_66624;
+   wire n_66625;
+   wire n_66626;
+   wire n_66627;
+   wire n_66628;
+   wire n_66630;
+   wire n_66631;
+   wire n_66632;
+   wire n_66633;
+   wire n_66634;
+   wire n_66635;
+   wire n_66636;
+   wire n_66639;
+   wire n_66640;
+   wire n_66641;
+   wire n_66642;
+   wire n_66643;
+   wire n_66644;
+   wire n_66645;
+   wire n_66646;
+   wire n_66648;
+   wire n_66649;
+   wire n_66650;
+   wire n_66651;
+   wire n_66652;
+   wire n_66653;
+   wire n_66654;
+   wire n_66656;
+   wire n_66657;
+   wire n_66658;
+   wire n_66659;
+   wire n_66679;
+   wire n_66680;
+   wire n_66683;
+   wire n_66684;
+   wire n_66686;
+   wire n_66688;
+   wire n_66690;
+   wire n_66697;
+   wire n_66698;
+   wire n_66699;
+   wire n_66703;
+   wire n_66704;
+   wire n_66705;
+   wire n_66707;
+   wire n_66709;
+   wire n_66712;
+   wire n_66713;
+   wire n_66714;
+   wire n_66717;
+   wire n_66718;
+   wire n_66719;
+   wire n_66720;
+   wire n_66726;
+   wire n_66729;
+   wire n_66730;
+   wire n_66731;
+   wire n_66733;
+   wire n_66734;
+   wire n_66736;
+   wire n_66738;
+   wire n_66739;
+   wire n_66740;
+   wire n_66741;
+   wire n_66743;
+   wire n_66744;
+   wire n_66745;
+   wire n_66746;
+   wire n_66748;
+   wire n_66749;
+   wire n_66750;
+   wire n_66752;
+   wire n_66753;
+   wire n_66755;
+   wire n_66756;
+   wire n_66757;
+   wire n_66758;
+   wire n_66759;
+   wire n_66760;
+   wire n_66761;
+   wire n_66763;
+   wire n_66764;
+   wire n_66767;
+   wire n_66768;
+   wire n_66769;
+   wire n_66771;
+   wire n_66772;
+   wire n_66773;
+   wire n_66774;
+   wire n_66776;
+   wire n_66777;
+   wire n_66779;
+   wire n_66780;
+   wire n_66782;
+   wire n_66783;
+   wire n_66785;
+   wire n_66786;
+   wire n_66787;
+   wire n_66788;
+   wire n_66789;
+   wire n_66791;
+   wire n_66792;
+   wire n_66793;
+   wire n_66795;
+   wire n_66797;
+   wire n_66798;
+   wire n_66802;
+   wire n_66803;
+   wire n_66808;
+   wire n_66810;
+   wire n_66811;
+   wire n_66812;
+   wire n_66813;
+   wire n_66814;
+   wire n_66815;
+   wire n_66819;
+   wire n_66820;
+   wire n_66821;
+   wire n_66822;
+   wire n_66823;
+   wire n_66824;
+   wire n_66825;
+   wire n_66826;
+   wire n_66829;
+   wire n_66831;
+   wire n_66832;
+   wire n_66835;
+   wire n_66837;
+   wire n_66838;
+   wire n_66842;
+   wire n_66843;
+   wire n_66844;
+   wire n_66845;
+   wire n_66848;
+   wire n_66849;
+   wire n_66850;
+   wire n_66851;
+   wire n_66853;
+   wire n_66855;
+   wire n_66858;
+   wire n_66866;
+   wire n_66867;
+   wire n_66868;
+   wire n_66870;
+   wire n_66871;
+   wire n_66872;
+   wire n_66874;
+   wire n_66875;
+   wire n_66877;
+   wire n_66880;
+   wire n_66881;
+   wire n_66883;
+   wire n_66884;
+   wire n_66885;
+   wire n_66888;
+   wire n_66890;
+   wire n_66891;
+   wire n_66892;
+   wire n_66893;
+   wire n_66894;
+   wire n_66895;
+   wire n_66897;
+   wire n_66899;
+   wire n_66902;
+   wire n_66903;
+   wire n_66904;
+   wire n_66912;
+   wire n_66914;
+   wire n_66916;
+   wire n_66917;
+   wire n_66919;
+   wire n_66920;
+   wire n_66921;
+   wire n_66922;
+   wire n_66923;
+   wire n_66925;
+   wire n_66926;
+   wire n_66928;
+   wire n_66931;
+   wire n_66933;
+   wire n_66934;
+   wire n_66941;
+   wire n_66943;
+   wire n_66944;
+   wire n_66946;
+   wire n_66947;
+   wire n_66949;
+   wire n_66950;
+   wire n_66951;
+   wire n_66953;
+   wire n_66955;
+   wire n_66957;
+   wire n_66960;
+   wire n_66961;
+   wire n_66963;
+   wire n_66964;
+   wire n_66965;
+   wire n_66966;
+   wire n_66970;
+   wire n_66971;
+   wire n_66973;
+   wire n_66978;
+   wire n_66979;
+   wire n_66980;
+   wire n_66981;
+   wire n_66984;
+   wire n_66993;
+   wire n_66998;
+   wire n_67007;
+   wire n_67008;
+   wire n_67009;
+   wire n_67010;
+   wire n_67014;
+   wire n_67016;
+   wire n_67018;
+   wire n_67020;
+   wire n_67021;
+   wire n_67025;
+   wire n_67026;
+   wire n_67027;
+   wire n_67033;
+   wire n_67036;
+   wire n_67037;
+   wire n_67038;
+   wire n_67039;
+   wire n_67040;
+   wire n_67042;
+   wire n_67043;
+   wire n_67044;
+   wire n_67045;
+   wire n_67046;
+   wire n_67047;
+   wire n_67048;
+   wire n_67050;
+   wire n_67052;
+   wire n_67059;
+   wire n_67060;
+   wire n_67061;
+   wire n_67062;
+   wire n_67065;
+   wire n_67084;
+   wire n_67086;
+   wire n_67102;
+   wire n_67109;
+   wire n_67110;
+   wire n_67121;
+   wire n_67126;
+   wire n_67127;
+   wire n_67128;
+   wire n_67129;
+   wire n_67132;
+   wire n_67133;
+   wire n_67136;
+   wire n_67144;
+   wire n_67154;
+   wire n_67155;
+   wire n_67156;
+   wire n_67157;
+   wire n_67158;
+   wire n_67159;
+   wire n_67160;
+   wire n_67184;
+   wire n_67186;
+   wire n_67187;
+   wire n_67188;
+   wire n_67189;
+   wire n_67190;
+   wire n_67191;
+   wire n_67192;
+   wire n_67193;
+   wire n_67194;
+   wire n_67195;
+   wire n_67196;
+   wire n_67197;
+   wire n_67198;
+   wire n_67199;
+   wire n_67200;
+   wire n_67201;
+   wire n_67202;
+   wire n_67203;
+   wire n_67205;
+   wire n_67206;
+   wire n_67216;
+   wire n_67221;
+   wire n_67222;
+   wire n_67223;
+   wire n_67224;
+   wire n_67225;
+   wire n_67226;
+   wire n_67227;
+   wire n_67228;
+   wire n_67229;
+   wire n_67230;
+   wire n_67231;
+   wire n_67232;
+   wire n_67233;
+   wire n_67234;
+   wire n_67235;
+   wire n_67236;
+   wire n_67237;
+   wire n_67238;
+   wire n_67239;
+   wire n_67240;
+   wire n_67241;
+   wire n_67242;
+   wire n_67243;
+   wire n_67244;
+   wire n_67245;
+   wire n_67246;
+   wire n_67247;
+   wire n_67248;
+   wire n_67255;
+   wire n_67256;
+   wire n_67257;
+   wire n_67261;
+   wire n_67262;
+   wire n_67263;
+   wire n_67264;
+   wire n_67268;
+   wire n_67269;
+   wire n_67270;
+   wire n_67271;
+   wire n_67272;
+   wire n_67273;
+   wire n_67274;
+   wire n_67275;
+   wire n_67276;
+   wire n_67278;
+   wire n_67279;
+   wire n_67298;
+   wire n_67300;
+   wire n_67309;
+   wire n_67326;
+   wire n_67349;
+   wire n_67357;
+   wire n_67358;
+   wire n_67367;
+   wire n_67369;
+   wire n_67371;
+   wire n_67432;
+   wire n_67446;
+   wire n_67457;
+   wire n_67467;
+   wire n_67468;
+   wire n_67470;
+   wire n_67521;
+   wire n_67522;
+   wire n_67531;
+   wire n_67562;
+   wire n_67568;
+   wire n_67572;
+   wire n_67574;
+   wire n_67575;
+   wire n_67577;
+   wire n_67578;
+   wire n_67579;
+   wire n_67580;
+   wire n_67581;
+   wire n_67582;
+   wire n_67583;
+   wire n_67585;
+   wire n_67586;
+   wire n_67587;
+   wire n_67589;
+   wire n_67590;
+   wire n_67593;
+   wire n_67594;
+   wire n_67595;
+   wire n_67598;
+   wire n_67599;
+   wire n_67600;
+   wire n_67601;
+   wire n_67602;
+   wire n_67603;
+   wire n_67604;
+   wire n_67607;
+   wire n_67608;
+   wire n_67611;
+   wire n_67612;
+   wire n_67615;
+   wire n_67617;
+   wire n_67621;
+   wire n_67622;
+   wire n_67627;
+   wire n_67628;
+   wire n_67629;
+   wire n_67630;
+   wire n_67631;
+   wire n_67632;
+   wire n_67633;
+   wire n_67634;
+   wire n_67635;
+   wire n_67636;
+   wire n_67638;
+   wire n_67640;
+   wire n_67641;
+   wire n_67643;
+   wire n_67644;
+   wire n_67645;
+   wire n_67646;
+   wire n_67647;
+   wire n_67648;
+   wire n_67649;
+   wire n_67650;
+   wire n_67651;
+   wire n_67652;
+   wire n_67653;
+   wire n_67654;
+   wire n_67655;
+   wire n_67656;
+   wire n_67657;
+   wire n_67658;
+   wire n_67659;
+   wire n_67660;
+   wire n_67663;
+   wire n_67664;
+   wire n_67665;
+   wire n_67666;
+   wire n_67667;
+   wire n_67669;
+   wire n_67671;
+   wire n_67672;
+   wire n_67674;
+   wire n_67675;
+   wire n_67676;
+   wire n_67677;
+   wire n_67678;
+   wire n_67679;
+   wire n_67680;
+   wire n_67682;
+   wire n_67685;
+   wire n_67686;
+   wire n_67689;
+   wire n_67690;
+   wire n_67691;
+   wire n_67692;
+   wire n_67693;
+   wire n_67694;
+   wire n_67695;
+   wire n_67696;
+   wire n_67697;
+   wire n_67700;
+   wire n_67702;
+   wire n_67704;
+   wire n_67705;
+   wire n_67706;
+   wire n_67708;
+   wire n_67709;
+   wire n_67711;
+   wire n_67712;
+   wire n_67713;
+   wire n_67717;
+   wire n_67718;
+   wire n_67719;
+   wire n_67720;
+   wire n_67721;
+   wire n_67724;
+   wire n_67725;
+   wire n_67726;
+   wire n_67727;
+   wire n_67728;
+   wire n_67729;
+   wire n_67730;
+   wire n_67731;
+   wire n_67732;
+   wire n_67733;
+   wire n_67734;
+   wire n_67735;
+   wire n_67736;
+   wire n_67738;
+   wire n_67739;
+   wire n_67740;
+   wire n_67742;
+   wire n_67743;
+   wire n_67745;
+   wire n_67748;
+   wire n_67750;
+   wire n_67751;
+   wire n_67752;
+   wire n_67753;
+   wire n_67758;
+   wire n_67759;
+   wire n_67760;
+   wire n_67761;
+   wire n_67762;
+   wire n_67763;
+   wire n_67767;
+   wire n_67768;
+   wire n_67769;
+   wire n_67771;
+   wire n_67773;
+   wire n_67774;
+   wire n_67777;
+   wire n_67778;
+   wire n_67781;
+   wire n_67782;
+   wire n_67783;
+   wire n_67784;
+   wire n_67787;
+   wire n_67790;
+   wire n_67791;
+   wire n_67792;
+   wire n_67793;
+   wire n_67794;
+   wire n_67795;
+   wire n_67796;
+   wire n_67797;
+   wire n_67798;
+   wire n_67800;
+   wire n_67801;
+   wire n_67802;
+   wire n_67803;
+   wire n_67805;
+   wire n_67806;
+   wire n_67807;
+   wire n_67808;
+   wire n_67809;
+   wire n_67810;
+   wire n_67811;
+   wire n_67814;
+   wire n_67815;
+   wire n_67816;
+   wire n_67817;
+   wire n_67818;
+   wire n_67819;
+   wire n_67822;
+   wire n_67824;
+   wire n_67825;
+   wire n_67826;
+   wire n_67833;
+   wire n_67834;
+   wire n_67835;
+   wire n_67847;
+   wire n_67849;
+   wire n_67850;
+   wire n_67851;
+   wire n_67853;
+   wire n_67855;
+   wire n_67858;
+   wire n_67860;
+   wire n_67861;
+   wire n_67871;
+   wire n_67872;
+   wire n_67873;
+   wire n_67875;
+   wire n_67876;
+   wire n_67877;
+   wire n_67878;
+   wire n_67879;
+   wire n_67880;
+   wire n_67881;
+   wire n_67882;
+   wire n_67883;
+   wire n_67884;
+   wire n_67886;
+   wire n_67887;
+   wire n_67888;
+   wire n_67889;
+   wire n_67891;
+   wire n_67892;
+   wire n_67894;
+   wire n_67895;
+   wire n_67896;
+   wire n_67897;
+   wire n_67901;
+   wire n_67904;
+   wire n_67905;
+   wire n_67907;
+   wire n_67909;
+   wire n_67910;
+   wire n_67913;
+   wire n_67914;
+   wire n_67915;
+   wire n_67916;
+   wire n_67917;
+   wire n_67922;
+   wire n_67923;
+   wire n_67928;
+   wire n_67929;
+   wire n_67932;
+   wire n_67933;
+   wire n_67946;
+   wire n_67947;
+   wire n_67948;
+   wire n_67949;
+   wire n_67951;
+   wire n_67952;
+   wire n_67953;
+   wire n_67970;
+   wire n_67971;
+   wire n_67976;
+   wire n_67977;
+   wire n_67978;
+   wire n_67979;
+   wire n_68014;
+   wire n_68015;
+   wire n_68016;
+   wire n_68017;
+   wire n_68018;
+   wire n_68021;
+   wire n_68022;
+   wire n_68023;
+   wire n_68026;
+   wire n_68027;
+   wire n_68032;
+   wire n_68033;
+   wire n_68034;
+   wire n_68035;
+   wire n_68038;
+   wire n_68039;
+   wire n_68040;
+   wire n_68041;
+   wire n_68047;
+   wire n_68052;
+   wire n_68054;
+   wire n_68056;
+   wire n_68058;
+   wire n_68059;
+   wire n_68060;
+   wire n_68061;
+   wire n_68062;
+   wire n_68063;
+   wire n_68064;
+   wire n_68065;
+   wire n_68066;
+   wire n_68073;
+   wire n_68074;
+   wire n_68075;
+   wire n_68076;
+   wire n_68079;
+   wire n_68080;
+   wire n_68081;
+   wire n_68082;
+   wire n_68083;
+   wire n_68084;
+   wire n_68085;
+   wire n_68086;
+   wire n_68087;
+   wire n_68088;
+   wire n_68089;
+   wire n_68090;
+   wire n_68091;
+   wire n_68092;
+   wire n_68095;
+   wire n_68096;
+   wire n_68222;
+   wire n_68223;
+   wire n_68224;
+   wire n_68225;
+   wire n_68226;
+   wire n_68227;
+   wire n_68233;
+   wire n_68234;
+   wire n_68235;
+   wire n_68236;
+   wire n_68238;
+   wire n_68240;
+   wire n_68241;
+   wire n_68242;
+   wire n_68244;
+   wire n_68245;
+   wire n_68246;
+   wire n_68247;
+   wire n_68249;
+   wire n_68250;
+   wire n_68252;
+   wire n_68253;
+   wire n_68254;
+   wire n_68255;
+   wire n_68256;
+   wire n_68257;
+   wire n_68258;
+   wire n_68261;
+   wire n_68262;
+   wire n_68263;
+   wire n_68264;
+   wire n_68273;
+   wire n_68274;
+   wire n_68280;
+   wire n_68281;
+   wire n_68283;
+   wire n_68284;
+   wire n_68285;
+   wire n_68286;
+   wire n_68287;
+   wire n_68288;
+   wire n_68289;
+   wire n_68290;
+   wire n_68293;
+   wire n_68294;
+   wire n_68295;
+   wire n_68296;
+   wire n_68300;
+   wire n_68303;
+   wire n_68304;
+   wire n_68305;
+   wire n_68307;
+   wire n_68310;
+   wire n_68317;
+   wire n_68319;
+   wire n_68320;
+   wire n_68321;
+   wire n_68323;
+   wire n_68324;
+   wire n_68325;
+   wire n_68326;
+   wire n_68327;
+   wire n_68328;
+   wire n_68329;
+   wire n_68330;
+   wire n_68331;
+   wire n_68335;
+   wire n_68339;
+   wire n_68340;
+   wire n_68341;
+   wire n_68342;
+   wire n_68343;
+   wire n_68345;
+   wire n_68346;
+   wire n_68347;
+   wire n_68348;
+   wire n_68349;
+   wire n_68352;
+   wire n_68353;
+   wire n_68354;
+   wire n_68357;
+   wire n_68358;
+   wire n_68359;
+   wire n_68360;
+   wire n_68361;
+   wire n_68365;
+   wire n_68366;
+   wire n_68372;
+   wire n_68373;
+   wire n_68374;
+   wire n_68375;
+   wire n_68377;
+   wire n_68385;
+   wire n_68387;
+   wire n_68388;
+   wire n_68389;
+   wire n_68390;
+   wire n_68391;
+   wire n_68392;
+   wire n_68393;
+   wire n_68394;
+   wire n_68395;
+   wire n_68398;
+   wire n_68399;
+   wire n_68402;
+   wire n_68403;
+   wire n_68405;
+   wire n_68407;
+   wire n_68408;
+   wire n_68409;
+   wire n_68410;
+   wire n_68411;
+   wire n_68412;
+   wire n_68413;
+   wire n_68414;
+   wire n_68416;
+   wire n_68417;
+   wire n_68418;
+   wire n_68420;
+   wire n_68422;
+   wire n_68423;
+   wire n_68424;
+   wire n_68425;
+   wire n_68426;
+   wire n_68427;
+   wire n_68428;
+   wire n_68429;
+   wire n_68430;
+   wire n_68433;
+   wire n_68434;
+   wire n_68438;
+   wire n_68439;
+   wire n_68442;
+   wire n_68446;
+   wire n_68447;
+   wire n_68449;
+   wire n_68450;
+   wire n_68451;
+   wire n_68452;
+   wire n_68453;
+   wire n_68454;
+   wire n_68455;
+   wire n_68456;
+   wire n_68461;
+   wire n_68462;
+   wire n_68463;
+   wire n_68464;
+   wire n_68465;
+   wire n_68467;
+   wire n_68469;
+   wire n_68470;
+   wire n_68471;
+   wire n_68472;
+   wire n_68482;
+   wire n_68485;
+   wire n_68486;
+   wire n_68490;
+   wire n_68500;
+   wire n_68502;
+   wire n_68516;
+   wire n_68517;
+   wire n_68518;
+   wire n_68519;
+   wire n_68520;
+   wire n_68526;
+   wire n_68535;
+   wire n_68540;
+   wire n_68542;
+   wire n_68545;
+   wire n_68546;
+   wire n_68547;
+   wire n_68548;
+   wire n_68549;
+   wire n_68551;
+   wire n_68552;
+   wire n_68564;
+   wire n_68566;
+   wire n_68567;
+   wire n_68571;
+   wire n_68572;
+   wire n_68587;
+   wire n_68589;
+   wire n_68591;
+   wire n_68592;
+   wire n_68594;
+   wire n_68596;
+   wire n_68597;
+   wire n_68598;
+   wire n_68599;
+   wire n_68600;
+   wire n_68601;
+   wire n_68602;
+   wire n_68603;
+   wire n_68607;
+   wire n_68608;
+   wire n_68609;
+   wire n_68610;
+   wire n_68611;
+   wire n_68614;
+   wire n_68619;
+   wire n_68620;
+   wire n_68621;
+   wire n_68622;
+   wire n_68623;
+   wire n_68624;
+   wire n_68625;
+   wire n_68626;
+   wire n_68627;
+   wire n_68629;
+   wire n_68643;
+   wire n_68645;
+   wire n_68646;
+   wire n_68647;
+   wire n_68650;
+   wire n_68651;
+   wire n_68653;
+   wire n_68654;
+   wire n_68657;
+   wire n_68658;
+   wire n_68659;
+   wire n_68661;
+   wire n_68662;
+   wire n_68665;
+   wire n_68670;
+   wire n_68671;
+   wire n_68672;
+   wire n_68673;
+   wire n_68674;
+   wire n_68676;
+   wire n_68677;
+   wire n_68678;
+   wire n_68681;
+   wire n_68682;
+   wire n_68683;
+   wire n_68687;
+   wire n_68711;
+   wire n_68712;
+   wire n_68713;
+   wire n_68714;
+   wire n_68715;
+   wire n_68718;
+   wire n_68719;
+   wire n_68721;
+   wire n_68722;
+   wire n_68723;
+   wire n_68724;
+   wire n_68725;
+   wire n_68726;
+   wire n_68727;
+   wire n_68728;
+   wire n_68729;
+   wire n_68731;
+   wire n_68732;
+   wire n_68733;
+   wire n_68734;
+   wire n_68736;
+   wire n_68737;
+   wire n_68739;
+   wire n_68740;
+   wire n_68741;
+   wire n_68742;
+   wire n_68748;
+   wire n_68749;
+   wire n_68750;
+   wire n_68762;
+   wire n_68763;
+   wire n_68765;
+   wire n_68767;
+   wire n_68768;
+   wire n_68769;
+   wire n_68770;
+   wire n_68771;
+   wire n_68772;
+   wire n_68780;
+   wire n_68795;
+   wire n_68796;
+   wire n_68800;
+   wire n_68801;
+   wire n_68805;
+   wire n_68807;
+   wire n_68810;
+   wire n_68835;
+   wire n_68836;
+   wire n_68837;
+   wire n_68838;
+   wire n_68841;
+   wire n_68842;
+   wire n_68872;
+   wire n_68873;
+   wire n_68874;
+   wire n_68875;
+   wire n_68876;
+   wire n_68877;
+   wire n_68879;
+   wire n_68880;
+   wire n_68881;
+   wire n_68883;
+   wire n_68886;
+   wire n_68887;
+   wire n_68890;
+   wire n_68891;
+   wire n_68892;
+   wire n_68893;
+   wire n_68896;
+   wire n_68897;
+   wire n_68898;
+   wire n_68899;
+   wire n_68900;
+   wire n_68901;
+   wire n_68911;
+   wire n_68913;
+   wire n_68917;
+   wire n_68919;
+   wire n_68920;
+   wire n_68922;
+   wire n_68923;
+   wire n_68924;
+   wire n_68925;
+   wire n_68926;
+   wire n_68946;
+   wire n_68947;
+   wire n_68948;
+   wire n_68951;
+   wire n_68952;
+   wire n_68953;
+   wire n_68954;
+   wire n_68955;
+   wire n_68956;
+   wire n_68980;
+   wire n_68982;
+   wire n_68983;
+   wire n_69009;
+   wire n_69010;
+   wire n_69011;
+   wire n_69013;
+   wire n_69014;
+   wire n_69016;
+   wire n_69017;
+   wire n_69018;
+   wire n_69019;
+   wire n_69020;
+   wire n_69021;
+   wire n_69022;
+   wire n_69025;
+   wire n_69026;
+   wire n_69027;
+   wire n_69029;
+   wire n_69031;
+   wire n_69032;
+   wire n_69035;
+   wire n_69040;
+   wire n_69041;
+   wire n_69042;
+   wire n_69066;
+   wire n_69067;
+   wire n_69071;
+   wire n_69072;
+   wire n_69074;
+   wire n_69075;
+   wire n_69076;
+   wire n_69077;
+   wire n_69090;
+   wire n_69092;
+   wire n_69093;
+   wire n_69094;
+   wire n_69114;
+   wire n_69115;
+   wire n_69116;
+   wire n_69117;
+   wire n_69118;
+   wire n_69119;
+   wire n_69120;
+   wire n_69122;
+   wire n_69123;
+   wire n_69124;
+   wire n_69125;
+   wire n_69129;
+   wire n_69132;
+   wire n_69135;
+   wire n_69136;
+   wire n_69137;
+   wire n_69138;
+   wire n_69139;
+   wire n_69140;
+   wire n_69141;
+   wire n_69142;
+   wire n_69143;
+   wire n_69144;
+   wire n_69175;
+   wire n_69178;
+   wire n_69179;
+   wire n_69182;
+   wire n_69184;
+   wire n_69185;
+   wire n_69186;
+   wire n_69187;
+   wire n_69188;
+   wire n_69190;
+   wire n_69202;
+   wire n_69203;
+   wire n_69204;
+   wire n_69208;
+   wire n_69209;
+   wire n_69211;
+   wire n_69212;
+   wire n_69213;
+   wire n_69215;
+   wire n_69216;
+   wire n_69220;
+   wire n_69234;
+   wire n_69235;
+   wire n_69236;
+   wire n_69237;
+   wire n_69238;
+   wire n_69239;
+   wire n_69241;
+   wire n_69243;
+   wire n_69245;
+   wire n_69251;
+   wire n_69252;
+   wire n_69253;
+   wire n_69271;
+   wire n_69274;
+   wire n_69275;
+   wire n_69276;
+   wire n_69278;
+   wire n_69281;
+   wire n_69282;
+   wire n_69283;
+   wire n_69285;
+   wire n_69291;
+   wire n_69293;
+   wire n_69294;
+   wire n_69295;
+   wire n_69296;
+   wire n_69306;
+   wire n_69307;
+   wire n_69315;
+   wire n_69317;
+   wire n_69318;
+   wire n_69319;
+   wire n_69320;
+   wire n_69321;
+   wire n_69322;
+   wire n_69323;
+   wire n_69325;
+   wire n_69326;
+   wire n_69327;
+   wire n_69329;
+   wire n_69332;
+   wire n_69333;
+   wire n_69334;
+   wire n_69335;
+   wire n_69336;
+   wire n_69350;
+   wire n_69351;
+   wire n_69352;
+   wire n_69353;
+   wire n_69354;
+   wire n_69358;
+   wire n_69359;
+   wire n_69360;
+   wire n_69364;
+   wire n_69368;
+   wire n_69377;
+   wire n_69378;
+   wire n_69379;
+   wire n_69380;
+   wire n_69381;
+   wire n_69382;
+   wire n_69383;
+   wire n_69396;
+   wire n_69397;
+   wire n_69403;
+   wire n_69404;
+   wire n_69407;
+   wire n_69408;
+   wire n_69409;
+   wire n_69412;
+   wire n_69413;
+   wire n_69414;
+   wire n_69418;
+   wire n_69419;
+   wire n_69420;
+   wire n_69421;
+   wire n_69422;
+   wire n_69434;
+   wire n_69435;
+   wire n_69436;
+   wire n_69440;
+   wire n_69441;
+   wire n_69442;
+   wire n_69443;
+   wire n_69444;
+   wire n_69448;
+   wire n_69449;
+   wire n_69450;
+   wire n_69451;
+   wire n_69452;
+   wire n_69453;
+   wire n_69454;
+   wire n_69457;
+   wire n_69459;
+   wire n_69461;
+   wire n_69462;
+   wire n_69463;
+   wire n_69464;
+   wire n_69465;
+   wire n_69467;
+   wire n_69468;
+   wire n_69469;
+   wire n_69472;
+   wire n_69475;
+   wire n_69476;
+   wire n_69477;
+   wire n_69478;
+   wire n_69479;
+   wire n_69480;
+   wire n_69481;
+   wire n_69488;
+   wire n_69490;
+   wire n_69491;
+   wire n_69492;
+   wire n_69507;
+   wire n_69508;
+   wire n_69509;
+   wire n_69511;
+   wire n_69512;
+   wire n_69513;
+   wire n_69514;
+   wire n_69515;
+   wire n_69516;
+   wire n_69517;
+   wire n_69518;
+   wire n_69519;
+   wire n_69520;
+   wire n_69521;
+   wire n_69523;
+   wire n_69531;
+   wire n_69534;
+   wire n_69540;
+   wire n_69543;
+   wire n_69544;
+   wire n_69545;
+   wire n_69546;
+   wire n_69548;
+   wire n_69549;
+   wire n_69550;
+   wire n_69551;
+   wire n_69553;
+   wire n_69554;
+   wire n_69555;
+   wire n_69556;
+   wire n_69557;
+   wire n_69558;
+   wire n_69559;
+   wire n_69562;
+   wire n_69565;
+   wire n_69569;
+   wire n_69570;
+   wire n_69571;
+   wire n_69572;
+   wire n_69575;
+   wire n_69576;
+   wire n_69577;
+   wire n_69581;
+   wire n_69585;
+   wire n_69586;
+   wire n_69587;
+   wire n_69588;
+   wire n_69589;
+   wire n_69590;
+   wire n_69594;
+   wire n_69595;
+   wire n_69596;
+   wire n_69600;
+   wire n_69601;
+   wire n_69602;
+   wire n_69603;
+   wire n_69604;
+   wire n_69605;
+   wire n_69612;
+   wire n_69613;
+   wire n_69614;
+   wire n_69615;
+   wire n_69616;
+   wire n_69617;
+   wire n_69618;
+   wire n_69619;
+   wire n_69620;
+   wire n_69621;
+   wire n_69622;
+   wire n_69623;
+   wire n_69632;
+   wire n_69633;
+   wire n_69635;
+   wire n_69636;
+   wire n_69637;
+   wire n_69638;
+   wire n_69640;
+   wire n_69644;
+   wire n_69648;
+   wire n_69649;
+   wire n_69650;
+   wire n_69651;
+   wire n_69653;
+   wire n_69654;
+   wire n_69655;
+   wire n_69656;
+   wire n_69657;
+   wire n_69658;
+   wire n_69659;
+   wire n_69660;
+   wire n_69661;
+   wire n_69665;
+   wire n_69673;
+   wire n_69674;
+   wire n_69676;
+   wire n_69677;
+   wire n_69678;
+   wire n_69679;
+   wire n_69681;
+   wire n_69682;
+   wire n_69683;
+   wire n_69684;
+   wire n_69686;
+   wire n_69687;
+   wire n_69688;
+   wire n_69689;
+   wire n_69690;
+   wire n_69692;
+   wire n_69693;
+   wire n_69695;
+   wire n_69698;
+   wire n_69699;
+   wire n_69701;
+   wire n_69704;
+   wire n_69705;
+   wire n_69710;
+   wire n_69716;
+   wire n_69717;
+   wire n_69718;
+   wire n_69721;
+   wire n_69722;
+   wire n_69723;
+   wire n_69724;
+   wire n_69725;
+   wire n_69726;
+   wire n_69727;
+   wire n_69728;
+   wire n_69733;
+   wire n_69734;
+   wire n_69735;
+   wire n_69759;
+   wire n_69760;
+   wire n_69761;
+   wire n_69765;
+   wire n_69767;
+   wire n_69768;
+   wire n_69769;
+   wire n_69771;
+   wire n_69772;
+   wire n_69773;
+   wire n_69774;
+   wire n_69775;
+   wire n_69776;
+   wire n_69778;
+   wire n_69780;
+   wire n_69781;
+   wire n_69790;
+   wire n_69791;
+   wire n_69792;
+   wire n_69793;
+   wire n_69797;
+   wire n_69798;
+   wire n_69799;
+   wire n_69800;
+   wire n_69801;
+   wire n_69802;
+   wire n_69803;
+   wire n_69804;
+   wire n_69805;
+   wire n_69806;
+   wire n_69807;
+   wire n_69810;
+   wire n_69811;
+   wire n_69812;
+   wire n_69815;
+   wire n_69816;
+   wire n_69820;
+   wire n_69821;
+   wire n_69823;
+   wire n_69824;
+   wire n_69825;
+   wire n_69826;
+   wire n_69827;
+   wire n_69828;
+   wire n_69829;
+   wire n_69830;
+   wire n_69831;
+   wire n_69832;
+   wire n_69833;
+   wire n_69834;
+   wire n_69835;
+   wire n_69836;
+   wire n_69839;
+   wire n_69843;
+   wire n_69846;
+   wire n_69847;
+   wire n_69893;
+   wire n_69894;
+   wire n_69895;
+   wire n_69896;
+   wire n_69897;
+   wire n_69900;
+   wire n_69901;
+   wire n_69902;
+   wire n_69903;
+   wire n_69904;
+   wire n_69905;
+   wire n_69908;
+   wire n_69912;
+   wire n_69913;
+   wire n_69917;
+   wire n_69918;
+   wire n_69923;
+   wire n_69924;
+   wire n_69925;
+   wire n_69926;
+   wire n_69927;
+   wire n_69928;
+   wire n_69929;
+   wire n_69930;
+   wire n_69933;
+   wire n_69934;
+   wire n_69936;
+   wire n_69937;
+   wire n_69938;
+   wire n_69939;
+   wire n_69940;
+   wire n_69941;
+   wire n_69971;
+   wire n_69972;
+   wire n_69973;
+   wire n_69974;
+   wire n_69975;
+   wire n_69978;
+   wire n_69979;
+   wire n_69980;
+   wire n_69981;
+   wire n_69982;
+   wire n_69983;
+   wire n_69984;
+   wire n_69986;
+   wire n_69996;
+   wire n_69997;
+   wire n_69998;
+   wire n_69999;
+   wire n_70000;
+   wire n_70001;
+   wire n_70002;
+   wire n_70003;
+   wire n_70004;
+   wire n_70005;
+   wire n_70006;
+   wire n_70007;
+   wire n_70029;
+   wire n_70032;
+   wire n_70034;
+   wire n_70038;
+   wire n_70042;
+   wire n_70043;
+   wire n_70044;
+   wire n_70045;
+   wire n_70046;
+   wire n_70048;
+   wire n_70049;
+   wire n_70051;
+   wire n_70056;
+   wire n_70057;
+   wire n_70058;
+   wire n_70059;
+   wire n_70060;
+   wire n_70061;
+   wire n_70062;
+   wire n_70063;
+   wire n_70064;
+   wire n_70065;
+   wire n_70066;
+   wire n_70067;
+   wire n_70069;
+   wire n_70070;
+   wire n_70072;
+   wire n_70073;
+   wire n_70075;
+   wire n_70076;
+   wire n_70079;
+   wire n_70080;
+   wire n_70081;
+   wire n_70082;
+   wire n_70083;
+   wire n_70084;
+   wire n_70085;
+   wire n_70086;
+   wire n_70087;
+   wire n_70088;
+   wire n_70089;
+   wire n_70091;
+   wire n_70093;
+   wire n_70094;
+   wire n_70096;
+   wire n_70097;
+   wire n_70101;
+   wire n_70120;
+   wire n_70121;
+   wire n_70123;
+   wire n_70125;
+   wire n_70126;
+   wire n_70127;
+   wire n_70130;
+   wire n_70131;
+   wire n_70132;
+   wire n_70139;
+   wire n_70140;
+   wire n_70141;
+   wire n_70142;
+   wire n_70144;
+   wire n_70145;
+   wire n_70146;
+   wire n_70148;
+   wire n_70149;
+   wire n_70150;
+   wire n_70157;
+   wire n_70160;
+   wire n_70162;
+   wire n_70163;
+   wire n_70165;
+   wire n_70166;
+   wire n_70167;
+   wire n_70169;
+   wire n_70171;
+   wire n_70173;
+   wire n_70175;
+   wire n_70176;
+   wire n_70177;
+   wire n_70178;
+   wire n_70181;
+   wire n_70199;
+   wire n_70205;
+   wire n_70207;
+   wire n_70209;
+   wire n_70210;
+   wire n_70216;
+   wire n_70217;
+   wire n_70218;
+   wire n_70219;
+   wire n_70221;
+   wire n_70222;
+   wire n_70223;
+   wire n_70224;
+   wire n_70225;
+   wire n_70226;
+   wire n_70230;
+   wire n_70231;
+   wire n_70232;
+   wire n_70233;
+   wire n_70234;
+   wire n_70235;
+   wire n_70236;
+   wire n_70238;
+   wire n_70240;
+   wire n_70241;
+   wire n_70242;
+   wire n_70243;
+   wire n_70244;
+   wire n_70245;
+   wire n_70246;
+   wire n_70247;
+   wire n_70249;
+   wire n_70251;
+   wire n_70252;
+   wire n_70253;
+   wire n_70254;
+   wire n_70255;
+   wire n_70257;
+   wire n_70258;
+   wire n_70259;
+   wire n_70260;
+   wire n_70261;
+   wire n_70263;
+   wire n_70264;
+   wire n_70267;
+   wire n_70268;
+   wire n_70269;
+   wire n_70272;
+   wire n_70274;
+   wire n_70275;
+   wire n_70276;
+   wire n_70277;
+   wire n_70278;
+   wire n_70279;
+   wire n_70280;
+   wire n_70281;
+   wire n_70283;
+   wire n_70284;
+   wire n_70286;
+   wire n_70287;
+   wire n_70288;
+   wire n_70289;
+   wire n_70294;
+   wire n_70299;
+   wire n_70300;
+   wire n_70302;
+   wire n_70305;
+   wire n_70309;
+   wire n_70310;
+   wire n_70314;
+   wire n_70317;
+   wire n_70318;
+   wire n_70319;
+   wire n_70320;
+   wire n_70321;
+   wire n_70324;
+   wire n_70508;
+   wire n_70513;
+   wire n_70516;
+   wire n_70520;
+   wire n_70521;
+   wire n_70542;
+   wire n_70543;
+   wire n_70544;
+   wire n_70548;
+   wire n_70552;
+   wire n_70553;
+   wire n_70559;
+   wire n_70561;
+   wire n_70562;
+   wire n_70576;
+   wire n_70577;
+   wire n_70578;
+   wire n_70580;
+   wire n_70581;
+   wire n_70585;
+   wire n_70586;
+   wire n_70587;
+   wire n_70588;
+   wire n_70589;
+   wire n_70590;
+   wire n_70591;
+   wire n_70592;
+   wire n_70593;
+   wire n_70594;
+   wire n_70596;
+   wire n_70597;
+   wire n_70598;
+   wire n_70599;
+   wire n_70600;
+   wire n_70601;
+   wire n_70602;
+   wire n_70603;
+   wire n_70604;
+   wire n_70605;
+   wire n_70606;
+   wire n_70607;
+   wire n_70608;
+   wire n_70614;
+   wire n_70615;
+   wire n_70617;
+   wire n_70618;
+   wire n_70619;
+   wire n_70620;
+   wire n_70621;
+   wire n_70625;
+   wire n_70626;
+   wire n_70627;
+   wire n_70629;
+   wire n_70631;
+   wire n_70633;
+   wire n_70634;
+   wire n_70635;
+   wire n_70636;
+   wire n_70639;
+   wire n_70754;
+   wire n_70755;
+   wire n_70756;
+   wire n_70757;
+   wire n_70758;
+   wire n_70759;
+   wire n_70760;
+   wire n_70761;
+   wire n_70762;
+   wire n_70763;
+   wire n_70765;
+   wire n_70768;
+   wire n_70770;
+   wire n_70771;
+   wire n_70772;
+   wire n_70773;
+   wire n_70774;
+   wire n_70775;
+   wire n_70777;
+   wire n_70778;
+   wire n_70779;
+   wire n_70780;
+   wire n_70782;
+   wire n_70783;
+   wire n_70784;
+   wire n_70785;
+   wire n_70786;
+   wire n_70787;
+   wire n_70788;
+   wire n_70789;
+   wire n_70790;
+   wire n_70791;
+   wire n_70813;
+   wire n_70937;
+   wire n_70938;
+   wire n_70940;
+   wire n_70942;
+   wire n_70953;
+   wire n_70954;
+   wire n_70955;
+   wire n_70956;
+   wire n_70957;
+   wire n_70959;
+   wire n_70961;
+   wire n_70962;
+   wire n_70963;
+   wire n_70964;
+   wire n_70972;
+   wire n_70973;
+   wire n_70974;
+   wire n_70975;
+   wire n_70976;
+   wire n_70981;
+   wire n_70983;
+   wire n_70984;
+   wire n_70985;
+   wire n_70986;
+   wire n_70987;
+   wire n_70988;
+   wire n_70989;
+   wire n_70990;
+   wire n_70992;
+   wire n_70993;
+   wire n_70994;
+   wire n_70995;
+   wire n_70996;
+   wire n_70997;
+   wire n_70998;
+   wire n_70999;
+   wire n_71000;
+   wire n_71001;
+   wire n_71004;
+   wire n_71005;
+   wire n_71006;
+   wire n_71010;
+   wire n_71017;
+   wire n_71018;
+   wire n_71021;
+   wire n_71022;
+   wire n_71029;
+   wire n_71030;
+   wire n_71031;
+   wire n_71032;
+   wire n_71035;
+   wire n_71036;
+   wire n_71037;
+   wire n_71038;
+   wire n_71041;
+   wire n_71043;
+   wire n_71044;
+   wire n_71045;
+   wire n_71047;
+   wire n_71048;
+   wire n_71049;
+   wire n_71051;
+   wire n_71052;
+   wire n_71053;
+   wire n_71054;
+   wire n_71056;
+   wire n_71058;
+   wire n_71059;
+   wire n_71061;
+   wire n_71063;
+   wire n_71064;
+   wire n_71066;
+   wire n_71068;
+   wire n_71070;
+   wire n_71072;
+   wire n_71073;
+   wire n_71074;
+   wire n_71075;
+   wire n_71076;
+   wire n_71077;
+   wire n_71078;
+   wire n_71079;
+   wire n_71080;
+   wire n_71081;
+   wire n_71082;
+   wire n_71083;
+   wire n_71084;
+   wire n_71085;
+   wire n_71086;
+   wire n_71088;
+   wire n_71090;
+   wire n_71091;
+   wire n_71092;
+   wire n_71093;
+   wire n_71094;
+   wire n_71095;
+   wire n_71096;
+   wire n_71097;
+   wire n_71098;
+   wire n_71099;
+   wire n_71100;
+   wire n_71101;
+   wire n_71102;
+   wire n_71103;
+   wire n_71104;
+   wire n_71313;
+   wire n_71314;
+   wire n_71315;
+   wire n_71316;
+   wire n_71317;
+   wire n_71328;
+   wire n_71340;
+   wire n_71341;
+   wire n_71342;
+   wire n_71343;
+   wire n_71344;
+   wire n_71345;
+   wire n_71347;
+   wire n_71348;
+   wire n_71349;
+   wire n_71350;
+   wire n_71351;
+   wire n_71352;
+   wire n_71355;
+   wire n_71356;
+   wire n_71357;
+   wire n_71358;
+   wire n_71359;
+   wire n_71361;
+   wire n_71362;
+   wire n_71369;
+   wire n_71370;
+   wire n_71373;
+   wire n_71721;
+   wire n_72049;
+   wire n_72050;
+   wire n_72051;
+   wire n_72053;
+   wire n_72054;
+   wire n_72055;
+   wire n_72056;
+   wire n_72059;
+   wire n_72060;
+   wire n_72069;
+   wire n_72070;
+   wire n_72071;
+   wire n_72074;
+   wire n_72075;
+   wire n_72080;
+   wire n_72081;
+   wire n_72082;
+   wire n_72084;
+   wire n_72087;
+   wire n_72088;
+   wire n_72089;
+   wire n_72802;
+   wire n_72803;
+   wire n_72804;
+   wire n_72806;
+   wire n_72807;
+   wire n_72808;
+   wire n_72809;
+   wire n_72810;
+   wire n_72811;
+   wire n_72812;
+   wire n_72813;
+   wire n_72814;
+   wire n_72834;
+   wire n_72842;
+   wire n_72843;
+   wire n_72844;
+   wire n_72845;
+   wire n_72869;
+   wire n_72872;
+   wire n_72874;
+   wire n_72898;
+   wire n_72900;
+   wire n_72905;
+   wire n_72909;
+   wire n_72910;
+   wire n_72911;
+   wire n_72912;
+   wire n_72913;
+   wire n_72914;
+   wire n_72915;
+   wire n_72942;
+   wire n_72943;
+   wire n_72944;
+   wire n_72945;
+   wire n_72946;
+   wire n_72974;
+   wire n_72975;
+   wire n_72976;
+   wire n_72977;
+   wire n_72983;
+   wire n_72984;
+   wire n_72985;
+   wire n_72986;
+   wire n_72987;
+   wire n_72988;
+   wire n_72989;
+   wire n_73013;
+   wire n_73015;
+   wire n_73016;
+   wire n_73017;
+   wire n_73041;
+   wire n_73043;
+   wire n_73044;
+   wire n_73045;
+   wire n_73047;
+   wire n_73048;
+   wire n_73049;
+   wire n_73050;
+   wire n_73051;
+   wire n_73052;
+   wire n_73053;
+   wire n_73054;
+   wire n_73055;
+   wire n_73056;
+   wire n_73057;
+   wire n_73082;
+   wire n_73083;
+   wire n_73086;
+   wire n_73087;
+   wire n_73088;
+   wire n_73089;
+   wire n_73090;
+   wire n_73092;
+   wire n_73093;
+   wire n_73094;
+   wire n_73095;
+   wire n_73096;
+   wire n_73097;
+   wire n_73098;
+   wire n_73099;
+   wire n_73102;
+   wire n_73103;
+   wire n_73105;
+   wire n_73114;
+   wire n_73115;
+   wire n_73116;
+   wire n_73127;
+   wire n_73128;
+   wire n_73129;
+   wire n_73143;
+   wire n_73144;
+   wire n_73185;
+   wire n_73187;
+   wire n_73188;
+   wire n_73189;
+   wire n_73225;
+   wire n_73226;
+   wire n_73227;
+   wire n_73228;
+   wire n_73240;
+   wire n_73273;
+   wire n_73275;
+   wire n_73286;
+   wire n_73315;
+   wire n_73316;
+   wire n_73317;
+   wire n_73318;
+   wire n_73387;
+   wire n_73388;
+   wire n_73389;
+   wire n_73428;
+   wire n_73429;
+   wire n_73430;
+   wire n_73431;
+   wire n_73432;
+   wire n_73433;
+   wire n_73434;
+   wire n_73435;
+   wire n_73487;
+   wire n_73490;
+   wire n_73491;
+   wire n_73492;
+   wire n_73493;
+   wire n_73501;
+   wire n_73502;
+   wire n_73503;
+   wire n_73504;
+   wire n_73505;
+   wire n_73506;
+   wire n_73509;
+   wire n_73511;
+   wire n_73519;
+   wire n_73521;
+   wire n_73522;
+   wire n_73524;
+   wire n_73544;
+   wire n_73545;
+   wire n_73546;
+   wire n_73551;
+   wire n_73553;
+   wire n_73559;
+   wire n_73561;
+   wire n_73564;
+   wire n_73567;
+   wire n_73568;
+   wire n_73570;
+   wire n_73571;
+   wire n_73576;
+   wire n_73579;
+   wire n_73583;
+   wire n_73585;
+   wire n_73588;
+   wire n_73589;
+   wire n_73590;
+   wire n_73591;
+   wire n_73607;
+   wire n_73609;
+   wire n_73610;
+   wire n_73612;
+   wire n_73613;
+   wire n_73629;
+   wire n_73631;
+   wire n_73632;
+   wire n_73633;
+   wire n_73646;
+   wire n_73647;
+   wire n_73650;
+   wire n_73652;
+   wire n_73653;
+   wire n_73655;
+   wire n_73668;
+   wire n_73669;
+   wire n_73670;
+   wire n_73671;
+   wire n_73672;
+   wire n_73673;
+   wire n_73674;
+   wire n_73675;
+   wire n_73676;
+   wire n_73678;
+   wire n_73679;
+   wire n_73680;
+   wire n_73681;
+   wire n_73690;
+   wire n_73692;
+   wire n_73709;
+   wire n_73710;
+   wire n_73711;
+   wire n_73713;
+   wire n_73714;
+   wire n_73719;
+   wire n_73721;
+   wire n_73722;
+   wire n_73723;
+   wire n_73725;
+   wire n_73728;
+   wire n_73729;
+   wire n_73732;
+   wire n_73733;
+   wire n_73734;
+   wire n_73735;
+   wire n_73736;
+   wire n_73737;
+   wire n_73739;
+   wire n_73740;
+   wire n_73747;
+   wire n_73752;
+   wire n_73753;
+   wire n_73770;
+   wire n_73772;
+   wire n_73777;
+   wire n_73796;
+   wire n_73798;
+   wire n_73810;
+   wire n_73811;
+   wire n_73812;
+   wire n_73815;
+   wire n_73821;
+   wire n_73822;
+   wire n_73823;
+   wire n_73824;
+   wire n_73825;
+   wire n_73827;
+   wire n_73828;
+   wire n_73829;
+   wire n_73831;
+   wire n_73846;
+   wire n_73847;
+   wire n_73849;
+   wire n_73851;
+   wire n_73852;
+   wire n_73853;
+   wire n_73854;
+   wire n_73855;
+   wire n_73858;
+   wire n_73861;
+   wire n_73862;
+   wire n_73864;
+   wire n_73865;
+   wire n_73866;
+   wire n_73867;
+   wire n_73874;
+   wire n_73876;
+   wire n_73884;
+   wire n_73886;
+   wire n_73890;
+   wire n_73903;
+   wire n_73908;
+   wire n_73909;
+   wire n_73917;
+   wire n_73922;
+   wire n_73940;
+   wire n_73941;
+   wire n_73942;
+   wire n_73963;
+   wire n_73964;
+   wire n_73965;
+   wire n_73974;
+   wire n_73976;
+   wire n_73977;
+   wire n_73978;
+   wire n_73979;
+   wire n_73980;
+   wire n_73981;
+   wire n_73982;
+   wire n_73983;
+   wire n_73984;
+   wire n_73985;
+   wire n_73986;
+   wire n_73987;
+   wire n_73988;
+   wire n_73990;
+   wire n_73993;
+   wire n_73994;
+   wire n_73995;
+   wire n_73996;
+   wire n_73997;
+   wire n_73998;
+   wire n_73999;
+   wire n_74000;
+   wire n_74001;
+   wire n_74005;
+   wire n_74006;
+   wire n_74008;
+   wire n_74009;
+   wire n_74010;
+   wire n_74011;
+   wire n_74023;
+   wire n_74025;
+   wire n_74026;
+   wire n_74028;
+   wire n_74030;
+   wire n_74031;
+   wire n_74032;
+   wire n_74033;
+   wire n_74034;
+   wire n_74035;
+   wire n_74036;
+   wire n_74037;
+   wire n_74038;
+   wire n_74040;
+   wire n_74041;
+   wire n_74042;
+   wire n_74043;
+   wire n_74044;
+   wire n_74045;
+   wire n_74046;
+   wire n_74048;
+   wire n_74049;
+   wire n_74050;
+   wire n_74052;
+   wire n_74053;
+   wire n_74054;
+   wire n_74055;
+   wire n_74056;
+   wire n_74062;
+   wire n_74070;
+   wire n_74071;
+   wire n_74072;
+   wire n_74073;
+   wire n_74075;
+   wire n_74076;
+   wire n_74079;
+   wire n_74080;
+   wire n_74081;
+   wire n_74082;
+   wire n_74083;
+   wire n_74084;
+   wire n_74085;
+   wire n_74086;
+   wire n_74088;
+   wire n_74127;
+   wire n_74128;
+   wire n_74160;
+   wire n_74181;
+   wire n_74200;
+   wire n_74201;
+   wire n_74203;
+   wire n_74241;
+   wire n_74258;
+   wire n_74298;
+   wire n_74303;
+   wire n_74436;
+   wire n_74438;
+   wire n_74444;
+   wire n_74448;
+   wire n_74449;
+   wire n_74450;
+   wire n_74451;
+   wire n_74452;
+   wire n_74454;
+   wire n_74455;
+   wire n_74457;
+   wire n_74459;
+   wire n_74460;
+   wire n_74461;
+   wire n_74463;
+   wire n_74464;
+   wire n_74465;
+   wire n_74466;
+   wire n_74467;
+   wire n_74468;
+   wire n_74470;
+   wire n_74471;
+   wire n_74472;
+   wire n_74473;
+   wire n_74474;
+   wire n_74476;
+   wire n_74477;
+   wire n_74479;
+   wire n_74480;
+   wire n_74481;
+   wire n_74482;
+   wire n_74485;
+   wire n_74487;
+   wire n_74488;
+   wire n_74489;
+   wire n_74492;
+   wire n_74495;
+   wire n_74497;
+   wire n_74498;
+   wire n_74513;
+   wire n_74516;
+   wire n_74528;
+   wire n_74536;
+   wire n_74540;
+   wire n_74541;
+   wire n_74542;
+   wire n_74543;
+   wire n_74544;
+   wire n_74546;
+   wire n_74549;
+   wire n_74550;
+   wire n_74552;
+   wire n_74557;
+   wire n_74560;
+   wire n_74561;
+   wire n_74562;
+   wire n_74563;
+   wire n_74568;
+   wire n_74570;
+   wire n_74571;
+   wire n_74572;
+   wire n_74573;
+   wire n_74574;
+   wire n_74575;
+   wire n_74576;
+   wire n_74579;
+   wire n_74580;
+   wire n_74582;
+   wire n_74584;
+   wire n_74585;
+   wire n_74586;
+   wire n_74587;
+   wire n_74589;
+   wire n_74590;
+   wire n_74592;
+   wire n_74593;
+   wire n_74594;
+   wire n_74595;
+   wire n_74596;
+   wire n_74597;
+   wire n_74598;
+   wire n_74599;
+   wire n_74600;
+   wire n_74601;
+   wire n_74603;
+   wire n_74605;
+   wire n_74607;
+   wire n_74608;
+   wire n_74610;
+   wire n_74611;
+   wire n_74613;
+   wire n_74614;
+   wire n_74615;
+   wire n_74617;
+   wire n_74626;
+   wire n_74627;
+   wire n_74658;
+   wire n_74659;
+   wire n_74700;
+   wire n_74701;
+   wire n_74702;
+   wire n_74703;
+   wire n_74711;
+   wire n_74712;
+   wire n_74715;
+   wire n_74716;
+   wire n_74717;
+   wire n_74718;
+   wire n_74725;
+   wire n_74726;
+   wire n_74730;
+   wire n_74731;
+   wire n_74732;
+   wire n_74733;
+   wire n_74734;
+   wire n_74738;
+   wire n_74739;
+   wire n_74740;
+   wire n_74741;
+   wire n_74743;
+   wire n_74744;
+   wire n_74745;
+   wire n_74746;
+   wire n_74747;
+   wire n_74748;
+   wire n_74749;
+   wire n_74752;
+   wire n_74753;
+   wire n_74754;
+   wire n_74755;
+   wire n_74757;
+   wire n_74759;
+   wire n_74761;
+   wire n_74762;
+   wire n_74763;
+   wire n_74764;
+   wire n_74765;
+   wire n_74766;
+   wire n_74767;
+   wire n_74769;
+   wire n_74770;
+   wire n_74771;
+   wire n_74772;
+   wire n_74774;
+   wire n_74775;
+   wire n_74776;
+   wire n_74777;
+   wire n_74778;
+   wire n_74779;
+   wire n_74780;
+   wire n_74781;
+   wire n_74784;
+   wire n_74785;
+   wire n_74786;
+   wire n_74787;
+   wire n_74790;
+   wire n_74791;
+   wire n_74792;
+   wire n_74793;
+   wire n_74794;
+   wire n_74798;
+   wire n_74799;
+   wire n_74800;
+   wire n_74801;
+   wire n_74802;
+   wire n_74804;
+   wire n_74805;
+   wire n_74806;
+   wire n_74807;
+   wire n_74808;
+   wire n_74810;
+   wire n_74813;
+   wire n_74814;
+   wire n_74815;
+   wire n_74816;
+   wire n_74817;
+   wire n_74819;
+   wire n_74820;
+   wire n_74821;
+   wire n_74823;
+   wire n_74824;
+   wire n_74825;
+   wire n_74826;
+   wire n_74828;
+   wire n_74829;
+   wire n_74830;
+   wire n_74831;
+   wire n_74833;
+   wire n_74834;
+   wire n_74835;
+   wire n_74836;
+   wire n_74837;
+   wire n_74838;
+   wire n_74839;
+   wire n_74840;
+   wire n_74842;
+   wire n_74843;
+   wire n_74844;
+   wire n_74845;
+   wire n_74846;
+   wire n_74847;
+   wire n_74848;
+   wire n_74851;
+   wire n_74852;
+   wire n_74853;
+   wire n_74855;
+   wire n_74856;
+   wire n_74859;
+   wire n_74860;
+   wire n_74861;
+   wire n_74864;
+   wire n_74865;
+   wire n_74866;
+   wire n_74868;
+   wire n_74869;
+   wire n_74870;
+   wire n_74871;
+   wire n_74872;
+   wire n_74875;
+   wire n_74876;
+   wire n_74877;
+   wire n_74880;
+   wire n_74881;
+   wire n_74882;
+   wire n_74883;
+   wire n_74884;
+   wire n_74885;
+   wire n_74887;
+   wire n_74888;
+   wire n_74890;
+   wire n_74891;
+   wire n_74892;
+   wire n_74893;
+   wire n_74894;
+   wire n_74895;
+   wire n_74896;
+   wire n_74897;
+   wire n_74898;
+   wire n_74899;
+   wire n_74901;
+   wire n_74902;
+   wire n_74903;
+   wire n_74904;
+   wire n_74907;
+   wire n_74908;
+   wire n_74909;
+   wire n_74910;
+   wire n_74911;
+   wire n_74912;
+   wire n_74914;
+   wire n_74915;
+   wire n_74916;
+   wire n_74917;
+   wire n_74918;
+   wire n_74919;
+   wire n_74922;
+   wire n_74923;
+   wire n_74925;
+   wire n_74926;
+   wire n_74928;
+   wire n_74929;
+   wire n_74930;
+   wire n_74932;
+   wire n_74933;
+   wire n_74934;
+   wire n_74935;
+   wire n_74936;
+   wire n_74939;
+   wire n_74940;
+   wire n_75233;
+   wire n_75234;
+   wire n_75235;
+   wire n_75237;
+   wire n_75238;
+   wire n_75239;
+   wire n_75240;
+   wire n_75241;
+   wire n_75243;
+   wire n_75244;
+   wire n_75245;
+   wire n_75249;
+   wire n_75250;
+   wire n_75251;
+   wire n_75253;
+   wire n_75254;
+   wire n_75255;
+   wire n_75256;
+   wire n_75257;
+   wire n_75260;
+   wire n_75261;
+   wire n_75262;
+   wire n_75264;
+   wire n_75265;
+   wire n_76717;
+   wire n_76718;
+   wire n_76719;
+   wire n_76721;
+   wire n_76722;
+   wire n_76723;
+   wire n_76724;
+   wire n_76725;
+   wire n_76726;
+   wire n_76727;
+   wire n_76728;
+   wire n_76729;
+   wire n_76730;
+   wire n_76731;
+   wire n_76732;
+   wire n_76733;
+   wire n_76734;
+   wire n_76735;
+   wire n_76736;
+   wire n_76737;
+   wire n_76786;
+   wire n_76787;
+   wire n_76788;
+   wire n_76789;
+   wire n_311795_BAR;
+   wire n_317667_BAR;
+   wire pwm1_oe;
+   wire pwm2_oe;
+   wire sd_o;
+   wire sd_oe;
+   wire \soc_top_GPIO_gen_filter[0].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[0].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[1].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[1].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[2].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[2].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[3].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[3].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[4].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[4].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[5].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[5].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[6].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[6].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[7].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[7].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[8].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[8].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[9].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[9].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[10].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[10].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[11].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[11].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[12].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[12].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[13].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[13].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[14].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[14].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[15].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[15].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[16].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[16].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[17].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[17].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[19].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[19].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[20].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[20].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[21].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[21].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[22].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[22].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[23].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[23].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[24].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[24].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[25].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[25].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[26].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[26].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[27].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[27].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[28].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[28].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[29].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[29].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[30].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[30].filter_stored_value_q ;
+   wire \soc_top_GPIO_gen_filter[31].filter_filter_q ;
+   wire \soc_top_GPIO_gen_filter[31].filter_stored_value_q ;
+   wire soc_top_data_we;
+   wire soc_top_dccm_adapter_data_mem_rspfifo_wvalid;
+   wire \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ;
+   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_15;
+   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_85;
+   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_266;
+   wire soc_top_dccm_adapter_data_mem_u_reqfifo_n_267;
+   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_15;
+   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_125;
+   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_488;
+   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_489;
+   wire soc_top_dccm_adapter_data_mem_u_rspfifo_n_498;
+   wire soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15;
+   wire soc_top_dccm_adapter_rvalid_o;
+   wire \soc_top_dccm_to_xbar[a_ready] ;
+   wire \soc_top_dccm_to_xbar[d_valid] ;
+   wire \soc_top_gpio_to_xbarp[d_error] ;
+   wire \soc_top_gpio_to_xbarp[d_valid] ;
+   wire soc_top_iccm_adapter_inst_mem_reqfifo_wready;
+   wire soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108;
+   wire soc_top_iccm_adapter_inst_mem_u_rspfifo_n_320;
+   wire soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52;
+   wire soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_122;
+   wire soc_top_iccm_adapter_rvalid;
+   wire soc_top_iccm_ctrl_we;
+   wire \soc_top_iccm_to_xbar[d_valid] ;
+   wire \soc_top_ifu_to_xbar[a_valid] ;
+   wire soc_top_instr_csb;
+   wire soc_top_instr_we;
+   wire \soc_top_intr_controller_reg2hw[ie0][0][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][1][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][2][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][3][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][4][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][5][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][6][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][7][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][8][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][9][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][10][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][11][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][12][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][13][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][14][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][15][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][16][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][17][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][18][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][19][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][20][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][21][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][22][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][23][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][24][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][25][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][26][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][27][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][28][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][29][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][30][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][31][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][32][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][33][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][34][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][35][q] ;
+   wire \soc_top_intr_controller_reg2hw[ie0][36][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][1][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][2][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][3][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][4][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][5][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][6][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][7][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][8][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][9][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][10][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][11][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][12][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][13][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][14][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][15][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][16][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][17][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][18][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][19][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][20][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][21][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][22][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][23][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][24][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][25][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][26][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][27][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][28][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][29][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][30][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][31][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][32][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][34][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][35][q] ;
+   wire \soc_top_intr_controller_reg2hw[le][36][q] ;
+   wire soc_top_intr_controller_u_reg_ip_0_p_1_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_2_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_3_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_4_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_5_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_6_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_7_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_8_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_9_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_10_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_11_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_12_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_13_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_14_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_15_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_16_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_17_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_18_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_19_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_20_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_21_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_22_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_23_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_24_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_25_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_26_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_27_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_28_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_29_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_30_qs;
+   wire soc_top_intr_controller_u_reg_ip_0_p_31_qs;
+   wire soc_top_intr_controller_u_reg_le_0_le_0_qs;
+   wire soc_top_intr_controller_u_reg_le_1_le_33_qs;
+   wire soc_top_intr_controller_u_reg_msip0_qs;
+   wire soc_top_intr_req;
+   wire soc_top_intr_srx;
+   wire soc_top_intr_stx;
+   wire soc_top_intr_timer;
+   wire soc_top_intr_u_tx;
+   wire \soc_top_lsu_to_xbar[a_valid] ;
+   wire soc_top_main_swith_host_lsu_n_47;
+   wire soc_top_main_swith_host_lsu_n_48;
+   wire soc_top_main_swith_host_lsu_n_1343;
+   wire soc_top_main_swith_host_lsu_n_1347;
+   wire soc_top_main_swith_host_lsu_n_1357;
+   wire \soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ;
+   wire \soc_top_plic_resp[d_error] ;
+   wire \soc_top_plic_resp[d_valid] ;
+   wire soc_top_prog_rst_ni;
+   wire \soc_top_pwm_to_xbar[d_valid] ;
+   wire soc_top_reset_manager_rst_q;
+   wire soc_top_rx_dv_i;
+   wire \soc_top_spi_to_xbar[d_valid] ;
+   wire soc_top_system_rst_ni;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_196 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_197 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_198 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_199 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_200 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_330 ;
+   wire \soc_top_timer0_gen_harts[0].u_core_n_81 ;
+   wire \soc_top_timer0_reg2hw[compare_lower0_0][qe] ;
+   wire \soc_top_timer0_reg2hw[compare_upper0_0][qe] ;
+   wire \soc_top_timer0_reg2hw[ctrl][0][q] ;
+   wire \soc_top_timer0_reg2hw[intr_enable0][0][q] ;
+   wire \soc_top_timer0_reg2hw[intr_state0][0][q] ;
+   wire \soc_top_timer_to_xbar[d_error] ;
+   wire \soc_top_timer_to_xbar[d_valid] ;
+   wire soc_top_u_pwm_pwm_core_clock_p1;
+   wire soc_top_u_pwm_pwm_core_clock_p2;
+   wire soc_top_u_pwm_pwm_core_pts;
+   wire soc_top_u_pwm_pwm_core_pts_2;
+   wire soc_top_u_spi_host_spi_host_last_bit;
+   wire soc_top_u_spi_host_spi_host_n_189;
+   wire soc_top_u_spi_host_spi_host_neg_edge;
+   wire soc_top_u_spi_host_spi_host_pos_edge;
+   wire soc_top_u_spi_host_spi_host_tip;
+   wire soc_top_u_top_data_we;
+   wire soc_top_u_top_u_core_clock_en;
+   wire soc_top_u_top_u_core_core_busy_q;
+   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ;
+   wire \soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6024_BAR;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6240;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6269;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6282;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6776;
+   wire soc_top_u_top_u_core_cs_registers_i_n_6876;
+   wire soc_top_u_top_u_core_cs_registers_i_n_7142;
+   wire soc_top_u_top_u_core_csr_access;
+   wire soc_top_u_top_u_core_csr_mstatus_mie;
+   wire soc_top_u_top_u_core_csr_mstatus_tw;
+   wire soc_top_u_top_u_core_csr_restore_mret_id;
+   wire soc_top_u_top_u_core_csr_save_cause;
+   wire soc_top_u_top_u_core_csr_save_id;
+   wire soc_top_u_top_u_core_csr_save_if;
+   wire soc_top_u_top_u_core_csr_save_wb;
+   wire soc_top_u_top_u_core_ctrl_busy;
+   wire soc_top_u_top_u_core_debug_csr_save;
+   wire soc_top_u_top_u_core_debug_ebreakm;
+   wire soc_top_u_top_u_core_debug_ebreaku;
+   wire soc_top_u_top_u_core_debug_mode;
+   wire soc_top_u_top_u_core_debug_single_step;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_0;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_1;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_2;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_3;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_29;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_add_93_45_n_136;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_149;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_164;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_179;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_213;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_219;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_221;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_225;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_238;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_271;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_288;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_290;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_297;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_310;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_390;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_393;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_396;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_397;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_400;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_402;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_411;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_412;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_418;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_432;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_803;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_879;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_n_941;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135;
+   wire soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136;
+   wire \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ;
+   wire \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ;
+   wire soc_top_u_top_u_core_fetch_enable_q;
+   wire soc_top_u_top_u_core_fp_alu_op_mod;
+   wire soc_top_u_top_u_core_fp_flush;
+   wire soc_top_u_top_u_core_fp_load;
+   wire soc_top_u_top_u_core_fp_rf_wen_id;
+   wire soc_top_u_top_u_core_fp_rm_dynamic;
+   wire soc_top_u_top_u_core_fpu_busy_idu;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_479 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_481 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_487 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_494 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_515 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_522 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_536 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_542 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_549 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_604 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_610 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_616 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_621 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_639 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_642 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_644 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_649 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_650 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_655 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_735 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_738 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_746 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_748 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_749 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_751 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_753 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_760 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_782 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_786 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_794 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_800 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_802 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_814 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_816 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_825 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_827 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_831 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_838 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_925 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_927 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_930 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_932 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_936 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_937 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_940 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_948 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_963 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_965 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_966 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_967 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_982 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_983 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1004 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1006 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1008 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1010 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1017 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1033 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1037 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1042 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1058 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1060 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1061 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1068 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1069 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1070 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1071 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1074 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1083 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1085 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1150 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1158 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1165 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1167 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1168 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1172 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1173 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1180 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1190 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1191 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1192 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1204 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1206 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1217 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1247 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1253 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1259 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1264 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1269 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1272 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1278 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1305 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1314 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1319 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1336 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1340 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1351 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1367 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1371 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1372 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1373 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1376 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1389 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1394 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1400 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1414 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1417 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1426 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1431 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1436 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1439 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1445 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1453 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1455 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1463 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1467 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1468 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1469 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1471 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1474 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1483 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1487 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1492 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1498 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1506 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1529 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1532 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1542 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1543 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1549 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1551 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1553 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1560 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1566 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1580 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1612 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1628 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1633 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1639 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1640 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1642 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1645 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1655 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1660 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1664 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1665 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1667 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1673 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1679 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1681 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1694 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1696 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1706 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1707 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1710 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1715 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1718 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1720 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1728 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1730 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1733 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1744 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1747 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1752 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1756 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1797 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1811 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1841 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1842 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1844 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1854 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1868 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1869 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1870 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1897 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1912 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1913 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1915 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1927 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1952 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1955 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1957 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1958 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1959 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1962 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1963 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1970 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1976 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2147 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2150 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2194 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2212 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2214 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2243 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2319 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2363 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_638 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_144 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_90 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_147 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_110 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_113 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_124 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_138 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_139 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_146 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_149 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_158 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_164 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_165 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_166 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_168 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_175 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_178 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_179 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_187 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_191 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_193 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_202 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_204 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_205 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_212 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_214 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_215 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_223 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_224 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_228 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_230 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_232 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_234 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_253 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_254 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_255 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_257 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_259 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_260 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_261 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_263 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_268 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_269 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_270 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_273 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_275 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_276 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_283 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_289 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_350 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_359 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_364 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_371 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_373 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_375 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_376 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_383 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_396 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_431 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_443 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_530 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_84 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_420 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_427 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_430 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_433 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_440 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_441 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_442 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_443 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_444 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_445 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_447 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_448 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_451 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_453 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_454 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_455 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_456 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_457 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_458 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_460 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_465 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_497 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_529 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_533 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_534 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_547 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_550 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_551 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_555 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_558 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_560 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_561 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_562 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_563 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_570 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_592 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_610 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_611 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_612 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_613 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_614 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_615 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_616 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_617 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_618 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_619 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_620 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_622 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_623 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_625 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_626 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_633 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_634 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_635 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_636 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_638 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_639 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_640 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_647 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_674 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_682 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_683 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_686 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_693 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_699 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_745 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_770 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_777 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_788 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_801 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_802 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_805 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_807 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_808 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_809 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_817 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_819 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_821 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_825 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_831 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_832 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_846 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_854 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_857 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_862 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_868 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_871 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_872 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_877 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_884 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_886 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_896 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_900 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_913 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_933 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_948 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_956 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_966 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_969 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_970 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_995 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1000 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1012 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1017 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1020 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1026 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1044 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1066 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1070 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1090 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1094 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1114 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1124 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1126 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1131 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1135 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1137 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1138 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1142 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1144 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1158 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1159 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1175 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1177 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1181 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1194 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1198 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1201 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1218 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1220 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1298 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1299 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1305 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1424 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1458 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1459 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1477 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1493 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1495 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1496 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1500 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1501 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1502 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1503 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1504 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1506 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1529 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1530 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1537 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1641 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1713 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1756 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1859 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1871 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1915 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1917 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1919 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1925 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1926 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1928 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1935 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ;
+   wire soc_top_u_top_u_core_id_in_ready;
+   wire soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec;
+   wire soc_top_u_top_u_core_id_stage_i_branch_in_dec;
+   wire soc_top_u_top_u_core_id_stage_i_branch_set;
+   wire soc_top_u_top_u_core_id_stage_i_controller_run;
+   wire soc_top_u_top_u_core_id_stage_i_div_en_dec;
+   wire soc_top_u_top_u_core_id_stage_i_dret_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_ebrk_insn;
+   wire soc_top_u_top_u_core_id_stage_i_ecall_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds;
+   wire soc_top_u_top_u_core_id_stage_i_id_fsm_q;
+   wire soc_top_u_top_u_core_id_stage_i_illegal_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel;
+   wire soc_top_u_top_u_core_id_stage_i_jump_in_dec;
+   wire soc_top_u_top_u_core_id_stage_i_jump_set;
+   wire soc_top_u_top_u_core_id_stage_i_jump_set_dec;
+   wire soc_top_u_top_u_core_id_stage_i_lsu_req_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mret_insn_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mult_en_dec;
+   wire soc_top_u_top_u_core_id_stage_i_mv_instr;
+   wire soc_top_u_top_u_core_id_stage_i_n_516;
+   wire soc_top_u_top_u_core_id_stage_i_n_609;
+   wire soc_top_u_top_u_core_id_stage_i_n_1176;
+   wire soc_top_u_top_u_core_id_stage_i_rf_ren_a;
+   wire soc_top_u_top_u_core_id_stage_i_rf_ren_b;
+   wire soc_top_u_top_u_core_id_stage_i_rf_we_dec;
+   wire soc_top_u_top_u_core_id_stage_i_stall_wb;
+   wire soc_top_u_top_u_core_id_stage_i_wb_exception;
+   wire soc_top_u_top_u_core_id_stage_i_wfi_insn_dec;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_11;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_19;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_33;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_41;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_43;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_45;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_47;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_62;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two;
+   wire soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q;
+   wire soc_top_u_top_u_core_illegal_c_insn_id;
+   wire soc_top_u_top_u_core_instr_is_compressed_id;
+   wire soc_top_u_top_u_core_instr_req_int;
+   wire soc_top_u_top_u_core_instr_valid_clear;
+   wire soc_top_u_top_u_core_instr_valid_id;
+   wire \soc_top_u_top_u_core_irqs[irq_external] ;
+   wire \soc_top_u_top_u_core_irqs[irq_timer] ;
+   wire soc_top_u_top_u_core_is_fp_instr;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_data_we_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_lsu_err_q;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_753;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_861_BAR;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_935;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_936;
+   wire soc_top_u_top_u_core_load_store_unit_i_n_937;
+   wire soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access;
+   wire soc_top_u_top_u_core_lsu_load_err;
+   wire soc_top_u_top_u_core_lsu_sign_ext;
+   wire soc_top_u_top_u_core_lsu_store_err;
+   wire soc_top_u_top_u_core_mult_sel_ex;
+   wire soc_top_u_top_u_core_out_valid_fpu2c;
+   wire soc_top_u_top_u_core_pc_set;
+   wire soc_top_u_top_u_core_trigger_match;
+   wire soc_top_u_top_u_core_use_fp_rs2;
+   wire soc_top_u_top_u_core_valid_id_fpu;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ;
+   wire \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ;
+   wire soc_top_u_uart_u_uart_core_n_139;
+   wire soc_top_u_uart_u_uart_core_n_195;
+   wire soc_top_u_uart_u_uart_core_read_fifo_buffer_empty;
+   wire soc_top_u_uart_u_uart_core_read_fifo_buffer_full_217;
+   wire soc_top_u_uart_u_uart_core_rx_clr;
+   wire soc_top_u_uart_u_uart_core_rx_done;
+   wire soc_top_u_uart_u_uart_core_rx_en;
+   wire soc_top_u_uart_u_uart_core_rx_fifo_clr;
+   wire soc_top_u_uart_u_uart_core_rx_fifo_rst;
+   wire soc_top_u_uart_u_uart_core_rx_intr;
+   wire soc_top_u_uart_u_uart_core_rx_sbit;
+   wire soc_top_u_uart_u_uart_core_rx_status;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75;
+   wire soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_76;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62;
+   wire soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_217;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_576;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_577;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_578;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_579;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_580;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_581;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_582;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_583;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_584;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_585;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_586;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_587;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_588;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_589;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_590;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_591;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_592;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_593;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_594;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_595;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_596;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_597;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_598;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_599;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_600;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_601;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_602;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_603;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_604;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_605;
+   wire soc_top_u_uart_u_uart_core_rx_time_n_606;
+   wire soc_top_u_uart_u_uart_core_tx_done;
+   wire soc_top_u_uart_u_uart_core_tx_en;
+   wire soc_top_u_uart_u_uart_core_tx_en_sel;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_clear;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_init;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_re;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_reset;
+   wire soc_top_u_uart_u_uart_core_tx_fifo_we;
+   wire \soc_top_uart_to_xbar[d_valid] ;
+   wire \soc_top_xbar_to_lsu[d_error] ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_0 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_1 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_2 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_3 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_4 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_5 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_6 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_7 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_8 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_9 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_10 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_11 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_12 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_13 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_14 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_15 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_16 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_17 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_18 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_19 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_20 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_21 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_22 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_23 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_24 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_25 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_26 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_27 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_28 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_29 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_30 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_31 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_32 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_33 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_34 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_35 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_36 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_37 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_38 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_39 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_40 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_41 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_42 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_43 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_44 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_45 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_46 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_47 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_48 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_49 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_50 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_51 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_52 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_53 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_54 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_55 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_56 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_57 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_58 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_59 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_60 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_61 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_62 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_63 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_64 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_65 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_66 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_67 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_68 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_69 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_70 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_71 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_72 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_73 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_74 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_75 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_76 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_77 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_78 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_79 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_80 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_81 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_82 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_83 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_84 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_85 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_86 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_87 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_88 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_89 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_90 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_91 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_92 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_93 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_94 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_95 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_96 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_97 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_98 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_99 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_100 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_101 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_102 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_103 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_104 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_105 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_106 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_107 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_108 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_109 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_110 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_111 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_112 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_113 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_114 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_115 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_116 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_117 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_118 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_119 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_120 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_121 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_122 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_123 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_124 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_125 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_126 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_127 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_128 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_129 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_130 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_131 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_132 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_133 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_134 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_135 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_136 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_137 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_138 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_139 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_140 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_141 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_142 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_143 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_144 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_145 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_146 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_147 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_148 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_149 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_150 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_151 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_152 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_153 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_154 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_155 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_156 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_157 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_158 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_159 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_160 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_161 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_162 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_163 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_164 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_165 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_166 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_167 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_168 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_169 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_170 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_171 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_172 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_173 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_174 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_175 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_176 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_177 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_178 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_179 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_180 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_181 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_182 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_183 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_184 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_185 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_186 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_187 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_188 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_189 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_190 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_191 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_192 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_193 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_194 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_195 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_196 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_197 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_198 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_199 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_200 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_201 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_202 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_203 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_204 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_205 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_206 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_207 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_208 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_209 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_210 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_211 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_212 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_213 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_214 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_215 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_216 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_217 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_218 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_219 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_220 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_221 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_222 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_223 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_224 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_225 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_226 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_227 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_228 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_229 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_230 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_231 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_232 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_233 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_234 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_235 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_236 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_237 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_238 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_239 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_240 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_241 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_242 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_243 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_244 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_245 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_246 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_247 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_248 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_250 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_251 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_252 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_254 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_255 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_256 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_257 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_258 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_259 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_260 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_261 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_262 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_263 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_264 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_265 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_266 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_267 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_268 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_269 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_270 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_272 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_273 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_274 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_275 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_276 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_277 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_278 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_279 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_280 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_281 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_282 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_283 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_285 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_286 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_287 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_288 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_289 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_290 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_291 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_292 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_293 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_294 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_295 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_372 ;
+   wire \soc_top_intr_controller_gen_target[0].u_target/n_373 ;
+   wire \soc_top_u_dut/byte_count[1] ;
+   wire \soc_top_u_dut/byte_count[0] ;
+   wire \soc_top_u_dut/ctrl_fsm_cs[1] ;
+   wire \soc_top_u_dut/ctrl_fsm_cs[0] ;
+   wire \soc_top_u_dut/n_1 ;
+   wire \soc_top_u_dut/n_2 ;
+   wire \soc_top_u_dut/n_3 ;
+   wire \soc_top_u_dut/n_4 ;
+   wire \soc_top_u_dut/n_5 ;
+   wire \soc_top_u_dut/n_6 ;
+   wire \soc_top_u_dut/n_7 ;
+   wire \soc_top_u_dut/n_8 ;
+   wire \soc_top_u_dut/n_9 ;
+   wire \soc_top_u_dut/n_10 ;
+   wire \soc_top_u_dut/n_11 ;
+   wire \soc_top_u_dut/n_12 ;
+   wire \soc_top_u_dut/n_13 ;
+   wire \soc_top_u_dut/n_14 ;
+   wire \soc_top_u_dut/n_15 ;
+   wire \soc_top_u_dut/n_16 ;
+   wire \soc_top_u_dut/n_17 ;
+   wire \soc_top_u_dut/n_18 ;
+   wire \soc_top_u_dut/n_19 ;
+   wire \soc_top_u_dut/n_20 ;
+   wire \soc_top_u_dut/n_21 ;
+   wire \soc_top_u_dut/n_22 ;
+   wire \soc_top_u_dut/n_23 ;
+   wire \soc_top_u_dut/n_24 ;
+   wire \soc_top_u_dut/n_25 ;
+   wire \soc_top_u_dut/n_26 ;
+   wire \soc_top_u_dut/n_27 ;
+   wire \soc_top_u_dut/n_28 ;
+   wire \soc_top_u_dut/n_29 ;
+   wire \soc_top_u_dut/n_30 ;
+   wire \soc_top_u_dut/n_31 ;
+   wire \soc_top_u_dut/n_32 ;
+   wire \soc_top_u_dut/n_33 ;
+   wire \soc_top_u_dut/n_34 ;
+   wire \soc_top_u_dut/n_35 ;
+   wire \soc_top_u_dut/n_36 ;
+   wire \soc_top_u_dut/n_37 ;
+   wire \soc_top_u_dut/n_38 ;
+   wire \soc_top_u_dut/n_39 ;
+   wire \soc_top_u_dut/n_40 ;
+   wire \soc_top_u_dut/n_41 ;
+   wire \soc_top_u_dut/n_42 ;
+   wire \soc_top_u_dut/n_43 ;
+   wire \soc_top_u_dut/n_44 ;
+   wire \soc_top_u_dut/n_45 ;
+   wire \soc_top_u_dut/n_46 ;
+   wire \soc_top_u_dut/n_47 ;
+   wire \soc_top_u_dut/n_48 ;
+   wire \soc_top_u_dut/n_49 ;
+   wire \soc_top_u_dut/n_50 ;
+   wire \soc_top_u_dut/n_51 ;
+   wire \soc_top_u_dut/n_52 ;
+   wire \soc_top_u_dut/n_53 ;
+   wire \soc_top_u_dut/n_54 ;
+   wire \soc_top_u_dut/n_55 ;
+   wire \soc_top_u_dut/n_56 ;
+   wire \soc_top_u_dut/n_57 ;
+   wire \soc_top_u_dut/n_58 ;
+   wire \soc_top_u_dut/n_59 ;
+   wire \soc_top_u_dut/n_60 ;
+   wire \soc_top_u_dut/n_61 ;
+   wire \soc_top_u_dut/n_62 ;
+   wire \soc_top_u_dut/n_63 ;
+   wire \soc_top_u_dut/n_64 ;
+   wire \soc_top_u_dut/n_65 ;
+   wire \soc_top_u_dut/n_66 ;
+   wire \soc_top_u_dut/n_67 ;
+   wire \soc_top_u_dut/n_68 ;
+   wire \soc_top_u_dut/n_69 ;
+   wire \soc_top_u_dut/n_70 ;
+   wire \soc_top_u_dut/n_71 ;
+   wire \soc_top_u_dut/n_72 ;
+   wire \soc_top_u_dut/n_73 ;
+   wire \soc_top_u_dut/n_74 ;
+   wire \soc_top_u_dut/n_75 ;
+   wire \soc_top_u_dut/n_76 ;
+   wire \soc_top_u_dut/n_77 ;
+   wire \soc_top_u_dut/n_78 ;
+   wire \soc_top_u_dut/n_79 ;
+   wire \soc_top_u_dut/n_80 ;
+   wire \soc_top_u_dut/n_81 ;
+   wire \soc_top_u_dut/n_82 ;
+   wire \soc_top_u_dut/n_83 ;
+   wire \soc_top_u_dut/n_84 ;
+   wire \soc_top_u_dut/n_85 ;
+   wire \soc_top_u_dut/n_86 ;
+   wire \soc_top_u_dut/n_87 ;
+   wire \soc_top_u_dut/n_88 ;
+   wire \soc_top_u_dut/n_89 ;
+   wire \soc_top_u_dut/n_90 ;
+   wire \soc_top_u_dut/n_91 ;
+   wire \soc_top_u_dut/n_92 ;
+   wire \soc_top_u_dut/n_93 ;
+   wire \soc_top_u_dut/n_94 ;
+   wire \soc_top_u_dut/n_95 ;
+   wire \soc_top_u_dut/n_96 ;
+   wire \soc_top_u_dut/n_97 ;
+   wire \soc_top_u_dut/n_98 ;
+   wire \soc_top_u_dut/n_99 ;
+   wire \soc_top_u_dut/n_100 ;
+   wire \soc_top_u_dut/n_101 ;
+   wire \soc_top_u_dut/n_102 ;
+   wire \soc_top_u_dut/n_103 ;
+   wire \soc_top_u_dut/n_104 ;
+   wire \soc_top_u_dut/n_105 ;
+   wire \soc_top_u_dut/n_106 ;
+   wire \soc_top_u_dut/n_107 ;
+   wire \soc_top_u_dut/n_108 ;
+   wire \soc_top_u_dut/n_109 ;
+   wire \soc_top_u_dut/n_111 ;
+   wire \soc_top_u_dut/n_112 ;
+   wire \soc_top_u_dut/n_113 ;
+   wire \soc_top_u_dut/n_114 ;
+   wire \soc_top_u_dut/n_115 ;
+   wire \soc_top_u_dut/n_116 ;
+   wire \soc_top_u_dut/n_117 ;
+   wire \soc_top_u_dut/n_118 ;
+   wire \soc_top_u_dut/n_119 ;
+   wire \soc_top_u_dut/n_120 ;
+   wire \soc_top_u_dut/n_121 ;
+   wire \soc_top_u_dut/n_122 ;
+   wire \soc_top_u_dut/n_123 ;
+   wire \soc_top_u_dut/n_124 ;
+   wire \soc_top_u_dut/n_125 ;
+   wire \soc_top_u_dut/n_126 ;
+   wire \soc_top_u_dut/n_127 ;
+   wire \soc_top_u_dut/n_128 ;
+   wire \soc_top_u_dut/n_129 ;
+   wire \soc_top_u_dut/n_130 ;
+   wire \soc_top_u_dut/n_131 ;
+   wire \soc_top_u_dut/n_132 ;
+   wire \soc_top_u_dut/n_133 ;
+   wire \soc_top_u_dut/n_134 ;
+   wire \soc_top_u_dut/n_140 ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[5] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[4] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[3] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[2] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[1] ;
+   wire \soc_top_u_spi_host_spi_host_shift/cnt[0] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[31] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[30] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[29] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[28] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[27] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[26] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[25] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[24] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[23] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[22] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[21] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[20] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[19] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[18] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[17] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[16] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[15] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[14] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[13] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[12] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[11] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[10] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[9] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[8] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[7] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[6] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[5] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[4] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[3] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[2] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[1] ;
+   wire \soc_top_u_spi_host_spi_host_shift/data[0] ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_0 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_2 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_3 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_4 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_5 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_6 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_7 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_8 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_9 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_10 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_11 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_12 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_13 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_14 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_15 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_16 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_17 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_18 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_19 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_20 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_21 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_22 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_23 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_24 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_25 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_26 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_27 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_28 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_29 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_30 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_31 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_32 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_33 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_34 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_35 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_36 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_37 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_38 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_39 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_40 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_41 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_42 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_43 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_44 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_45 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_46 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_47 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_48 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_49 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_50 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_51 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_52 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_53 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_54 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_55 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_56 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_57 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_58 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_59 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_60 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_61 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_62 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_63 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_64 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_65 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_66 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_67 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_68 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_69 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_70 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_71 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_72 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_73 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_74 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_75 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_76 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_77 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_78 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_79 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_80 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_81 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_82 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_83 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_84 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_85 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_86 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_87 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_88 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_89 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_90 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_91 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_92 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_93 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_94 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_95 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_96 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_97 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_98 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_99 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_100 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_101 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_102 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_103 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_104 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_105 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_106 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_107 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_108 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_109 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_110 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_111 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_112 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_113 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_114 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_115 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_116 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_117 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_118 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_119 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_120 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_121 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_122 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_123 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_124 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_125 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_126 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_127 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_128 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_129 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_130 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_131 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_132 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_133 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_134 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_136 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_138 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_139 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_140 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_141 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_142 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_143 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_144 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_145 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_146 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_147 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_148 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_149 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_151 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_153 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_155 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_156 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_157 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_159 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_160 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_161 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_162 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_163 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_164 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_165 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_166 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_167 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_168 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_169 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_170 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_172 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_173 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_174 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_175 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_176 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_177 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_178 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_179 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_180 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_181 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_182 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_183 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_184 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_185 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_186 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_187 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_188 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_189 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_190 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_191 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_192 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_193 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_194 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_195 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_196 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_197 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_198 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_200 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_201 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_203 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_204 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_205 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_206 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_207 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_208 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_209 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_210 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_211 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_212 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_213 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_214 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_215 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_216 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_217 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_218 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_220 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_221 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_222 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_223 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_224 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_225 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_226 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_227 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_229 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_231 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_232 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_233 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_234 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_235 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_236 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_237 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_238 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_239 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_240 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_241 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_242 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_243 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_244 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_245 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_246 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_247 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_248 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_249 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_250 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_252 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_253 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_254 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_255 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_256 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_257 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_258 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_260 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_261 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_262 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_263 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_264 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_265 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_266 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_267 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_268 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_269 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_270 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_271 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_272 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_273 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_274 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_275 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_276 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_277 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_278 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_279 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_280 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_281 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_282 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_283 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_284 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_285 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_286 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_287 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_288 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_289 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_290 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_291 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_292 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_293 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_294 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_295 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_296 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_297 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_298 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_299 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_300 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_301 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_302 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_303 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_304 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_305 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_306 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_307 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_308 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_309 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_310 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_311 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_313 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_314 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_315 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_316 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_317 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_371 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_372 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_373 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_374 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_375 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_376 ;
+   wire \soc_top_u_spi_host_spi_host_shift/n_377 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_8 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_10 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_25 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_31 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_40 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_57 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_59 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_66 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_72 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_79 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_83 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_87 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_92 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_112 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_116 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_120 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_125 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_130 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_134 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_136 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_148 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_151 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_152 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_153 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_157 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_161 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_162 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_163 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_169 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_172 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_173 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_177 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_186 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_195 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_200 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_201 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_204 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_205 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_207 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_208 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_209 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_211 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_212 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_214 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_216 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_218 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_220 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_226 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_229 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_230 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_232 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_236 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_237 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_239 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_240 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_241 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_242 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_245 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_251 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_252 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_262 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_265 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_274 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_275 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_277 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_281 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_283 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_309 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_311 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_320 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_322 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_349 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_350 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_354 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_356 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_357 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_359 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_362 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_363 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_366 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_368 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_373 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_375 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_377 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_385 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_386 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_403 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_405 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_408 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_432 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_433 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_434 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_435 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_442 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_552 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_553 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_572 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_575 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_576 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_608 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_609 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_612 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_660 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_970 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1054 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1106 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1108 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1110 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1111 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1222 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1262 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1263 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1462 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1477 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1478 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1487 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1488 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1498 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1499 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1500 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1502 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1508 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1512 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1513 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1515 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1517 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1519 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1520 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1527 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1528 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1531 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1532 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1538 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1545 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1548 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1567 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1601 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1602 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1604 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1606 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1607 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1608 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1610 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1695 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1696 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1697 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1698 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1699 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1703 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1768 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1781 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1824 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1825 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1826 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1827 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1843 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1846 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1847 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1850 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1851 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1852 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1853 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1854 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1855 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1856 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1857 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1859 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1860 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1863 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1864 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1875 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1879 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1880 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1881 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1891 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1892 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1893 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1904 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1905 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1906 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1919 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1926 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1966 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1967 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2166 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2167 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2169 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2172 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2173 ;
+   wire \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2174 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_0 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_34 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_52 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_62 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_63 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_65 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_72 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_74 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_75 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_82 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_85 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_101 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_152 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_274 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_293 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_300 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_301 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_308 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_312 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_325 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_326 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_329 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_330 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_332 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_333 ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/n_4426_BAR ;
+   wire \soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_142 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_152 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_153 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_156 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_158 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_163 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_165 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_175 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_176 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_177 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_178 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_179 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_180 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_181 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_190 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_191 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_195 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_198 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_205 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_218 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_228 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_230 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_231 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_232 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_235 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_237 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_244 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_249 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_250 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_251 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_254 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_255 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_260 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_263 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_266 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_268 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_269 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_270 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_272 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_273 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_274 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_275 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_277 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_278 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_281 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_282 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_289 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_290 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_304 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_306 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_307 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_309 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_312 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_313 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_315 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_338 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_352 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_354 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_355 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_358 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_359 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_362 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_363 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_371 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_375 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_376 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_387 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_388 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_402 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_403 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_410 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_414 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_419 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_420 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_421 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_434 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_435 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_438 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_449 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_456 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_457 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_460 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_462 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_463 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_466 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_467 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_471 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_475 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_478 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_483 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_487 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_490 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_491 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_492 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_495 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_499 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_514 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_531 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_537 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_572 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_577 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_580 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_581 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_586 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_591 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_597 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_603 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ;
+   wire \soc_top_u_top_u_core_id_stage_i_decoder_i/n_642 ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_rx_prog/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_rx_prog/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[2] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[1] ;
+   wire \soc_top_u_uart_rx_prog/r_SM_Main[0] ;
+   wire \soc_top_u_uart_rx_prog/n_0 ;
+   wire \soc_top_u_uart_rx_prog/n_1 ;
+   wire \soc_top_u_uart_rx_prog/n_2 ;
+   wire \soc_top_u_uart_rx_prog/n_3 ;
+   wire \soc_top_u_uart_rx_prog/n_4 ;
+   wire \soc_top_u_uart_rx_prog/n_5 ;
+   wire \soc_top_u_uart_rx_prog/n_6 ;
+   wire \soc_top_u_uart_rx_prog/n_7 ;
+   wire \soc_top_u_uart_rx_prog/n_8 ;
+   wire \soc_top_u_uart_rx_prog/n_9 ;
+   wire \soc_top_u_uart_rx_prog/n_10 ;
+   wire \soc_top_u_uart_rx_prog/n_11 ;
+   wire \soc_top_u_uart_rx_prog/n_12 ;
+   wire \soc_top_u_uart_rx_prog/n_13 ;
+   wire \soc_top_u_uart_rx_prog/n_14 ;
+   wire \soc_top_u_uart_rx_prog/n_15 ;
+   wire \soc_top_u_uart_rx_prog/n_16 ;
+   wire \soc_top_u_uart_rx_prog/n_17 ;
+   wire \soc_top_u_uart_rx_prog/n_19 ;
+   wire \soc_top_u_uart_rx_prog/n_20 ;
+   wire \soc_top_u_uart_rx_prog/n_21 ;
+   wire \soc_top_u_uart_rx_prog/n_22 ;
+   wire \soc_top_u_uart_rx_prog/n_23 ;
+   wire \soc_top_u_uart_rx_prog/n_24 ;
+   wire \soc_top_u_uart_rx_prog/n_25 ;
+   wire \soc_top_u_uart_rx_prog/n_26 ;
+   wire \soc_top_u_uart_rx_prog/n_27 ;
+   wire \soc_top_u_uart_rx_prog/n_28 ;
+   wire \soc_top_u_uart_rx_prog/n_29 ;
+   wire \soc_top_u_uart_rx_prog/n_30 ;
+   wire \soc_top_u_uart_rx_prog/n_31 ;
+   wire \soc_top_u_uart_rx_prog/n_32 ;
+   wire \soc_top_u_uart_rx_prog/n_33 ;
+   wire \soc_top_u_uart_rx_prog/n_34 ;
+   wire \soc_top_u_uart_rx_prog/n_35 ;
+   wire \soc_top_u_uart_rx_prog/n_36 ;
+   wire \soc_top_u_uart_rx_prog/n_37 ;
+   wire \soc_top_u_uart_rx_prog/n_38 ;
+   wire \soc_top_u_uart_rx_prog/n_39 ;
+   wire \soc_top_u_uart_rx_prog/n_40 ;
+   wire \soc_top_u_uart_rx_prog/n_41 ;
+   wire \soc_top_u_uart_rx_prog/n_42 ;
+   wire \soc_top_u_uart_rx_prog/n_43 ;
+   wire \soc_top_u_uart_rx_prog/n_44 ;
+   wire \soc_top_u_uart_rx_prog/n_45 ;
+   wire \soc_top_u_uart_rx_prog/n_46 ;
+   wire \soc_top_u_uart_rx_prog/n_47 ;
+   wire \soc_top_u_uart_rx_prog/n_48 ;
+   wire \soc_top_u_uart_rx_prog/n_49 ;
+   wire \soc_top_u_uart_rx_prog/n_50 ;
+   wire \soc_top_u_uart_rx_prog/n_51 ;
+   wire \soc_top_u_uart_rx_prog/n_52 ;
+   wire \soc_top_u_uart_rx_prog/n_53 ;
+   wire \soc_top_u_uart_rx_prog/n_54 ;
+   wire \soc_top_u_uart_rx_prog/n_55 ;
+   wire \soc_top_u_uart_rx_prog/n_56 ;
+   wire \soc_top_u_uart_rx_prog/n_57 ;
+   wire \soc_top_u_uart_rx_prog/n_58 ;
+   wire \soc_top_u_uart_rx_prog/n_59 ;
+   wire \soc_top_u_uart_rx_prog/n_60 ;
+   wire \soc_top_u_uart_rx_prog/n_61 ;
+   wire \soc_top_u_uart_rx_prog/n_62 ;
+   wire \soc_top_u_uart_rx_prog/n_64 ;
+   wire \soc_top_u_uart_rx_prog/n_65 ;
+   wire \soc_top_u_uart_rx_prog/n_66 ;
+   wire \soc_top_u_uart_rx_prog/n_67 ;
+   wire \soc_top_u_uart_rx_prog/n_68 ;
+   wire \soc_top_u_uart_rx_prog/n_69 ;
+   wire \soc_top_u_uart_rx_prog/n_70 ;
+   wire \soc_top_u_uart_rx_prog/n_71 ;
+   wire \soc_top_u_uart_rx_prog/n_72 ;
+   wire \soc_top_u_uart_rx_prog/n_73 ;
+   wire \soc_top_u_uart_rx_prog/n_74 ;
+   wire \soc_top_u_uart_rx_prog/n_75 ;
+   wire \soc_top_u_uart_rx_prog/n_76 ;
+   wire \soc_top_u_uart_rx_prog/n_77 ;
+   wire \soc_top_u_uart_rx_prog/n_78 ;
+   wire \soc_top_u_uart_rx_prog/n_79 ;
+   wire \soc_top_u_uart_rx_prog/n_80 ;
+   wire \soc_top_u_uart_rx_prog/n_81 ;
+   wire \soc_top_u_uart_rx_prog/n_82 ;
+   wire \soc_top_u_uart_rx_prog/n_83 ;
+   wire \soc_top_u_uart_rx_prog/n_84 ;
+   wire \soc_top_u_uart_rx_prog/n_85 ;
+   wire \soc_top_u_uart_rx_prog/n_86 ;
+   wire \soc_top_u_uart_rx_prog/n_87 ;
+   wire \soc_top_u_uart_rx_prog/n_88 ;
+   wire \soc_top_u_uart_rx_prog/n_89 ;
+   wire \soc_top_u_uart_rx_prog/n_90 ;
+   wire \soc_top_u_uart_rx_prog/n_91 ;
+   wire \soc_top_u_uart_rx_prog/n_92 ;
+   wire \soc_top_u_uart_rx_prog/n_93 ;
+   wire \soc_top_u_uart_rx_prog/n_94 ;
+   wire \soc_top_u_uart_rx_prog/n_95 ;
+   wire \soc_top_u_uart_rx_prog/n_96 ;
+   wire \soc_top_u_uart_rx_prog/n_97 ;
+   wire \soc_top_u_uart_rx_prog/n_98 ;
+   wire \soc_top_u_uart_rx_prog/n_99 ;
+   wire \soc_top_u_uart_rx_prog/n_100 ;
+   wire \soc_top_u_uart_rx_prog/n_101 ;
+   wire \soc_top_u_uart_rx_prog/n_102 ;
+   wire \soc_top_u_uart_rx_prog/n_103 ;
+   wire \soc_top_u_uart_rx_prog/n_104 ;
+   wire \soc_top_u_uart_rx_prog/n_105 ;
+   wire \soc_top_u_uart_rx_prog/n_106 ;
+   wire \soc_top_u_uart_rx_prog/n_108 ;
+   wire \soc_top_u_uart_rx_prog/n_109 ;
+   wire \soc_top_u_uart_rx_prog/n_110 ;
+   wire \soc_top_u_uart_rx_prog/n_111 ;
+   wire \soc_top_u_uart_rx_prog/n_112 ;
+   wire \soc_top_u_uart_rx_prog/n_113 ;
+   wire \soc_top_u_uart_rx_prog/n_114 ;
+   wire \soc_top_u_uart_rx_prog/n_115 ;
+   wire \soc_top_u_uart_rx_prog/n_116 ;
+   wire \soc_top_u_uart_rx_prog/n_117 ;
+   wire \soc_top_u_uart_rx_prog/n_118 ;
+   wire \soc_top_u_uart_rx_prog/n_119 ;
+   wire \soc_top_u_uart_rx_prog/n_120 ;
+   wire \soc_top_u_uart_rx_prog/n_121 ;
+   wire \soc_top_u_uart_rx_prog/n_122 ;
+   wire \soc_top_u_uart_rx_prog/n_123 ;
+   wire \soc_top_u_uart_rx_prog/n_124 ;
+   wire \soc_top_u_uart_rx_prog/n_125 ;
+   wire \soc_top_u_uart_rx_prog/n_126 ;
+   wire \soc_top_u_uart_rx_prog/n_127 ;
+   wire \soc_top_u_uart_rx_prog/n_128 ;
+   wire \soc_top_u_uart_rx_prog/n_129 ;
+   wire \soc_top_u_uart_rx_prog/n_130 ;
+   wire \soc_top_u_uart_rx_prog/n_131 ;
+   wire \soc_top_u_uart_rx_prog/n_132 ;
+   wire \soc_top_u_uart_rx_prog/n_133 ;
+   wire \soc_top_u_uart_rx_prog/n_134 ;
+   wire \soc_top_u_uart_rx_prog/n_135 ;
+   wire \soc_top_u_uart_rx_prog/n_136 ;
+   wire \soc_top_u_uart_rx_prog/n_137 ;
+   wire \soc_top_u_uart_rx_prog/n_138 ;
+   wire \soc_top_u_uart_rx_prog/n_139 ;
+   wire \soc_top_u_uart_rx_prog/n_140 ;
+   wire \soc_top_u_uart_rx_prog/n_142 ;
+   wire \soc_top_u_uart_rx_prog/n_143 ;
+   wire \soc_top_u_uart_rx_prog/n_144 ;
+   wire \soc_top_u_uart_rx_prog/n_145 ;
+   wire \soc_top_u_uart_rx_prog/n_147 ;
+   wire \soc_top_u_uart_rx_prog/n_148 ;
+   wire \soc_top_u_uart_rx_prog/n_149 ;
+   wire \soc_top_u_uart_rx_prog/n_150 ;
+   wire \soc_top_u_uart_rx_prog/n_151 ;
+   wire \soc_top_u_uart_rx_prog/n_153 ;
+   wire \soc_top_u_uart_rx_prog/n_154 ;
+   wire \soc_top_u_uart_rx_prog/n_155 ;
+   wire \soc_top_u_uart_rx_prog/n_156 ;
+   wire \soc_top_u_uart_rx_prog/n_157 ;
+   wire \soc_top_u_uart_rx_prog/n_158 ;
+   wire \soc_top_u_uart_rx_prog/n_159 ;
+   wire \soc_top_u_uart_rx_prog/n_160 ;
+   wire \soc_top_u_uart_rx_prog/n_161 ;
+   wire \soc_top_u_uart_rx_prog/n_162 ;
+   wire \soc_top_u_uart_rx_prog/n_163 ;
+   wire \soc_top_u_uart_rx_prog/n_164 ;
+   wire \soc_top_u_uart_rx_prog/n_165 ;
+   wire \soc_top_u_uart_rx_prog/n_166 ;
+   wire \soc_top_u_uart_rx_prog/n_167 ;
+   wire \soc_top_u_uart_rx_prog/n_168 ;
+   wire \soc_top_u_uart_rx_prog/n_169 ;
+   wire \soc_top_u_uart_rx_prog/n_170 ;
+   wire \soc_top_u_uart_rx_prog/n_171 ;
+   wire \soc_top_u_uart_rx_prog/n_172 ;
+   wire \soc_top_u_uart_rx_prog/n_173 ;
+   wire \soc_top_u_uart_rx_prog/n_174 ;
+   wire \soc_top_u_uart_rx_prog/n_175 ;
+   wire \soc_top_u_uart_rx_prog/n_176 ;
+   wire \soc_top_u_uart_rx_prog/n_177 ;
+   wire \soc_top_u_uart_rx_prog/n_178 ;
+   wire \soc_top_u_uart_rx_prog/n_179 ;
+   wire \soc_top_u_uart_rx_prog/n_180 ;
+   wire \soc_top_u_uart_rx_prog/n_181 ;
+   wire \soc_top_u_uart_rx_prog/n_182 ;
+   wire \soc_top_u_uart_rx_prog/n_183 ;
+   wire \soc_top_u_uart_rx_prog/n_184 ;
+   wire \soc_top_u_uart_rx_prog/n_185 ;
+   wire \soc_top_u_uart_rx_prog/n_186 ;
+   wire \soc_top_u_uart_rx_prog/n_187 ;
+   wire \soc_top_u_uart_rx_prog/n_188 ;
+   wire \soc_top_u_uart_rx_prog/n_189 ;
+   wire \soc_top_u_uart_rx_prog/n_190 ;
+   wire \soc_top_u_uart_rx_prog/n_191 ;
+   wire \soc_top_u_uart_rx_prog/n_211 ;
+   wire \soc_top_u_uart_rx_prog/n_212 ;
+   wire \soc_top_u_uart_rx_prog/n_213 ;
+   wire \soc_top_u_uart_rx_prog/r_Rx_Data ;
+   wire \soc_top_u_uart_rx_prog/r_Rx_Data_R ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_0 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_1 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_2 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_3 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_4 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_5 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_6 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_7 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_8 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_9 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_10 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_11 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_12 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_13 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_14 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_15 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_16 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_17 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_18 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_20 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_21 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_22 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_23 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_24 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_25 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_27 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_28 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_29 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_30 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_31 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_32 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_33 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_34 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_35 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_36 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_37 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_38 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_39 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_40 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_41 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_42 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_43 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_44 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_45 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_46 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_47 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_48 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_49 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_50 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_51 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_52 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_53 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_54 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_55 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_56 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_57 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_58 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_59 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_60 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_61 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_62 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_63 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_64 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_65 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_66 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_67 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_68 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_69 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_70 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_71 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_72 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_73 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_74 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_75 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_76 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_77 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_78 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_79 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_80 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_81 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_82 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_83 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_84 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_85 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_86 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_87 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_88 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_89 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_90 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_91 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_92 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_93 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_94 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_95 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_96 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_97 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_98 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_99 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_100 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_101 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_102 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_103 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_104 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_105 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_106 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_107 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_108 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_109 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_110 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_111 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_112 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_113 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_114 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_115 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_116 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_117 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_118 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_119 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_120 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_121 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_122 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_123 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_124 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_125 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_126 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_127 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_128 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_129 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_130 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_131 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_132 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_133 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_134 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_135 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_136 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_137 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_138 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_139 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_140 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_141 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_142 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_143 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_144 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_145 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_146 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_147 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_149 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_150 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_151 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_152 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_153 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_154 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_155 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_156 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_157 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_158 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_159 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_160 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_161 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_162 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_163 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_164 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_165 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_166 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_167 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_168 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_169 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_170 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_171 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_172 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_173 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_174 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_175 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_176 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_177 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_178 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_179 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_180 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_181 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_182 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_183 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_184 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_185 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_186 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_187 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_188 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_189 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_190 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_191 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_193 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_194 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_195 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_196 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_197 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_198 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_218 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/n_219 ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ;
+   wire \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_0 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_1 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_2 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_3 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_4 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_5 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_6 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_7 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_8 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_9 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_10 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_11 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_12 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_13 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_14 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_15 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_16 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_17 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_18 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_19 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_20 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_21 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_22 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_23 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_24 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_25 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_26 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_27 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_28 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_29 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_30 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_31 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_32 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_33 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_34 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_35 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_36 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_37 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_38 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_39 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_40 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_41 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_42 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_43 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_44 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_45 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_46 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_47 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_48 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_49 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_50 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_51 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_52 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_53 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_54 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_55 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_56 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_57 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_58 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_59 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_60 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_61 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_62 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_63 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_64 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_65 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_66 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_67 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_68 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_69 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_70 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_71 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_72 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_74 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_75 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_76 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_77 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_78 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_79 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_80 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_82 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_83 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_84 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_85 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_86 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_87 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_88 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_89 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_90 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_91 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_92 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_94 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_95 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_97 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_98 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_99 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_100 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_101 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_102 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_103 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_104 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_105 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_106 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_107 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_108 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_109 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_110 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_111 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_112 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_113 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_114 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_115 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_116 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_117 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_118 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_119 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_120 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_121 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_122 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_123 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_124 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_125 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_126 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_127 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_128 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_130 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_131 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_132 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_133 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_134 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_135 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_136 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_137 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_138 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_139 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_141 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_142 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_143 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_144 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_145 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_146 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_147 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_148 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_149 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_150 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_151 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_152 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_153 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_154 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_155 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_156 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_157 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_158 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_159 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_160 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_161 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_162 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_163 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_164 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_165 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_166 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_167 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_168 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_169 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_170 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_171 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_172 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_200 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_201 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_202 ;
+   wire \soc_top_u_uart_u_uart_core_u_tx/n_203 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[139][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[132][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[165][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[131][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[140][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[136][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[155][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[153][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[157][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[137][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[141][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[167][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[166][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[138][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[133][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[142][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[135][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[134][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[164][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[168][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[169][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[172][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[173][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[171][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[163][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[170][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[174][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[150][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[148][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[154][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[158][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[152][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[156][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[147][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[151][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[149][0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[8] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/buffer_full_217 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_75 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_76 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_77 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_78 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_79 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_80 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_81 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_108 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_113 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_123 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_135 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_152 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_157 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_168 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_185 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_194 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_195 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_196 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_197 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_198 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_199 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_200 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_206 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_239 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_266 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_273 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_284 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_285 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_287 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_288 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_289 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_290 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_291 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_302 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_305 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_306 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_307 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_308 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_309 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_310 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_311 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_312 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_313 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_314 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_315 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_317 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_318 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_319 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_320 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_321 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_322 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_323 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_324 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_325 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_326 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_327 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_328 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_329 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_331 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_332 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_333 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_334 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_335 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_336 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_337 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_338 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_339 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_340 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_341 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_343 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_344 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_345 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_346 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_348 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_349 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_350 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_351 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_352 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_353 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_354 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_355 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_356 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_357 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_358 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_359 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_360 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_361 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_362 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_363 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_364 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_365 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_366 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_367 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_368 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_369 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_370 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_371 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_372 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_373 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_374 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_375 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_376 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_377 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_378 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_379 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_380 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_381 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_382 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_384 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_385 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_386 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_387 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_388 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_389 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_390 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_391 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_392 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_393 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_394 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_395 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_397 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_398 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_399 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_400 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_401 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_402 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_403 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_404 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_440 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_441 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_508 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_509 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_510 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_511 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_512 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_513 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_514 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_515 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_516 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_517 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_518 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_519 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_520 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_521 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_522 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_523 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_524 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_525 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_526 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_527 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_528 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_529 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_530 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_531 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_532 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_533 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_534 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_535 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_536 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_537 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_538 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_539 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_540 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_541 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_542 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_543 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_544 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_545 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_546 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_547 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_548 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_549 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_550 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_551 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_552 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_553 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_554 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_555 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_556 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_557 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_558 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_559 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_560 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_561 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_562 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_563 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_564 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_565 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_566 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_567 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_568 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_569 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_570 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_571 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_572 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_573 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_574 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_575 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_576 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_577 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_578 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_579 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_580 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_581 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_582 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_583 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_584 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_585 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_586 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_587 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_588 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_589 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_590 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_591 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_600 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_601 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_602 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_603 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_604 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_605 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_606 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_607 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_608 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_609 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_610 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_611 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_612 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_613 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_614 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_615 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_616 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_617 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_618 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_619 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_620 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_621 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_622 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_623 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_624 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_625 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_626 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_627 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_628 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_629 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_630 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_631 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_632 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_633 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_634 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_635 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_636 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_637 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_638 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_639 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_640 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_641 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_642 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_643 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_644 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_645 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_646 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_647 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_648 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_649 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_650 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_651 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_652 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_653 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_654 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_655 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_656 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_657 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_658 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_659 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_660 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_661 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_662 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_663 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_664 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_665 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_666 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_667 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_668 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_669 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_670 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_671 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_672 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_673 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_674 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_675 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_676 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_677 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_678 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_679 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_680 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_681 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_682 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_683 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_684 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_685 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_686 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_687 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_688 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_689 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_690 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_691 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_692 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_693 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_694 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_695 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_696 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_697 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_698 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_699 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_700 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_701 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_702 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_703 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_704 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_705 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_706 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_707 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_708 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_709 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_710 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_711 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_712 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_713 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_714 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_715 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_716 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_717 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_718 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_719 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_720 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_721 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_722 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_723 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_724 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_725 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_726 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_727 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_728 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_729 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_730 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_731 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_732 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_733 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_734 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_735 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_736 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_737 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_738 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_739 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_740 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_741 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_742 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_743 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_744 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_745 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_746 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_747 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_748 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_749 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_750 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_751 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_752 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_753 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_754 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_755 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_756 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_757 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_758 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_759 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_760 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_761 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_762 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_763 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_764 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_765 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_766 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_767 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_768 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_769 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_770 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_771 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_772 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_773 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_774 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_775 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_776 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_777 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_778 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_779 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_780 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_781 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_782 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_783 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_784 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_785 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_786 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_787 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_788 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_789 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_790 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_791 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_792 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_793 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_794 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_795 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_796 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_797 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_798 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_799 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_800 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_801 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_802 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_803 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_804 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_805 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_806 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_807 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_808 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_809 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_810 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_811 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_812 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_813 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_814 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_815 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_816 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_817 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_818 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_819 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_820 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_821 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_822 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_823 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_824 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_825 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_826 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_827 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_828 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_829 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_830 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_831 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_832 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_833 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_834 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_835 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_836 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_837 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_838 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_839 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_840 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_841 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_842 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_843 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_844 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_845 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_846 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_847 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_848 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_849 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_850 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_851 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_852 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_853 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_854 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_855 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_856 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_857 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_858 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_859 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_860 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_861 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_862 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_863 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_864 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_865 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_866 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_867 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_868 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_869 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_870 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_871 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_872 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_873 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_874 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_875 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_876 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_877 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_878 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_879 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_880 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_881 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_882 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_883 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_884 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_885 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_886 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_887 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_888 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_889 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_890 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_891 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_892 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_893 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_894 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_895 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_896 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_897 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_898 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_899 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_900 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_902 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_903 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_904 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_905 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_906 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_907 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_908 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_909 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_910 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_911 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_912 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_913 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_914 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_915 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_916 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_917 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_918 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_919 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_920 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_921 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_922 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_923 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_924 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_925 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_926 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_927 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_928 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_929 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_930 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_931 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_932 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_933 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_934 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_935 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_936 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_937 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_938 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_939 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_940 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_941 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_942 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_943 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_944 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_945 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_946 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_947 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_948 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_949 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_950 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_951 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_952 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_953 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_954 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_955 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_956 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_957 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_958 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_959 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_960 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_961 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_962 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_963 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_964 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_965 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_966 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_967 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_968 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_969 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_970 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_971 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_972 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_973 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_974 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_975 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_976 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_977 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_978 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_979 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_980 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_981 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_982 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_983 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_984 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_985 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_986 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_987 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_988 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_989 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_990 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_991 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_992 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_993 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_994 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_995 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_996 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_997 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_998 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_999 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1000 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1001 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1002 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1003 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1004 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1005 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1006 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1007 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1008 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1009 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1010 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1011 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1012 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1013 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1014 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1015 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1016 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1017 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1018 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1019 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1020 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1021 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1022 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1023 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1024 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1025 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1026 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1027 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1028 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1029 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1030 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1031 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1032 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1033 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1034 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1035 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1036 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1037 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1038 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1039 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1040 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1041 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1042 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1043 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1044 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1045 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1046 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1047 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1048 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1049 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1050 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1051 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1052 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1053 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1054 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1055 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1056 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1057 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1058 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1059 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1060 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1061 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1062 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1063 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1064 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1065 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1066 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1067 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1068 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1069 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1070 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1071 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1072 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1073 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1074 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1075 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1076 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1077 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1078 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1079 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1080 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1081 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1082 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1083 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1084 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1085 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1086 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1087 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1088 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1089 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1090 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1091 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1092 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1093 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1094 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1095 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1096 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1097 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1098 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1099 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1100 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1101 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1102 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1103 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1104 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1105 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1106 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1107 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1108 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1109 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1110 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1111 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1112 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1113 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1114 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1115 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1116 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1117 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1118 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1119 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1120 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1121 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1122 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1123 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1124 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1125 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1126 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1127 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1128 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1129 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1130 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1131 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1132 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1133 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1134 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1135 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1136 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1137 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1138 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1139 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1140 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1141 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1142 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1143 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1144 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1145 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1146 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1147 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1148 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1149 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1150 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1151 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1152 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1153 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1154 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1155 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1156 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1157 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1158 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1159 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1160 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1161 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1162 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1163 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1164 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1165 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1166 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1167 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1168 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1169 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1170 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1171 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1172 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1173 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1174 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1175 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1176 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1177 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1178 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1179 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1180 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1181 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1182 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1183 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1184 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1185 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1186 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1187 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1188 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1189 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1190 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1191 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1192 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1193 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1194 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1195 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1196 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1197 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1198 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1199 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1200 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1201 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1202 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1203 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1204 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1205 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1206 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1207 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1208 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1209 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1210 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1211 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1212 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1213 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1214 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1215 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1216 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1217 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1218 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1219 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1220 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1221 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1222 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1223 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1224 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1225 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1226 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1227 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1228 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1229 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1230 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1231 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1232 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1233 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1234 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1235 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1236 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1237 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1238 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1239 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1240 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1241 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1242 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1243 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1244 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1245 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1246 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1247 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1248 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1249 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1250 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1252 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1253 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1254 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1255 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1256 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1257 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1258 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1259 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1260 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1261 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1262 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1263 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1264 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1265 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1266 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1267 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1268 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1269 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1270 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1271 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1272 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1273 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1274 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1275 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1276 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1277 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1278 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1279 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1280 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1281 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1282 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1283 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1284 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1285 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1286 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1287 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1288 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1289 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1290 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1291 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1295 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1302 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1305 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1306 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1307 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1308 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1309 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1310 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1311 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1312 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1313 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1314 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1315 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1317 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1318 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1319 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1320 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1321 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1322 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1323 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1324 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1325 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1326 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1327 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1328 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1329 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1331 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1332 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1333 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1334 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1335 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1336 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1337 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1338 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1339 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1340 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1341 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1342 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1343 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1344 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1345 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1346 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1348 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1349 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1350 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1351 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1352 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1353 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1354 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1355 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1356 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1357 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1358 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1359 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1360 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1361 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1362 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1363 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1364 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1365 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1366 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1367 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1368 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1369 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1370 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1371 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1372 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1373 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1374 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1375 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1376 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1377 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1378 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1379 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1380 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1381 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1382 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1384 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1385 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1386 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1387 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1388 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1389 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1390 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1391 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1392 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1393 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1394 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1395 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1397 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1398 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1399 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1400 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1401 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1402 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1403 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1404 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1416 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1419 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1440 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1441 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1508 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1509 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1510 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1511 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1512 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1513 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1514 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1515 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1516 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1517 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1518 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1519 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1520 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1521 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1522 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1523 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1524 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1525 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1526 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1527 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1528 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1529 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1530 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1531 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1532 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1533 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1534 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1535 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1536 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1537 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1538 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1539 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1540 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1541 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1542 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1543 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1544 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1545 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1546 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1547 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1548 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1549 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1550 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1551 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1552 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1553 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1554 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1555 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1556 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1557 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1558 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1559 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1560 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1561 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1562 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1563 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1564 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1565 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1566 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1567 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1568 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1569 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1570 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1571 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1572 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1573 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1574 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1575 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1576 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1577 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1578 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1579 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1580 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1581 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1582 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1583 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1584 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1585 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1586 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1587 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1588 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1589 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1590 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1591 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1600 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1601 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1602 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1603 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1604 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1605 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1606 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1607 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1608 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1609 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1610 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1611 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1612 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1613 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1614 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1615 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1616 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1617 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1618 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1619 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1620 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1621 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1622 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1623 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1624 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1625 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1626 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1627 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1628 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1629 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1630 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1631 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1632 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1633 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1634 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1635 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1636 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1637 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1638 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1639 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1640 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1641 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1642 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1643 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1644 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1645 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1646 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1647 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1648 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1649 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1650 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1651 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1652 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1653 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1654 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1655 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1656 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1657 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1658 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1659 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1660 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1661 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1662 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1663 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1664 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1665 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1666 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1667 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1668 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1669 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1670 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1671 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1672 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1673 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1674 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1675 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1676 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1677 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1678 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1679 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1680 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1681 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1682 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1683 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1684 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1685 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1686 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1687 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1688 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1689 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1690 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1691 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1692 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1693 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1694 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1695 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1696 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1697 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1698 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1699 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1700 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1701 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1702 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1703 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1704 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1705 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1706 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1707 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1708 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1709 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1710 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1711 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1712 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1713 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1714 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1715 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1716 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1717 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1718 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1719 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1720 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1721 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1722 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1723 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1724 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1725 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1726 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1727 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1728 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1729 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1730 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1731 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1732 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1733 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1734 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1735 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1736 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1737 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1738 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1739 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1740 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1741 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1742 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1743 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1744 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1745 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1746 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1747 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1748 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1749 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1750 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1751 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1752 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1753 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1754 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1755 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1756 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1757 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1758 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1759 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1760 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1761 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1762 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1763 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1764 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1765 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1766 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1767 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1768 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1769 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1770 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1771 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1772 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1773 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1774 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1775 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1776 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1777 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1778 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1779 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1780 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1781 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1782 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1783 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1784 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1785 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1786 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1787 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1788 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1789 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1790 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1791 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1792 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1793 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1794 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1795 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1796 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1797 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1798 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1799 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1800 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1801 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1802 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1803 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1804 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1805 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1806 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1807 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1808 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1809 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1810 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1811 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1812 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1813 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1814 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1815 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1816 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1817 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1818 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1819 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1820 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1821 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1822 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1823 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1824 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1825 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1826 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1827 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1828 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1829 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1830 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1831 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1832 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1833 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1834 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1835 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1836 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1837 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1838 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1839 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1840 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1841 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1842 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1843 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1844 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1845 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1846 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1847 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1848 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1849 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1850 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1851 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1852 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1853 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1854 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1855 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1856 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1857 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1858 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1859 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1860 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1861 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1862 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1863 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1864 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1865 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1866 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1867 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1868 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1869 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1870 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1871 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1872 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1873 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1874 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1875 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1876 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1877 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1878 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1879 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1880 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1881 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1882 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1883 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1884 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1885 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1886 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1887 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1888 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1889 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1890 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1891 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1892 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1893 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1894 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1895 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1896 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1897 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1898 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1899 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1900 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1902 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1903 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1904 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1905 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1906 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1907 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1908 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1909 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1910 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1911 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1912 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1913 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1914 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1915 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1916 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1917 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1918 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1919 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1920 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1921 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1922 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1923 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1924 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1925 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1926 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1927 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1928 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1929 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1930 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1931 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1932 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1933 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1934 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1935 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1936 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1937 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1938 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1939 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1940 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1941 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1942 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1943 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1944 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1945 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1946 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1947 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1948 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1949 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1950 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1951 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1952 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1953 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1954 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1955 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1956 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1957 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1958 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1959 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1960 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1961 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1962 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1963 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1964 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1965 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1966 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1967 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1968 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1969 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1970 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1971 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1972 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1973 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1974 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1975 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1976 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1977 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1978 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1979 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1980 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1981 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1982 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1983 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1984 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1985 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1986 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1987 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1988 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1989 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1990 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1991 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1992 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1993 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1994 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1995 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1996 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1997 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1998 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_1999 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2000 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2001 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2002 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2003 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2004 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2005 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2006 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2007 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2008 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2009 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2010 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2011 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2012 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2013 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2014 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2015 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2016 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2017 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2018 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2019 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2020 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2021 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2022 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2023 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2024 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2025 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2026 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2027 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2028 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2029 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2030 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2031 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2032 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2033 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2034 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2035 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2036 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2037 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2038 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2039 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2040 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2041 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2042 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2043 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2044 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2045 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2046 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2047 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2048 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2049 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2050 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2051 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2052 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2053 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2054 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2055 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2056 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2057 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2058 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2059 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2060 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2061 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2062 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2063 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2064 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2065 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2066 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2067 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2068 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2069 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2070 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2071 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2072 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2073 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2074 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2075 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2076 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2077 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2078 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2079 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2080 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2081 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2082 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2083 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2084 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2085 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2086 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2087 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2088 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2089 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2090 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2091 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2092 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2093 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2094 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2095 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2096 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2097 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2098 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2099 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2100 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2101 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2102 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2103 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2104 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2105 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2106 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2107 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2108 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2109 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2110 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2111 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2112 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2113 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2114 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2115 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2116 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2117 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2118 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2119 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2120 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2121 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2122 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2123 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2124 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2125 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2126 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2127 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2128 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2129 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2130 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2131 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2132 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2133 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2134 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2135 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2136 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2137 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2138 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2139 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2140 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2141 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2142 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2143 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2144 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2145 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2146 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2147 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2148 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2149 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2150 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2151 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2152 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2153 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2154 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2155 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2156 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2157 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2158 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2159 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2160 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2161 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2162 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2163 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2164 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2165 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2166 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2167 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2168 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2169 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2170 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2171 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2172 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2173 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2174 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2175 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2176 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2177 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2178 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2179 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2180 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2181 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2182 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2183 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2184 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2185 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2186 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2187 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2188 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2189 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2190 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2191 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2192 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2193 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2194 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2195 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2196 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2197 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2198 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2199 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2200 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2201 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2202 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2203 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2204 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2205 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2206 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2207 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2208 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2209 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2210 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2211 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2212 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2213 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2214 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2215 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2216 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2217 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2218 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2219 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2220 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2221 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2222 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2223 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2224 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2225 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2226 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2227 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2228 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2229 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2230 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2231 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2232 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2233 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2234 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2235 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2236 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2237 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2238 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2239 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2240 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2241 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2242 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2243 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2244 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2245 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2246 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2247 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2248 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2249 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2250 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2252 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2253 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2254 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2255 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2256 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2257 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2258 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2259 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2260 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2261 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2262 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2263 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2264 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2265 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2266 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2267 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2268 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2269 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2270 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2271 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2272 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2273 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2274 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2275 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2276 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2277 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2278 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2279 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2280 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2281 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2282 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2283 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2284 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2285 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2286 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2287 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2288 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2289 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2290 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2291 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2295 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2302 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2305 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2306 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2307 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2308 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2309 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2310 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2311 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2312 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2313 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2314 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2315 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2317 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2318 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2319 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2320 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2321 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2322 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2323 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2324 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2325 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2326 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2327 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2328 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2329 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2331 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2332 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2333 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2334 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2335 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2336 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2337 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2338 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2339 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2340 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2341 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2342 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2343 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2344 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2345 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2346 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2348 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2349 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2350 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2351 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2352 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2353 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2354 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2355 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2356 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2357 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2358 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2359 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2360 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2361 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2362 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2363 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2364 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2365 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2366 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2367 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2368 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2369 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2370 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2371 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2372 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2373 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2374 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2375 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2376 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2377 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2378 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2379 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2380 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2381 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2382 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2384 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2385 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2386 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2387 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2388 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2389 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2390 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2391 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2392 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2393 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2394 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2395 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2397 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2398 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2399 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2400 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2401 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2402 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2403 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2404 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2416 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2419 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2440 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2441 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2508 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2509 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2510 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2511 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2512 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2513 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2514 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2515 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2516 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2517 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2518 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2519 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2520 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2521 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2522 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2523 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2524 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2525 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2526 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2527 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2528 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2529 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2530 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2531 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2532 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2533 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2534 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2535 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2536 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2537 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2538 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2539 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2540 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2541 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2542 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2543 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2544 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2545 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2546 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2547 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2548 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2549 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2550 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2551 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2552 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2553 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2554 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2555 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2556 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2557 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2558 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2559 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2560 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2561 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2562 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2563 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2564 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2565 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2566 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2567 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2568 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2569 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2570 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2571 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2572 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2573 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2574 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2575 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2576 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2577 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2578 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2579 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2580 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2581 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2582 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2583 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2584 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2585 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2586 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2587 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2588 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2589 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2590 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2591 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2600 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2601 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2602 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2603 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2604 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2605 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2606 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2607 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2608 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2609 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2610 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2611 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2612 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2613 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2614 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2615 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2616 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2617 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2618 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2619 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2620 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2621 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2622 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2623 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2624 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2625 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2626 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2627 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2628 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2629 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2630 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2631 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2632 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2633 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2634 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2635 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2636 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2637 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2638 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2639 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2640 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2641 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2642 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2643 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2644 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2645 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2646 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2647 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2648 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2649 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2650 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2651 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2652 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2653 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2654 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2655 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2656 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2657 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2658 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2659 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2660 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2661 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2662 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2663 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2664 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2665 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2666 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2667 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2668 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2669 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2670 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2671 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2672 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2673 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2674 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2675 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2676 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2677 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2678 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2679 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2680 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2681 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2682 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2683 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2684 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2685 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2686 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2687 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2688 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2689 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2690 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2691 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2692 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2693 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2694 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2695 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2696 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2697 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2698 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2699 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2700 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2701 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2702 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2703 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2704 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2705 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2706 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2707 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2708 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2709 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2710 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2711 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2712 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2713 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2714 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2715 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2716 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2717 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2718 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2719 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2720 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2721 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2722 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2723 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2724 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2725 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2726 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2727 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2728 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2729 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2730 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2731 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2732 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2733 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2734 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2735 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2736 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2737 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2738 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2739 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2740 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2741 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2742 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2743 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2744 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2745 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2746 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2747 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2748 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2749 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2750 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2751 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2752 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2753 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2754 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2755 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2756 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2757 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2758 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2759 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2760 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2761 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2762 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2763 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2764 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2765 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2766 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2767 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2768 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2769 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2770 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2771 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2772 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2773 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2774 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2775 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2776 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2777 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2778 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2779 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2780 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2781 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2782 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2783 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2784 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2785 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2786 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2787 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2788 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2789 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2790 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2791 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2792 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2793 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2794 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2795 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2796 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2797 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2798 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2799 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2800 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2801 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2802 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2803 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2804 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2805 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2806 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2807 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2808 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2809 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2810 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2811 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2812 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2813 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2814 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2815 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2816 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2817 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2818 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2819 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2820 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2821 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2822 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2823 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2824 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2825 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2826 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2827 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2828 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2829 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2830 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2831 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2832 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2833 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2834 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2835 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2836 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2837 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2838 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2839 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2840 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2841 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2842 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2843 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2844 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2845 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2846 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2847 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2848 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2849 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2850 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2851 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2852 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2853 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2854 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2855 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2856 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2857 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2858 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2859 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2860 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2861 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2862 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2863 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2864 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2865 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2866 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2867 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2868 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2869 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2870 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2871 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2872 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2873 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2874 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2875 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2876 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2877 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2878 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2879 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2880 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2881 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2882 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2883 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2884 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2885 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2886 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2887 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2888 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2889 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2890 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2891 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2892 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2893 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2894 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2895 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2896 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2897 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2898 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2899 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2900 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2902 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2903 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2904 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2905 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2906 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2907 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2908 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2909 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2910 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2911 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2912 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2913 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2914 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2915 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2916 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2917 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2918 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2919 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2920 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2921 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2922 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2923 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2924 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2925 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2926 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2927 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2928 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2929 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2930 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2931 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2932 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2933 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2934 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2935 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2936 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2937 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2938 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2939 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2940 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2941 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2942 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2943 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2944 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2945 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2946 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2947 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2948 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2949 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2950 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2951 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2952 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2953 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2954 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2955 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2956 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2957 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2958 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2959 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2960 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2961 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2962 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2963 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2964 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2965 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2966 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2967 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2968 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2969 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2970 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2971 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2972 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2973 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2974 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2975 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2976 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2977 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2978 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2979 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2980 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2981 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2982 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2983 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2984 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2985 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2986 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2987 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2988 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2989 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2990 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2991 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2992 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2993 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2994 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2995 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2996 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2997 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2998 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_2999 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3000 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3001 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3002 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3003 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3004 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3005 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3006 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3007 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3008 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3009 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3010 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3011 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3012 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3013 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3014 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3015 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3016 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3017 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3018 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3019 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3020 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3021 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3022 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3023 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3024 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3025 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3026 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3027 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3028 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3029 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3030 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3031 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3032 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3033 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3034 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3035 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3036 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3037 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3038 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3039 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3040 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3041 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3042 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3043 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3044 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3045 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3046 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3047 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3048 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3049 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3050 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3051 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3052 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3053 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3054 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3055 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3056 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3057 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3058 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3059 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3060 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3061 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3062 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3063 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3064 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3065 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3066 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3067 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3068 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3069 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3070 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3071 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3072 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3073 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3074 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3075 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3076 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3077 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3078 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3079 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3080 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3081 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3082 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3083 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3084 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3085 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3086 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3087 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3088 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3089 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3090 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3091 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3092 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3093 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3094 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3095 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3096 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3097 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3098 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3099 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3100 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3101 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3104 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3141 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3142 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3143 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3144 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3145 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3146 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3147 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3148 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3149 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3150 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3151 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3152 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3153 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3154 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3155 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3156 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3157 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3158 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3159 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3160 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3203 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3204 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3253 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3295 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3416 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3419 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3508 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3509 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3510 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3511 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3512 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3513 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3514 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3515 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3516 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3517 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3518 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3519 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3520 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3521 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3522 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3523 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3524 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3525 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3526 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3527 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3528 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3529 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3530 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3531 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3532 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3533 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3534 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3535 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3536 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3537 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3538 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3539 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3540 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3541 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3542 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3543 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3544 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3545 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3546 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3547 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3548 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3549 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3550 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3551 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3552 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3553 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3554 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3555 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3556 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3557 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3558 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3559 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3560 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3561 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3562 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3563 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3564 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3565 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3566 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3567 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3568 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3569 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3570 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3571 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3572 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3573 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3574 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3575 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3576 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3577 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3578 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3579 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3580 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3581 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3582 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3583 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3584 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3585 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3586 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3587 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3588 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3589 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3590 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3591 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3600 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3601 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3602 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3603 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3604 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3605 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3606 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3607 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3608 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3609 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3610 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3611 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3612 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3613 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3614 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3615 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3616 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3617 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3618 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3619 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3620 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3621 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3622 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3623 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3624 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3625 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3626 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3627 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3628 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3629 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3630 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3631 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3632 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3633 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3634 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3635 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3636 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3637 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3638 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3639 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3640 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3641 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3642 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3643 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3644 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3645 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3646 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3647 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3648 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3649 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3650 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3651 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3652 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3653 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3654 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3655 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3656 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3657 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3658 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3659 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3660 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3661 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3662 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3663 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3664 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3665 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3666 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3667 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3668 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3669 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3670 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3671 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3672 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3673 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3674 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3675 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3676 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3677 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3678 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3679 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3680 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3681 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3682 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3683 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3684 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3685 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3686 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3687 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3688 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3689 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3690 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3691 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3692 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3693 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3694 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3696 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3698 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3699 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3700 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3701 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3702 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3703 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3704 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3705 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3706 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3707 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3708 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3709 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3710 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3711 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3712 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3713 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3714 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3715 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3716 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3717 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3718 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3719 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3720 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3721 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3722 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3723 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3724 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3725 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3726 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3727 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3728 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3729 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3730 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3731 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3732 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3733 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3734 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3736 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3737 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3738 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3739 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3740 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3741 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3742 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3743 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3744 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3745 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3746 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3747 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3748 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3749 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3750 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3751 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3752 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3753 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3754 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3755 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3756 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3757 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3758 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3759 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3760 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3761 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3762 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3763 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3764 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3765 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3766 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3767 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3768 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3769 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3770 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3771 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3772 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3773 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3774 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3775 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3776 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3777 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3778 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3779 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3780 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3781 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3782 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3783 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3784 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3785 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3786 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3787 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3788 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3789 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3790 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3791 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3792 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3793 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3794 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3795 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3796 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3797 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3798 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3799 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3800 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3801 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3802 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3803 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3804 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3805 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3806 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3807 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3808 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3809 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3810 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3811 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3812 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3813 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3814 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3815 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3816 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3817 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3818 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3819 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3820 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3821 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3822 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3823 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3824 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3825 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3826 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3827 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3828 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3829 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3830 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3831 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3832 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3833 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3834 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3835 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3836 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3837 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3838 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3839 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3840 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3841 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3842 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3843 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3844 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3845 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3846 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3847 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3848 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3849 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3850 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3851 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3852 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3853 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3854 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3855 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3856 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3857 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3858 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3859 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3860 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3861 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3862 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3863 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3864 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3865 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3866 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3867 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3868 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3869 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3870 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3871 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3872 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3873 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3874 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3875 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3876 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3877 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3878 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3879 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3880 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3881 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3882 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3883 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3884 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3885 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3886 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3887 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3888 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3889 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3890 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3891 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3892 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3893 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3894 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3895 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3896 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3897 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3898 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3899 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3900 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3902 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3903 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3904 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3905 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3906 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3907 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3908 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3909 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3910 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3911 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3912 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3913 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3914 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3915 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3916 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3917 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3918 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3919 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3920 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3921 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3922 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3923 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3924 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3925 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3926 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3927 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3928 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3929 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3930 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3931 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3932 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3933 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3934 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3935 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3936 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3937 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3938 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3939 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3940 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3941 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3942 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3943 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3944 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3945 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3946 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3947 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3948 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3949 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3950 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3951 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3952 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3953 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3954 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3955 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3956 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3957 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3958 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3959 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3960 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3961 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3962 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3963 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3964 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3965 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3966 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3967 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3968 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3969 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3970 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3971 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3972 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3973 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3974 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3975 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3976 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3977 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3978 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3979 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3980 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3981 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3982 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3983 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3984 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3985 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3986 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3987 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3988 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3989 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3990 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3991 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3992 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3993 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3994 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3995 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3996 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3997 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3998 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_3999 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4000 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4001 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4002 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4003 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4004 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4005 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4006 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4007 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4008 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4009 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4010 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4011 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4012 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4013 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4014 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4015 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4016 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4017 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4018 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4019 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4020 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4021 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4022 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4023 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4024 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4025 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4026 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4027 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4028 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4029 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4030 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4031 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4032 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4033 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4034 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4035 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4036 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4037 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4038 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4039 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4040 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4041 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4042 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4043 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4044 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4045 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4046 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4047 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4048 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4049 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4050 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4051 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4052 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4053 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4054 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4055 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4056 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4057 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4058 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4059 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4060 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4061 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4062 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4063 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4064 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4065 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4066 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4067 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4068 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4069 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4070 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4071 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4072 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4073 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4074 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4075 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4076 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4077 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4078 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4079 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4080 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4081 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4082 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4083 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4084 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4085 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4086 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4087 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4088 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4089 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4090 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4091 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4092 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4093 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4094 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4095 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4096 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4097 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4098 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4099 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4100 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4101 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4102 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4103 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4104 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4105 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4106 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4107 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4108 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4109 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4110 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4111 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4112 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4113 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4114 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4115 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4116 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4117 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4118 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4119 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4120 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4121 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4122 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4123 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4124 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4125 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4126 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4127 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4128 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4129 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4131 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4132 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4133 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4134 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4135 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4137 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4138 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4139 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4140 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4141 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4142 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4143 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4144 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4145 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4146 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4147 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4148 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4149 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4150 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4151 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4152 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4153 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4154 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4155 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4156 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4157 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4158 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4159 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4160 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4161 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4162 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4163 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4164 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4165 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4166 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4167 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4168 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4169 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4170 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4171 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4172 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4173 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4174 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4175 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4176 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4177 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4178 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4179 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4180 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4181 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4182 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4183 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4184 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4185 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4186 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4187 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4188 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4189 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4190 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4191 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4192 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4193 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4194 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4195 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4196 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4197 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4198 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4199 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4200 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4201 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4202 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4203 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4204 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4205 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4206 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4207 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4208 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4209 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4210 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4211 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4212 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4213 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4214 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4215 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4216 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4217 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4218 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4219 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4220 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4221 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4222 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4223 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4224 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4225 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4226 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4227 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4228 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4229 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4230 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4231 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4232 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4233 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4234 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4235 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4236 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4237 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4238 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4239 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4240 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4241 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4242 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4243 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4244 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4245 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4246 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4247 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4248 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4249 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4250 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4252 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4253 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4254 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4255 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4256 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4257 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4258 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4259 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4260 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4261 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4262 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4263 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4264 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4265 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4266 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4267 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4268 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4269 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4270 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4271 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4272 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4273 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4274 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4275 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4276 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4277 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4278 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4279 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4280 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4281 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4282 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4283 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4284 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4285 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4286 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4287 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4288 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4289 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4290 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4291 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4295 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4302 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4305 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4306 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4307 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4308 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4309 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4310 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4311 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4312 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4313 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4314 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4315 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4317 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4318 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4319 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4320 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4321 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4322 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4323 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4324 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4325 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4326 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4327 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4328 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4329 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4331 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4332 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4333 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4334 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4335 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4336 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4337 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4338 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4339 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4340 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4341 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4342 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4343 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4344 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4345 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4346 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4348 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4349 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4350 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4351 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4352 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4353 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4354 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4355 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4356 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4357 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4358 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4359 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4360 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4361 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4362 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4363 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4364 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4365 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4366 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4367 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4368 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4369 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4370 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4371 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4372 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4373 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4374 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4375 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4376 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4377 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4378 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4379 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4380 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4381 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4382 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4384 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4385 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4386 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4387 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4388 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4389 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4390 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4391 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4392 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4393 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4394 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4395 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4397 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4398 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4399 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4400 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4401 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4402 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4403 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4404 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4416 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4419 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4440 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4441 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4508 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4509 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4510 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4511 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4512 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4513 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4514 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4515 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4516 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4517 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4518 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4519 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4520 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4521 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4522 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4523 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4524 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4525 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4526 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4527 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4528 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4529 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4530 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4531 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4532 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4533 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4534 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4535 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4536 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4537 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4538 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4539 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4540 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4541 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4542 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4543 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4544 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4545 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4546 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4547 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4548 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4549 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4550 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4551 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4552 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4553 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4554 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4555 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4556 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4557 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4558 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4559 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4560 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4561 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4562 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4563 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4564 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4565 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4566 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4567 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4568 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4569 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4570 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4571 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4572 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4573 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4574 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4575 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4576 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4577 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4578 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4579 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4580 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4581 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4582 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4583 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4584 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4585 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4586 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4587 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4588 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4589 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4590 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4591 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4600 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4601 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4602 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4603 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4604 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4605 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4606 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4607 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4608 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4609 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4610 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4611 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4612 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4613 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4614 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4615 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4616 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4617 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4618 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4619 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4620 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4621 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4622 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4623 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4624 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4625 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4626 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4627 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4628 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4629 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4630 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4631 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4632 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4633 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4634 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4635 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4636 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4637 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4638 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4639 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4640 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4641 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4642 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4643 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4644 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4645 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4646 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4647 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4648 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4649 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4650 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4651 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4652 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4653 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4654 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4655 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4656 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4657 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4658 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4659 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4660 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4661 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4662 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4663 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4664 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4665 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4666 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4667 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4668 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4669 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4670 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4671 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4672 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4673 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4674 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4675 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4676 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4677 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4678 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4679 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4680 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4681 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4682 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4683 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4684 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4685 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4686 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4687 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4688 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4689 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4690 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4691 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4692 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4693 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4694 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4695 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4696 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4697 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4698 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4699 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4700 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4701 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4702 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4703 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4704 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4705 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4706 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4707 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4708 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4709 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4710 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4711 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4712 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4713 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4714 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4715 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4716 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4717 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4718 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4719 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4720 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4721 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4722 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4723 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4724 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4725 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4726 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4727 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4728 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4729 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4730 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4731 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4732 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4733 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4734 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4735 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4736 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4737 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4738 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4739 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4740 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4741 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4742 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4743 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4744 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4745 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4746 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4747 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4748 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4749 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4750 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4751 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4752 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4753 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4754 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4755 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4756 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4757 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4758 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4759 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4760 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4761 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4762 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4763 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4764 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4765 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4766 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4767 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4768 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4769 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4770 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4771 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4772 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4773 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4774 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4775 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4776 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4777 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4778 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4779 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4780 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4781 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4782 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4783 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4784 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4785 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4786 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4787 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4788 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4789 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4790 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4791 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4792 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4793 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4794 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4795 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4796 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4797 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4798 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4799 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4800 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4801 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4802 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4803 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4804 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4805 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4806 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4807 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4808 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4809 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4810 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4811 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4812 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4813 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4814 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4815 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4816 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4817 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4818 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4819 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4820 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4821 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4822 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4823 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4824 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4825 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4826 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4827 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4828 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4829 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4830 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4831 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4832 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4833 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4834 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4835 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4836 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4837 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4838 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4839 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4840 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4841 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4842 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4843 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4844 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4845 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4846 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4847 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4848 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4849 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4850 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4851 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4852 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4853 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4854 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4855 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4856 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4857 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4858 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4859 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4860 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4861 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4862 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4863 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4864 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4865 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4866 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4867 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4868 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4869 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4870 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4871 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4872 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4873 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4874 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4875 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4876 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4877 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4878 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4879 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4880 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4881 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4882 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4883 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4884 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4885 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4886 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4887 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4888 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4889 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4890 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4891 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4892 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4893 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4894 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4895 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4896 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4897 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4898 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4899 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4900 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4901 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4902 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4903 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4904 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4905 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4906 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4907 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4908 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4909 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4910 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4911 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4912 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4913 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4914 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4915 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4916 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4917 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4918 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4919 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4920 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4921 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4922 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4923 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4924 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4925 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4926 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4927 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4928 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4929 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4930 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4931 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4932 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4933 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4934 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4935 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4936 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4937 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4938 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4939 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4940 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4941 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4942 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4943 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4944 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4945 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4946 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4947 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4948 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4949 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4950 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4951 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4952 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4953 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4954 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4955 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4956 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4957 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4958 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4959 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4960 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4961 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4962 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4963 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4964 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4965 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4966 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4967 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4968 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4969 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4970 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4974 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_4995 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5220 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5221 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5222 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5223 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5224 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5225 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5226 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5227 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5228 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5229 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5230 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5231 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5232 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5233 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5234 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5235 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5236 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5237 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5238 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5239 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5240 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5241 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5242 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5243 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5244 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5245 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5246 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5247 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5248 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5249 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5250 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5251 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5252 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5253 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5254 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5255 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5256 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5257 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5258 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5259 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5260 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5261 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5262 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5263 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5264 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5265 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5266 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5267 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5268 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5269 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5270 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5271 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5272 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5273 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5274 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5275 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5276 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5277 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5278 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5279 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5280 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5281 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5282 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5283 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5284 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5285 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5286 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5287 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5288 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5289 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5290 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5291 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5292 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5293 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5294 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5295 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5296 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5297 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5298 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5299 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5300 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5301 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5302 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5303 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5304 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5305 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5306 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5307 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5308 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5309 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5310 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5311 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5312 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5313 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5314 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5315 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5316 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5317 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5318 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5319 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5320 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5321 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5322 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5323 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5324 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5325 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5326 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5327 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5328 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5329 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5330 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5331 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5332 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5333 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5334 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5335 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5336 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5337 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5338 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5339 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5340 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5341 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5342 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5343 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5344 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5345 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5346 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5347 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5348 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5349 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5350 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5351 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5352 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5353 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5354 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5355 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5356 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5357 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5358 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5359 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5360 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5361 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5362 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5363 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5364 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5365 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5366 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5367 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5368 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5369 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5370 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5371 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5372 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5373 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5374 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5375 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5376 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5377 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5378 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5379 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5380 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5381 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5382 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5383 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5384 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5385 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5386 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5387 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5388 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5389 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5390 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5391 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5392 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5393 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5394 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5395 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5396 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5397 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5398 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5399 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5400 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5401 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5402 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5403 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5404 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5405 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5406 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5407 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5408 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5409 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5410 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5411 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5412 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5413 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5414 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5415 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5416 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5417 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5418 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5419 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5420 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5421 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5422 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5423 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5424 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5425 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5426 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5427 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5428 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5429 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5430 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5431 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5432 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5433 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5434 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5435 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5436 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5437 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5438 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5439 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5440 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5441 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5442 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5443 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5444 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5445 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5446 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5447 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5448 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5449 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5450 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5451 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5452 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5453 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5454 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5455 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5456 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5457 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5458 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5459 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5460 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5461 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5462 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5463 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5464 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5465 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5466 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5467 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5468 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5469 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5470 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5471 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5472 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5473 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5474 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5475 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5476 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5477 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5478 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5479 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5480 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5481 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5482 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5483 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5484 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5485 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5486 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5487 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5488 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5489 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5490 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5491 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5492 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5493 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5494 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5495 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5496 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5497 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5498 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5499 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5500 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5501 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5502 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5503 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5504 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5505 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5506 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5507 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5592 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5593 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5594 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5595 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5596 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5597 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5598 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5599 ;
+   wire \soc_top_u_uart_u_uart_core_write_fifo/n_5600 ;
+
+   assign wbs_ack_o = io_oeb[26];
+   assign wbs_dat_o[31] = io_oeb[26];
+   assign wbs_dat_o[30] = io_oeb[26];
+   assign wbs_dat_o[29] = io_oeb[26];
+   assign wbs_dat_o[28] = io_oeb[26];
+   assign wbs_dat_o[27] = io_oeb[26];
+   assign wbs_dat_o[26] = io_oeb[26];
+   assign wbs_dat_o[25] = io_oeb[26];
+   assign wbs_dat_o[24] = io_oeb[26];
+   assign wbs_dat_o[23] = io_oeb[26];
+   assign wbs_dat_o[22] = io_oeb[26];
+   assign wbs_dat_o[21] = io_oeb[26];
+   assign wbs_dat_o[20] = io_oeb[26];
+   assign wbs_dat_o[19] = io_oeb[26];
+   assign wbs_dat_o[18] = io_oeb[26];
+   assign wbs_dat_o[17] = io_oeb[26];
+   assign wbs_dat_o[16] = io_oeb[26];
+   assign wbs_dat_o[15] = io_oeb[26];
+   assign wbs_dat_o[14] = io_oeb[26];
+   assign wbs_dat_o[13] = io_oeb[26];
+   assign wbs_dat_o[12] = io_oeb[26];
+   assign wbs_dat_o[11] = io_oeb[26];
+   assign wbs_dat_o[10] = io_oeb[26];
+   assign wbs_dat_o[9] = io_oeb[26];
+   assign wbs_dat_o[8] = io_oeb[26];
+   assign wbs_dat_o[7] = io_oeb[26];
+   assign wbs_dat_o[6] = io_oeb[26];
+   assign wbs_dat_o[5] = io_oeb[26];
+   assign wbs_dat_o[4] = io_oeb[26];
+   assign wbs_dat_o[3] = io_oeb[26];
+   assign wbs_dat_o[2] = io_oeb[26];
+   assign wbs_dat_o[1] = io_oeb[26];
+   assign wbs_dat_o[0] = io_oeb[26];
+   assign la_data_out[127] = io_oeb[26];
+   assign la_data_out[126] = io_oeb[26];
+   assign la_data_out[125] = io_oeb[26];
+   assign la_data_out[124] = io_oeb[26];
+   assign la_data_out[123] = io_oeb[26];
+   assign la_data_out[122] = io_oeb[26];
+   assign la_data_out[121] = io_oeb[26];
+   assign la_data_out[120] = io_oeb[26];
+   assign la_data_out[119] = io_oeb[26];
+   assign la_data_out[118] = io_oeb[26];
+   assign la_data_out[117] = io_oeb[26];
+   assign la_data_out[116] = io_oeb[26];
+   assign la_data_out[115] = io_oeb[26];
+   assign la_data_out[114] = io_oeb[26];
+   assign la_data_out[113] = io_oeb[26];
+   assign la_data_out[112] = io_oeb[26];
+   assign la_data_out[111] = io_oeb[26];
+   assign la_data_out[110] = io_oeb[26];
+   assign la_data_out[109] = io_oeb[26];
+   assign la_data_out[108] = io_oeb[26];
+   assign la_data_out[107] = io_oeb[26];
+   assign la_data_out[106] = io_oeb[26];
+   assign la_data_out[105] = io_oeb[26];
+   assign la_data_out[104] = io_oeb[26];
+   assign la_data_out[103] = io_oeb[26];
+   assign la_data_out[102] = io_oeb[26];
+   assign la_data_out[101] = io_oeb[26];
+   assign la_data_out[100] = io_oeb[26];
+   assign la_data_out[99] = io_oeb[26];
+   assign la_data_out[98] = io_oeb[26];
+   assign la_data_out[97] = io_oeb[26];
+   assign la_data_out[96] = io_oeb[26];
+   assign la_data_out[95] = io_oeb[26];
+   assign la_data_out[94] = io_oeb[26];
+   assign la_data_out[93] = io_oeb[26];
+   assign la_data_out[92] = io_oeb[26];
+   assign la_data_out[91] = io_oeb[26];
+   assign la_data_out[90] = io_oeb[26];
+   assign la_data_out[89] = io_oeb[26];
+   assign la_data_out[88] = io_oeb[26];
+   assign la_data_out[87] = io_oeb[26];
+   assign la_data_out[86] = io_oeb[26];
+   assign la_data_out[85] = io_oeb[26];
+   assign la_data_out[84] = io_oeb[26];
+   assign la_data_out[83] = io_oeb[26];
+   assign la_data_out[82] = io_oeb[26];
+   assign la_data_out[81] = io_oeb[26];
+   assign la_data_out[80] = io_oeb[26];
+   assign la_data_out[79] = io_oeb[26];
+   assign la_data_out[78] = io_oeb[26];
+   assign la_data_out[77] = io_oeb[26];
+   assign la_data_out[76] = io_oeb[26];
+   assign la_data_out[75] = io_oeb[26];
+   assign la_data_out[74] = io_oeb[26];
+   assign la_data_out[73] = io_oeb[26];
+   assign la_data_out[72] = io_oeb[26];
+   assign la_data_out[71] = io_oeb[26];
+   assign la_data_out[70] = io_oeb[26];
+   assign la_data_out[69] = io_oeb[26];
+   assign la_data_out[68] = io_oeb[26];
+   assign la_data_out[67] = io_oeb[26];
+   assign la_data_out[66] = io_oeb[26];
+   assign la_data_out[65] = io_oeb[26];
+   assign la_data_out[64] = io_oeb[26];
+   assign la_data_out[63] = io_oeb[26];
+   assign la_data_out[62] = io_oeb[26];
+   assign la_data_out[61] = io_oeb[26];
+   assign la_data_out[60] = io_oeb[26];
+   assign la_data_out[59] = io_oeb[26];
+   assign la_data_out[58] = io_oeb[26];
+   assign la_data_out[57] = io_oeb[26];
+   assign la_data_out[56] = io_oeb[26];
+   assign la_data_out[55] = io_oeb[26];
+   assign la_data_out[54] = io_oeb[26];
+   assign la_data_out[53] = io_oeb[26];
+   assign la_data_out[52] = io_oeb[26];
+   assign la_data_out[51] = io_oeb[26];
+   assign la_data_out[50] = io_oeb[26];
+   assign la_data_out[49] = io_oeb[26];
+   assign la_data_out[48] = io_oeb[26];
+   assign la_data_out[47] = io_oeb[26];
+   assign la_data_out[46] = io_oeb[26];
+   assign la_data_out[45] = io_oeb[26];
+   assign la_data_out[44] = io_oeb[26];
+   assign la_data_out[43] = io_oeb[26];
+   assign la_data_out[42] = io_oeb[26];
+   assign la_data_out[41] = io_oeb[26];
+   assign la_data_out[40] = io_oeb[26];
+   assign la_data_out[39] = io_oeb[26];
+   assign la_data_out[38] = io_oeb[26];
+   assign la_data_out[37] = io_oeb[26];
+   assign la_data_out[36] = io_oeb[26];
+   assign la_data_out[35] = io_oeb[26];
+   assign la_data_out[34] = io_oeb[26];
+   assign la_data_out[33] = io_oeb[26];
+   assign la_data_out[32] = io_oeb[26];
+   assign la_data_out[31] = io_oeb[26];
+   assign la_data_out[30] = io_oeb[26];
+   assign la_data_out[29] = io_oeb[26];
+   assign la_data_out[28] = io_oeb[26];
+   assign la_data_out[27] = io_oeb[26];
+   assign la_data_out[26] = io_oeb[26];
+   assign la_data_out[25] = io_oeb[26];
+   assign la_data_out[24] = io_oeb[26];
+   assign la_data_out[23] = io_oeb[26];
+   assign la_data_out[22] = io_oeb[26];
+   assign la_data_out[21] = io_oeb[26];
+   assign la_data_out[20] = io_oeb[26];
+   assign la_data_out[19] = io_oeb[26];
+   assign la_data_out[18] = io_oeb[26];
+   assign la_data_out[17] = io_oeb[26];
+   assign la_data_out[16] = io_oeb[26];
+   assign la_data_out[15] = io_oeb[26];
+   assign la_data_out[14] = io_oeb[26];
+   assign la_data_out[13] = io_oeb[26];
+   assign la_data_out[12] = io_oeb[26];
+   assign la_data_out[11] = io_oeb[26];
+   assign la_data_out[10] = io_oeb[26];
+   assign la_data_out[9] = io_oeb[26];
+   assign la_data_out[8] = io_oeb[26];
+   assign la_data_out[7] = io_oeb[26];
+   assign la_data_out[6] = io_oeb[26];
+   assign la_data_out[5] = io_oeb[26];
+   assign la_data_out[4] = io_oeb[26];
+   assign la_data_out[3] = io_oeb[26];
+   assign la_data_out[2] = io_oeb[26];
+   assign la_data_out[1] = io_oeb[26];
+   assign la_data_out[0] = io_oeb[26];
+   assign io_out[26] = io_oeb[26];
+   assign io_out[7] = io_oeb[26];
+   assign io_out[5] = io_oeb[26];
+   assign io_out[2] = io_oeb[26];
+   assign io_out[0] = io_oeb[26];
+   assign io_oeb[7] = io_oeb[0];
+   assign io_oeb[6] = io_oeb[26];
+   assign io_oeb[5] = io_oeb[0];
+   assign io_oeb[4] = io_oeb[26];
+   assign io_oeb[2] = io_oeb[0];
+   assign user_irq[2] = io_oeb[26];
+   assign user_irq[1] = io_oeb[26];
+   assign user_irq[0] = io_oeb[26];
+
+   // Module instantiations
+   sky130_fd_sc_hd__clkbuf_8 CTS_cpc_drv_buf_00499 (
+	.A(CTS_80),
+	.X(CTS_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00156 (
+	.A(CTS_1),
+	.X(CTS_140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00154 (
+	.A(CTS_1),
+	.X(CTS_139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00152 (
+	.A(CTS_1),
+	.X(CTS_138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00150 (
+	.A(CTS_1),
+	.X(CTS_137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00148 (
+	.A(CTS_1),
+	.X(CTS_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00146 (
+	.A(CTS_1),
+	.X(CTS_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00144 (
+	.A(CTS_1),
+	.X(CTS_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00142 (
+	.A(CTS_1),
+	.X(CTS_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00140 (
+	.A(CTS_1),
+	.X(CTS_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00138 (
+	.A(CTS_1),
+	.X(CTS_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00136 (
+	.A(CTS_1),
+	.X(CTS_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00032 (
+	.A(CTS_7),
+	.X(CTS_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00030 (
+	.A(CTS_7),
+	.X(CTS_142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00028 (
+	.A(CTS_7),
+	.X(CTS_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00088 (
+	.A(CTS_8),
+	.X(CTS_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00086 (
+	.A(CTS_8),
+	.X(CTS_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00084 (
+	.A(CTS_8),
+	.X(CTS_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00082 (
+	.A(CTS_8),
+	.X(CTS_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00080 (
+	.A(CTS_8),
+	.X(CTS_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00078 (
+	.A(CTS_8),
+	.X(CTS_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00076 (
+	.A(CTS_8),
+	.X(CTS_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00074 (
+	.A(CTS_8),
+	.X(CTS_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00072 (
+	.A(CTS_8),
+	.X(CTS_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00070 (
+	.A(CTS_8),
+	.X(CTS_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00068 (
+	.A(CTS_8),
+	.X(CTS_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00066 (
+	.A(CTS_8),
+	.X(CTS_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00110 (
+	.A(CTS_9),
+	.X(CTS_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00103 (
+	.A(CTS_94),
+	.X(CTS_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00101 (
+	.A(CTS_94),
+	.X(CTS_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00099 (
+	.A(CTS_94),
+	.X(CTS_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00108 (
+	.A(CTS_9),
+	.X(CTS_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00183 (
+	.A(CTS_12),
+	.X(CTS_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00181 (
+	.A(CTS_12),
+	.X(CTS_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00179 (
+	.A(CTS_12),
+	.X(CTS_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00177 (
+	.A(CTS_12),
+	.X(CTS_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00175 (
+	.A(CTS_12),
+	.X(CTS_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00173 (
+	.A(CTS_12),
+	.X(CTS_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00171 (
+	.A(CTS_12),
+	.X(CTS_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00186 (
+	.A(CTS_13),
+	.X(CTS_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00201 (
+	.A(CTS_14),
+	.X(CTS_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00199 (
+	.A(CTS_14),
+	.X(CTS_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00197 (
+	.A(CTS_14),
+	.X(CTS_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00195 (
+	.A(CTS_14),
+	.X(CTS_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_ccl_a_buf_00223 (
+	.A(CTS_15),
+	.X(CTS_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00221 (
+	.A(CTS_15),
+	.X(CTS_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00219 (
+	.A(CTS_15),
+	.X(CTS_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00217 (
+	.A(CTS_15),
+	.X(CTS_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00337 (
+	.A(CTS_17),
+	.X(CTS_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00335 (
+	.A(CTS_17),
+	.X(CTS_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00370 (
+	.A(CTS_18),
+	.X(CTS_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00368 (
+	.A(CTS_18),
+	.X(CTS_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00366 (
+	.A(CTS_18),
+	.X(CTS_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00364 (
+	.A(CTS_18),
+	.X(CTS_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00362 (
+	.A(CTS_18),
+	.X(CTS_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00360 (
+	.A(CTS_18),
+	.X(CTS_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00358 (
+	.A(CTS_18),
+	.X(CTS_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00393 (
+	.A(CTS_19),
+	.X(CTS_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00391 (
+	.A(CTS_19),
+	.X(CTS_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00389 (
+	.A(CTS_19),
+	.X(CTS_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00387 (
+	.A(CTS_19),
+	.X(CTS_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00385 (
+	.A(CTS_19),
+	.X(CTS_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00383 (
+	.A(CTS_19),
+	.X(CTS_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00486 (
+	.A(CTS_44),
+	.X(CTS_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00484 (
+	.A(CTS_44),
+	.X(CTS_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00482 (
+	.A(CTS_44),
+	.X(CTS_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00480 (
+	.A(CTS_44),
+	.X(CTS_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00478 (
+	.A(CTS_44),
+	.X(CTS_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00476 (
+	.A(CTS_44),
+	.X(CTS_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00474 (
+	.A(CTS_44),
+	.X(CTS_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00472 (
+	.A(CTS_44),
+	.X(CTS_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00470 (
+	.A(CTS_44),
+	.X(CTS_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00468 (
+	.A(CTS_44),
+	.X(CTS_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00466 (
+	.A(CTS_44),
+	.X(CTS_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00464 (
+	.A(CTS_44),
+	.X(CTS_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00462 (
+	.A(CTS_44),
+	.X(CTS_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00460 (
+	.A(CTS_44),
+	.X(CTS_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00458 (
+	.A(CTS_44),
+	.X(CTS_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00456 (
+	.A(CTS_44),
+	.X(CTS_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00454 (
+	.A(CTS_44),
+	.X(CTS_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00452 (
+	.A(CTS_44),
+	.X(CTS_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00450 (
+	.A(CTS_44),
+	.X(CTS_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00448 (
+	.A(CTS_44),
+	.X(CTS_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00446 (
+	.A(CTS_44),
+	.X(CTS_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00444 (
+	.A(CTS_44),
+	.X(CTS_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00442 (
+	.A(CTS_44),
+	.X(CTS_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 CTS_ccl_a_buf_00440 (
+	.A(CTS_44),
+	.X(CTS_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_ccl_a_buf_00489 (
+	.A(CTS_21),
+	.X(CTS_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_130 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_129 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_128 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_127 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_126 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_125 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_124 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_123 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_122 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_121 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_120 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_119 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_118 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_117 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_116 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_115 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_114 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_113 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_112 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_111 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_110 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_109 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_108 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_107 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_106 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_105 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_104 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_1 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_103 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_102 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_101 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_100 (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone_99 (
+	.CLK(CTS_95),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dlclkp_4 soc_top_u_top_u_core_core_clock_gate_i_CG_clone (
+	.CLK(CTS_94),
+	.GATE(soc_top_u_top_u_core_clock_en),
+	.GCLK(CTS_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_15 (
+	.A(CTS_5),
+	.X(CTS_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_cfh_buf_00020 (
+	.A(CTS_5),
+	.X(CTS_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_11 (
+	.A(CTS_5),
+	.X(CTS_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_10 (
+	.A(CTS_5),
+	.X(CTS_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00017 (
+	.A(CTS_6),
+	.X(CTS_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_13 (
+	.A(CTS_4),
+	.X(CTS_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_12 (
+	.A(CTS_4),
+	.X(CTS_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_9 (
+	.A(CTS_4),
+	.X(CTS_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_8 (
+	.A(CTS_4),
+	.X(CTS_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00012 (
+	.A(CTS_6),
+	.X(CTS_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_7 (
+	.A(CTS_3),
+	.X(CTS_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_6 (
+	.A(CTS_3),
+	.X(CTS_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 CTS_cfh_buf_00009 (
+	.A(CTS_3),
+	.X(CTS_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_3 (
+	.A(CTS_3),
+	.X(CTS_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00007 (
+	.A(CTS_6),
+	.X(CTS_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_5 (
+	.A(CTS_2),
+	.X(CTS_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_4 (
+	.A(CTS_2),
+	.X(CTS_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_1 (
+	.A(CTS_2),
+	.X(CTS_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_4 HJ_flex_HTREE_0 (
+	.A(CTS_2),
+	.X(CTS_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00002 (
+	.A(CTS_6),
+	.X(CTS_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_16 CTS_cfh_buf_00001 (
+	.A(wb_clk_i),
+	.X(CTS_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC130_n_49807 (
+	.A(n_49807),
+	.Y(FE_DBTN130_n_49807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
+	.Y(FE_DBTN124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
+	.Y(FE_DBTN123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(FE_DBTN122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC121_soc_top_u_top_u_core_instr_rdata_id_20 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.Y(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC120_soc_top_u_top_u_core_instr_rdata_id_14 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC119_soc_top_u_top_u_core_instr_rdata_id_12 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC118_soc_top_u_top_u_core_instr_rdata_alu_id_27 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC117_soc_top_u_top_u_core_instr_rdata_alu_id_19 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.Y(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC116_soc_top_u_top_u_core_instr_rdata_alu_id_18 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC115_soc_top_u_top_u_core_instr_rdata_alu_id_16 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.Y(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC113_soc_top_u_top_u_core_multdiv_operator_ex_1 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.Y(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.Y(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC109_n_68887 (
+	.A(n_68887),
+	.Y(FE_DBTN109_n_68887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC108_n_46794 (
+	.A(n_46794),
+	.Y(FE_DBTN108_n_46794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC107_n_51159 (
+	.A(n_51159),
+	.Y(FE_DBTN107_n_51159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC106_n_53427 (
+	.A(n_53427),
+	.Y(FE_DBTN106_n_53427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC105_n_49226 (
+	.A(n_49226),
+	.Y(FE_DBTN105_n_49226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 FE_DBTC104_n_52508 (
+	.A(n_52508),
+	.Y(FE_DBTN104_n_52508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC103_n_74843 (
+	.A(n_74843),
+	.Y(FE_DBTN103_n_74843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC102_n_55797 (
+	.A(n_55797),
+	.Y(FE_DBTN102_n_55797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.Y(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC100_n_70076 (
+	.A(n_70076),
+	.Y(FE_DBTN100_n_70076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC98_n_1242 (
+	.A(n_1242),
+	.Y(FE_DBTN98_n_1242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC97_n_13603 (
+	.A(n_13603),
+	.Y(FE_DBTN97_n_13603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC96_n_57342 (
+	.A(n_57342),
+	.Y(FE_DBTN96_n_57342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC95_n_63318 (
+	.A(n_63318),
+	.Y(FE_DBTN95_n_63318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC94_n_30956 (
+	.A(n_30956),
+	.Y(FE_DBTN94_n_30956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC93_n_74852 (
+	.A(n_74852),
+	.Y(FE_DBTN93_n_74852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC92_n_49646 (
+	.A(n_49646),
+	.Y(FE_DBTN92_n_49646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC91_n_15483 (
+	.A(n_15483),
+	.Y(FE_DBTN91_n_15483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC90_n_15569 (
+	.A(n_15569),
+	.Y(FE_DBTN90_n_15569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC89_n_16220 (
+	.A(n_16220),
+	.Y(FE_DBTN89_n_16220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC88_n_16623 (
+	.A(n_16623),
+	.Y(FE_DBTN88_n_16623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC87_n_16940 (
+	.A(n_16940),
+	.Y(FE_DBTN87_n_16940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC86_n_47526 (
+	.A(n_47526),
+	.Y(FE_DBTN86_n_47526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC85_n_53112 (
+	.A(n_53112),
+	.Y(FE_DBTN85_n_53112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC84_n_53113 (
+	.A(n_53113),
+	.Y(FE_DBTN84_n_53113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC83_n_69234 (
+	.A(n_69234),
+	.Y(FE_DBTN83_n_69234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC82_n_59754 (
+	.A(n_59754),
+	.Y(FE_DBTN82_n_59754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC81_n_17561 (
+	.A(n_17561),
+	.Y(FE_DBTN81_n_17561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC80_n_39663 (
+	.A(n_39663),
+	.Y(FE_DBTN80_n_39663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC79_n_19051 (
+	.A(n_19051),
+	.Y(FE_DBTN79_n_19051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC78_n_29681 (
+	.A(n_29681),
+	.Y(FE_DBTN78_n_29681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC77_n_23711 (
+	.A(n_23711),
+	.Y(FE_DBTN77_n_23711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC76_n_70132 (
+	.A(n_70132),
+	.Y(FE_DBTN76_n_70132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC75_n_26984 (
+	.A(n_26984),
+	.Y(FE_DBTN75_n_26984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 FE_DBTC74_n_29691 (
+	.A(n_29691),
+	.Y(FE_DBTN74_n_29691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC73_n_31180 (
+	.A(n_31180),
+	.Y(FE_DBTN73_n_31180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC72_n_31641 (
+	.A(n_31641),
+	.Y(FE_DBTN72_n_31641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC71_n_69235 (
+	.A(n_69235),
+	.Y(FE_DBTN71_n_69235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC70_n_55879 (
+	.A(n_55879),
+	.Y(FE_DBTN70_n_55879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 FE_DBTC69_n_65405 (
+	.A(n_65405),
+	.Y(FE_DBTN69_n_65405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC68_n_74851 (
+	.A(n_74851),
+	.Y(FE_DBTN68_n_74851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC67_n_44490 (
+	.A(n_44490),
+	.Y(FE_DBTN67_n_44490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC66_n_35437 (
+	.A(n_35437),
+	.Y(FE_DBTN66_n_35437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC65_n_50154 (
+	.A(n_50154),
+	.Y(FE_DBTN65_n_50154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC64_n_50136 (
+	.A(n_50136),
+	.Y(FE_DBTN64_n_50136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC63_n_56699 (
+	.A(n_56699),
+	.Y(FE_DBTN63_n_56699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC62_n_50512 (
+	.A(n_50512),
+	.Y(FE_DBTN62_n_50512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC61_n_44740 (
+	.A(n_44740),
+	.Y(FE_DBTN61_n_44740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC60_n_58959 (
+	.A(n_58959),
+	.Y(FE_DBTN60_n_58959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 FE_DBTC59_n_46648 (
+	.A(n_46648),
+	.Y(FE_DBTN59_n_46648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC58_n_48855 (
+	.A(n_48855),
+	.Y(FE_DBTN58_n_48855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC57_n_73965 (
+	.A(n_73965),
+	.Y(FE_DBTN57_n_73965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC56_n_49767 (
+	.A(n_49767),
+	.Y(FE_DBTN56_n_49767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC55_n_54967 (
+	.A(n_54967),
+	.Y(FE_DBTN55_n_54967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC54_n_72084 (
+	.A(n_72084),
+	.Y(FE_DBTN54_n_72084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC53_n_49347 (
+	.A(n_49347),
+	.Y(FE_DBTN53_n_49347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC52_n_55103 (
+	.A(n_55103),
+	.Y(FE_DBTN52_n_55103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC51_n_52672 (
+	.A(n_52672),
+	.Y(FE_DBTN51_n_52672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC50_n_74579 (
+	.A(n_74579),
+	.Y(FE_DBTN50_n_74579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC49_n_72075 (
+	.A(n_72075),
+	.Y(FE_DBTN49_n_72075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC48_n_51233 (
+	.A(n_51233),
+	.Y(FE_DBTN48_n_51233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC47_n_66052 (
+	.A(n_66052),
+	.Y(FE_DBTN47_n_66052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC46_n_66808 (
+	.A(n_66808),
+	.Y(FE_DBTN46_n_66808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC45_n_51788 (
+	.A(n_51788),
+	.Y(FE_DBTN45_n_51788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC44_n_52217 (
+	.A(n_52217),
+	.Y(FE_DBTN44_n_52217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC43_n_52455 (
+	.A(n_52455),
+	.Y(FE_DBTN43_n_52455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC42_n_52962 (
+	.A(n_52962),
+	.Y(FE_DBTN42_n_52962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 FE_DBTC41_n_55284 (
+	.A(n_55284),
+	.Y(FE_DBTN41_n_55284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC40_n_57952 (
+	.A(n_57952),
+	.Y(FE_DBTN40_n_57952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC39_n_53218 (
+	.A(n_53218),
+	.Y(FE_DBTN39_n_53218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC38_n_68877 (
+	.A(n_68877),
+	.Y(FE_DBTN38_n_68877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC37_n_54235 (
+	.A(n_54235),
+	.Y(FE_DBTN37_n_54235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC36_n_57301 (
+	.A(n_57301),
+	.Y(FE_DBTN36_n_57301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC35_n_54412 (
+	.A(n_54412),
+	.Y(FE_DBTN35_n_54412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC34_n_54651 (
+	.A(n_54651),
+	.Y(FE_DBTN34_n_54651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC33_n_65995 (
+	.A(n_65995),
+	.Y(FE_DBTN33_n_65995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 FE_DBTC32_n_54993 (
+	.A(n_54993),
+	.Y(FE_DBTN32_n_54993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC31_n_56725 (
+	.A(n_56725),
+	.Y(FE_DBTN31_n_56725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC30_n_56013 (
+	.A(n_56013),
+	.Y(FE_DBTN30_n_56013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC29_n_55918 (
+	.A(n_55918),
+	.Y(FE_DBTN29_n_55918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC28_n_73723 (
+	.A(n_73723),
+	.Y(FE_DBTN28_n_73723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC27_n_56862 (
+	.A(n_56862),
+	.Y(FE_DBTN27_n_56862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC26_n_69241 (
+	.A(n_69241),
+	.Y(FE_DBTN26_n_69241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC25_n_57340 (
+	.A(n_57340),
+	.Y(FE_DBTN25_n_57340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC24_n_71054 (
+	.A(n_71054),
+	.Y(FE_DBTN24_n_71054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC23_n_57443 (
+	.A(n_57443),
+	.Y(FE_DBTN23_n_57443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC22_n_58069 (
+	.A(n_58069),
+	.Y(FE_DBTN22_n_58069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC21_n_69209 (
+	.A(n_69209),
+	.Y(FE_DBTN21_n_69209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC20_n_58706 (
+	.A(n_58706),
+	.Y(FE_DBTN20_n_58706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC19_n_58978 (
+	.A(n_58978),
+	.Y(FE_DBTN19_n_58978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 FE_DBTC18_n_61279 (
+	.A(n_61279),
+	.Y(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC17_n_70953 (
+	.A(n_70953),
+	.Y(FE_DBTN17_n_70953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC16_n_74792 (
+	.A(n_74792),
+	.Y(FE_DBTN16_n_74792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 FE_DBTC15_n_65952 (
+	.A(n_65952),
+	.Y(FE_DBTN15_n_65952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC14_n_66542 (
+	.A(n_66542),
+	.Y(FE_DBTN14_n_66542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC13_n_68403 (
+	.A(n_68403),
+	.Y(FE_DBTN13_n_68403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC12_n_68911 (
+	.A(n_68911),
+	.Y(FE_DBTN12_n_68911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC11_n_68980 (
+	.A(n_68980),
+	.Y(FE_DBTN11_n_68980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC10_n_69243 (
+	.A(n_69243),
+	.Y(FE_DBTN10_n_69243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 FE_DBTC9_n_69283 (
+	.A(n_69283),
+	.Y(FE_DBTN9_n_69283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC8_n_69488 (
+	.A(n_69488),
+	.Y(FE_DBTN8_n_69488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 FE_DBTC7_n_69836 (
+	.A(n_69836),
+	.Y(FE_DBTN7_n_69836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 FE_DBTC6_n_75245 (
+	.A(n_75245),
+	.Y(FE_DBTN6_n_75245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 FE_DBTC5_n_70976 (
+	.A(n_70976),
+	.Y(FE_DBTN5_n_70976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 FE_DBTC4_n_71059 (
+	.A(n_71059),
+	.Y(FE_DBTN4_n_71059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 FE_DBTC3_n_71086 (
+	.A(n_71086),
+	.Y(FE_DBTN3_n_71086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_465 ),
+	.Y(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ),
+	.Y(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 FE_DBTC0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ),
+	.Y(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_291 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[1]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_289 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[2]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_292 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[3]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_285 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[4]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_id_q_reg[5]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Q(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_gen_target[0].u_target/irq_q_reg  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_gen_target[0].u_target/n_295 ),
+	.Q(soc_top_intr_req),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13919__2398  (
+	.A1(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.A2(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_288 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_293 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_294 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13922__5107  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_290 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_273 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13923__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_229 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_290 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_225 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_283 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target/g13925__4319  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_287 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_258 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_372 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13926__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_279 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13929__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_278 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_286 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13930__6783  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_216 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_283 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_273 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_275 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13931__3680  (
+	.A1(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_276 ),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.B1(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13933__1617  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13934__2802  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_274 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_intr_controller_gen_target[0].u_target/g13936__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_283 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13937  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_283 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13938__5122  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_273 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_281 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g13939__8246  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_280 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_277 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g13940__7098  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_216 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_276 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13941__6131  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_262 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_266 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13942__1881  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_257 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_267 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13943  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_276 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13944__5115  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g13945__7482  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_259 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_265 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13946__4733  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_260 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_263 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13947__6161  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13949__9315  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_256 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_270 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13950__9945  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_268 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_265 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_269 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13951__2883  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_260 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_264 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_259 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_intr_controller_gen_target[0].u_target/g13952__2346  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_260 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_264 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_259 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target/g13953__1666  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_255 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_238 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_373 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_intr_controller_gen_target[0].u_target/g13954__7410  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_255 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_187 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_245 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_254 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13955  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_263 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13956__6417  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_226 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_237 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13957__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_255 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13958__2398  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_236 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_235 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13959__5107  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_233 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_234 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13960__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13961__4319  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_231 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_220 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13962__8428  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_240 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_218 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13963__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_239 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_251 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_219 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13964__6783  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_227 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13965__3680  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13966__1617  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_214 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13968  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_252 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13969__2802  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_230 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_248 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_213 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_intr_controller_gen_target[0].u_target/g13971__1705  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_227 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_247 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13972__5122  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_243 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_219 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_244 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13973__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_236 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_242 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_246 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13974__7098  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_232 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_234 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_235 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13975__6131  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_70 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_241 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13976__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_240 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_217 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_239 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_intr_controller_gen_target[0].u_target/g13977__5115  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_218 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_240 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_239 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_intr_controller_gen_target[0].u_target/g13978__7482  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_232 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_235 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_234 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13979__4733  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_179 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13980__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_154 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_221 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_228 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13981__9315  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_177 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_178 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13982__9945  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_193 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_196 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13983__2883  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_188 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_190 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13984  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_232 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13985__2346  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13986__1666  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_195 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_167 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13987__7410  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_185 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_181 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_221 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g13988__6417  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_184 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_182 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_221 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g13989__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_192 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_214 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g13990__2398  (
+	.A(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_216 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_intr_controller_gen_target[0].u_target/g13991__5107  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_223 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_174 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13992__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_176 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_210 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_172 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.C1(\soc_top_intr_controller_gen_target[0].u_target/n_213 ),
+	.C2(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13993__4319  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_221 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g13994__8428  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_175 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g13995  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_224 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g13996  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_222 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_intr_controller_gen_target[0].u_target/g13997__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.B1_N(\soc_top_intr_controller_gen_target[0].u_target/n_213 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g13998__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g13999__3680  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_174 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_209 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_89 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \soc_top_intr_controller_gen_target[0].u_target/g14000__1617  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_173 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_208 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g14001  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_218 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target/g14002  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14003__2802  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_189 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_180 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14004__1705  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_194 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_183 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14005__5122  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_212 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14006__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_175 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_207 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g14007__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_159 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_210 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g14008  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_211 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_intr_controller_gen_target[0].u_target/g14009__6131  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_206 ),
+	.B(soc_top_intr_controller_ip[36]),
+	.C(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g14010__1881  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_204 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_205 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14011__5115  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_188 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_198 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_191 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14012__7482  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_181 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_197 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_186 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14013__4733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_201 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_195 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14014__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_200 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_199 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_165 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14015__9315  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_203 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_intr_controller_gen_target[0].u_target/g14016__9945  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_183 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_202 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_194 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14017__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_180 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_189 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14018__2346  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_189 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_180 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14019__1666  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_192 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_171 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14020__7410  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.B1(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_intr_controller_gen_target[0].u_target/g14021__6417  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_24 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_169 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_170 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14022__5477  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_196 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_193 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14023__2398  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_182 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_184 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14024  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_190 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14025__5107  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_71 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14026__6260  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_126 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_127 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14027__4319  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_124 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_112 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14028__8428  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_101 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_98 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14029__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_122 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_121 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_intr_controller_gen_target[0].u_target/g14030__6783  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_128 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_129 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_156 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14031__3680  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_117 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_123 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14032__1617  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_110 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_92 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14033__2802  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_119 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_113 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14034  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_185 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14035__1705  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_154 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14036__5122  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14037__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_161 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14038__7098  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_69 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_68 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_157 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14039__6131  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_91 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_154 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_105 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14040__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_107 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_154 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_104 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14041__5115  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_100 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_102 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_159 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14042__7482  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_130 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_103 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14043__4733  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_108 ),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_116 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14044__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_95 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_99 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_159 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g14045__9315  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14046__9945  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_164 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g14047__2883  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_90 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_163 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_intr_controller_gen_target[0].u_target/g14048__2346  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_158 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14049  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_168 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g14050  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_166 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14051__1666  (
+	.A0(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_94 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14052__7410  (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_131 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14053__6417  (
+	.A0(\soc_top_intr_controller_gen_target[0].u_target/n_114 ),
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14054__5477  (
+	.A0(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_97 ),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_intr_controller_gen_target[0].u_target/g14055  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_162 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14056__2398  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_153 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_33 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_intr_controller_gen_target[0].u_target/g14057__5107  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_44 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14058__6260  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_148 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_90 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_50 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g14059__4319  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_89 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_145 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_47 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14060  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_160 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14061  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_155 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_intr_controller_gen_target[0].u_target/g14062__8428  (
+	.A1_N(\soc_top_intr_controller_gen_target[0].u_target/n_84 ),
+	.A2_N(\soc_top_intr_controller_gen_target[0].u_target/n_144 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_49 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14063__5526  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_146 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_86 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_27 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14064__6783  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_143 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_88 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_68 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_51 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14065__3680  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_151 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_85 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_43 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14066__1617  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_147 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_87 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.B2(\soc_top_intr_controller_gen_target[0].u_target/n_45 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_intr_controller_gen_target[0].u_target/g14067__2802  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_29 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_149 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14068__1705  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_26 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_150 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14069__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_125 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_138 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_112 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14070__8246  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_139 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_140 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_44 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_intr_controller_gen_target[0].u_target/g14071__7098  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_141 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_142 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_33 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14072__6131  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_118 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_137 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_123 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14073__1881  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_106 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_134 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_91 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14074__5115  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_109 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_133 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_116 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14075__7482  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_120 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_136 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_113 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14076__4733  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_96 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_135 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_99 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14077__6161  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_111 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_132 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_92 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14078__9315  (
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_115 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14079__9945  (
+	.A1(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.A3(\soc_top_intr_controller_gen_target[0].u_target/n_115 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_131 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14080__2883  (
+	.A1(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_93 ),
+	.B1(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_intr_controller_gen_target[0].u_target/g14081__2346  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_94 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_23 ),
+	.B1(\soc_top_intr_controller_gen_target[0].u_target/n_97 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14082__1666  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_129 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_128 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14083__7410  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_127 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_126 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14084__6417  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_122 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_121 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14085__5477  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_100 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_102 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14086__2398  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_107 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_104 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14087__5107  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_103 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_130 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14088__6260  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_98 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_101 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14089  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_124 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14090  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_119 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14091  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_117 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_intr_controller_gen_target[0].u_target/g14092  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_115 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14093__4319  (
+	.A0(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14094__8428  (
+	.A0(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_70 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14095__5526  (
+	.A0(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14096__6783  (
+	.A0(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_71 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14097__3680  (
+	.A0(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14098__1617  (
+	.A0(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14099__2802  (
+	.A0(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_71 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14100__1705  (
+	.A0(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_80 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14101__5122  (
+	.A0(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14102__8246  (
+	.A0(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14103__7098  (
+	.A0(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_83 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14104__6131  (
+	.A0(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14105__1881  (
+	.A0(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14106__5115  (
+	.A0(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_78 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14107__7482  (
+	.A0(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14108__4733  (
+	.A0(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_82 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14109  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_110 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14110  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_108 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14111  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_105 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14112  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_95 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14113  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_93 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14114__6161  (
+	.A0(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_69 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14115__9315  (
+	.A0(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_70 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14116__9945  (
+	.A0(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14117__2883  (
+	.A0(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14118__2346  (
+	.A0(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14119__1666  (
+	.A0(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_77 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14120__7410  (
+	.A0(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14121__6417  (
+	.A0(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_69 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14122__5477  (
+	.A0(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14123__2398  (
+	.A0(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14124__5107  (
+	.A0(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_68 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_intr_controller_gen_target[0].u_target/g14125__6260  (
+	.A0(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14126__4319  (
+	.A0(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_75 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14127__8428  (
+	.A0(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.A1(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_72 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14128__5526  (
+	.A0(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_68 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_intr_controller_gen_target[0].u_target/g14129__6783  (
+	.A0(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.A1(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.S(\soc_top_intr_controller_gen_target[0].u_target/n_76 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14130__3680  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_81 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_48 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_intr_controller_gen_target[0].u_target/g14131__1617  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_79 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_46 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14132__2802  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_69 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_25 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14133__1705  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_73 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_31 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14134__5122  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_70 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_32 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14135__8246  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_71 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_30 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14136__7098  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_74 ),
+	.B_N(\soc_top_intr_controller_gen_target[0].u_target/n_28 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14137__6131  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_47 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_58 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.C1(soc_top_intr_controller_ip[23]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14138__1881  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_43 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_63 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.C1(soc_top_intr_controller_ip[7]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14139__5115  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_48 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_55 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.C1(soc_top_intr_controller_ip[17]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14140__7482  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_50 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_66 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.C1(soc_top_intr_controller_ip[19]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14141__4733  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_46 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_64 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.C1(soc_top_intr_controller_ip[21]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14142__6161  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_29 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_56 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.C1(soc_top_intr_controller_ip[35]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14143__9315  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_27 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_67 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.C1(soc_top_intr_controller_ip[11]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14144__9945  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_45 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_60 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.C1(soc_top_intr_controller_ip[15]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14145__2883  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_49 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_65 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.C1(soc_top_intr_controller_ip[27]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_intr_controller_gen_target[0].u_target/g14146__2346  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_28 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_59 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.C1(soc_top_intr_controller_ip[25]),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14147__1666  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_31 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_62 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.C1(soc_top_intr_controller_ip[13]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14148__7410  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_26 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_54 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.C1(soc_top_intr_controller_ip[3]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14149__6417  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_30 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_52 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.C1(soc_top_intr_controller_ip[5]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14150__5477  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_32 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_57 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.C1(soc_top_intr_controller_ip[9]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14151__2398  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_25 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_61 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.C1(soc_top_intr_controller_ip[29]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_intr_controller_gen_target[0].u_target/g14152__5107  (
+	.A1(\soc_top_intr_controller_gen_target[0].u_target/n_51 ),
+	.A2(\soc_top_intr_controller_gen_target[0].u_target/n_53 ),
+	.B1(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.C1(soc_top_intr_controller_ip[31]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14153__6260  (
+	.A(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_20 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_9 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14154__4319  (
+	.A(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_34 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_11 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14155__8428  (
+	.A(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_18 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_14 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14156__5526  (
+	.A(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_36 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_4 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14157__6783  (
+	.A(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_42 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_12 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14158__3680  (
+	.A(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_21 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_7 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14159__1617  (
+	.A(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_39 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_1 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14160__2802  (
+	.A(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_37 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_2 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14161__1705  (
+	.A(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_19 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_8 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14162__5122  (
+	.A(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_38 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_10 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14163__8246  (
+	.A(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_22 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_3 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14164__7098  (
+	.A(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_40 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_6 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14165__6131  (
+	.A(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_35 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_13 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14166__1881  (
+	.A(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_16 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_15 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14167__5115  (
+	.A(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_41 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_0 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_intr_controller_gen_target[0].u_target/g14168__7482  (
+	.A(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_17 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_5 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14169__4733  (
+	.A(soc_top_intr_controller_ip[30]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14170__6161  (
+	.A(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14171__9315  (
+	.A(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14172__9945  (
+	.A(soc_top_intr_controller_ip[18]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14173__2883  (
+	.A(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14174__2346  (
+	.A(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14175__1666  (
+	.A(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14176__7410  (
+	.A(soc_top_intr_controller_ip[26]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14177__6417  (
+	.A(soc_top_intr_controller_ip[16]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14178__5477  (
+	.A(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14179__2398  (
+	.A(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14180__5107  (
+	.A(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14181__6260  (
+	.A(soc_top_intr_controller_ip[22]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14182__4319  (
+	.A(soc_top_intr_controller_ip[20]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14183__8428  (
+	.A(soc_top_intr_controller_ip[14]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14184__5526  (
+	.A(soc_top_intr_controller_ip[1]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14185__6783  (
+	.A(soc_top_intr_controller_ip[6]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14186__3680  (
+	.A(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14187__1617  (
+	.A(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.B(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_intr_controller_gen_target[0].u_target/g14188__2802  (
+	.A(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.B(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14189__1705  (
+	.A(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14190__5122  (
+	.A(soc_top_intr_controller_ip[32]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14191__8246  (
+	.A(soc_top_intr_controller_ip[8]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14192__7098  (
+	.A(soc_top_intr_controller_ip[12]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14193__6131  (
+	.A(soc_top_intr_controller_ip[4]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14194__1881  (
+	.A(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14195__5115  (
+	.A(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14196__7482  (
+	.A(soc_top_intr_controller_ip[34]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14197__4733  (
+	.A(soc_top_intr_controller_ip[24]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14198__6161  (
+	.A(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14199__9315  (
+	.A(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14200__9945  (
+	.A(soc_top_intr_controller_ip[10]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14201__2883  (
+	.A(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_intr_controller_gen_target[0].u_target/g14202__2346  (
+	.A(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.B_N(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14203__1666  (
+	.A(soc_top_intr_controller_ip[2]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_intr_controller_gen_target[0].u_target/g14204__7410  (
+	.A(soc_top_intr_controller_ip[28]),
+	.B(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14205  (
+	.A(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14206  (
+	.A(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14207  (
+	.A(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14208  (
+	.A(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14209  (
+	.A(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14210  (
+	.A(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14211  (
+	.A(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14212  (
+	.A(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14213  (
+	.A(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14214  (
+	.A(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14215  (
+	.A(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14216  (
+	.A(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14217  (
+	.A(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14218  (
+	.A(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14219  (
+	.A(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_intr_controller_gen_target[0].u_target/g14220  (
+	.A(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_intr_controller_gen_target[0].u_target/g2__6417  (
+	.A(\soc_top_intr_controller_gen_target[0].u_target/n_282 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_261 ),
+	.C_N(\soc_top_intr_controller_gen_target[0].u_target/n_272 ),
+	.Y(\soc_top_intr_controller_gen_target[0].u_target/n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_intr_controller_gen_target[0].u_target/g14221__5477  (
+	.A_N(\soc_top_intr_controller_gen_target[0].u_target/n_250 ),
+	.B(\soc_top_intr_controller_gen_target[0].u_target/n_215 ),
+	.C(\soc_top_intr_controller_gen_target[0].u_target/n_152 ),
+	.X(\soc_top_intr_controller_gen_target[0].u_target/n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_95 ),
+	.Q(soc_top_iccm_ctrl_addr_o[0]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_104 ),
+	.Q(soc_top_iccm_ctrl_addr_o[1]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_112 ),
+	.Q(soc_top_iccm_ctrl_addr_o[2]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_117 ),
+	.Q(soc_top_iccm_ctrl_addr_o[3]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[4]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_123 ),
+	.Q(soc_top_iccm_ctrl_addr_o[4]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[5]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_127 ),
+	.Q(soc_top_iccm_ctrl_addr_o[5]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[6]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_132 ),
+	.Q(soc_top_iccm_ctrl_addr_o[6]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/addr_q_reg[7]  (
+	.CLK(CTS_69),
+	.D(\soc_top_u_dut/n_134 ),
+	.Q(soc_top_iccm_ctrl_addr_o[7]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/byte_count_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_21 ),
+	.Q(\soc_top_u_dut/byte_count[0] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/byte_count_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_57 ),
+	.Q(\soc_top_u_dut/byte_count[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut/ctrl_fsm_cs_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_103 ),
+	.Q(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut/ctrl_fsm_cs_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_120 ),
+	.Q(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_dut/reset_q_reg  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_121 ),
+	.Q(soc_top_prog_rst_ni),
+	.SET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[0]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_74 ),
+	.Q(soc_top_iccm_ctrl_data[24]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_72 ),
+	.Q(soc_top_iccm_ctrl_data[25]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[2]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_71 ),
+	.Q(soc_top_iccm_ctrl_data[26]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[3]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_70 ),
+	.Q(soc_top_iccm_ctrl_data[27]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[4]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_69 ),
+	.Q(soc_top_iccm_ctrl_data[28]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[5]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_77 ),
+	.Q(soc_top_iccm_ctrl_data[29]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[6]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_67 ),
+	.Q(soc_top_iccm_ctrl_data[30]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q0_reg[7]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_66 ),
+	.Q(soc_top_iccm_ctrl_data[31]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_65 ),
+	.Q(soc_top_iccm_ctrl_data[16]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_64 ),
+	.Q(soc_top_iccm_ctrl_data[17]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_63 ),
+	.Q(soc_top_iccm_ctrl_data[18]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[3]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_93 ),
+	.Q(soc_top_iccm_ctrl_data[19]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[4]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_92 ),
+	.Q(soc_top_iccm_ctrl_data[20]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[5]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_91 ),
+	.Q(soc_top_iccm_ctrl_data[21]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[6]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_90 ),
+	.Q(soc_top_iccm_ctrl_data[22]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q1_reg[7]  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_dut/n_89 ),
+	.Q(soc_top_iccm_ctrl_data[23]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_88 ),
+	.Q(soc_top_iccm_ctrl_data[8]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_87 ),
+	.Q(soc_top_iccm_ctrl_data[9]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_86 ),
+	.Q(soc_top_iccm_ctrl_data[10]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[3]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_94 ),
+	.Q(soc_top_iccm_ctrl_data[11]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[4]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_84 ),
+	.Q(soc_top_iccm_ctrl_data[12]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[5]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_83 ),
+	.Q(soc_top_iccm_ctrl_data[13]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[6]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_78 ),
+	.Q(soc_top_iccm_ctrl_data[14]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q2_reg[7]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_82 ),
+	.Q(soc_top_iccm_ctrl_data[15]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_81 ),
+	.Q(soc_top_iccm_ctrl_data[0]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_80 ),
+	.Q(soc_top_iccm_ctrl_data[1]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_73 ),
+	.Q(soc_top_iccm_ctrl_data[2]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[3]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_79 ),
+	.Q(soc_top_iccm_ctrl_data[3]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[4]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_75 ),
+	.Q(soc_top_iccm_ctrl_data[4]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[5]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_85 ),
+	.Q(soc_top_iccm_ctrl_data[5]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[6]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_68 ),
+	.Q(soc_top_iccm_ctrl_data[6]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/rx_byte_q3_reg[7]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_76 ),
+	.Q(soc_top_iccm_ctrl_data[7]),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_dut/we_q_reg  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_dut/n_108 ),
+	.Q(soc_top_iccm_ctrl_we),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5266__2398  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_133 ),
+	.Y(\soc_top_u_dut/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5268__5107  (
+	.A0(\soc_top_u_dut/n_130 ),
+	.A1(soc_top_iccm_ctrl_addr_o[7]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5269__6260  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_131 ),
+	.Y(\soc_top_u_dut/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5270__4319  (
+	.A0(\soc_top_u_dut/n_129 ),
+	.A1(soc_top_iccm_ctrl_addr_o[6]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5271__8428  (
+	.A(soc_top_iccm_ctrl_addr_o[7]),
+	.B(\soc_top_u_dut/n_128 ),
+	.X(\soc_top_u_dut/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5273__5526  (
+	.A(soc_top_iccm_ctrl_addr_o[6]),
+	.B(\soc_top_u_dut/n_124 ),
+	.COUT(\soc_top_u_dut/n_128 ),
+	.SUM(\soc_top_u_dut/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5274__6783  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_126 ),
+	.Y(\soc_top_u_dut/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5275__3680  (
+	.A0(\soc_top_u_dut/n_125 ),
+	.A1(soc_top_iccm_ctrl_addr_o[5]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5277__1617  (
+	.A(soc_top_iccm_ctrl_addr_o[5]),
+	.B(\soc_top_u_dut/n_118 ),
+	.COUT(\soc_top_u_dut/n_124 ),
+	.SUM(\soc_top_u_dut/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5278__2802  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_122 ),
+	.Y(\soc_top_u_dut/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5280__1705  (
+	.A0(\soc_top_u_dut/n_119 ),
+	.A1(soc_top_iccm_ctrl_addr_o[4]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5283__5122  (
+	.A(\soc_top_u_dut/n_7 ),
+	.B(\soc_top_u_dut/n_116 ),
+	.Y(\soc_top_u_dut/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_dut/g5284__8246  (
+	.A1(\soc_top_u_dut/n_140 ),
+	.A2(soc_top_rx_dv_i),
+	.A3(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.A4(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B1(\soc_top_u_dut/n_7 ),
+	.Y(\soc_top_u_dut/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5285__7098  (
+	.A(soc_top_iccm_ctrl_addr_o[4]),
+	.B(\soc_top_u_dut/n_113 ),
+	.COUT(\soc_top_u_dut/n_118 ),
+	.SUM(\soc_top_u_dut/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5286__6131  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_115 ),
+	.Y(\soc_top_u_dut/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_dut/g5287__1881  (
+	.A1(\soc_top_u_dut/n_111 ),
+	.A2(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.A3(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B1(soc_top_prog_rst_ni),
+	.Y(\soc_top_u_dut/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5288__5115  (
+	.A0(\soc_top_u_dut/n_114 ),
+	.A1(soc_top_iccm_ctrl_addr_o[3]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5290__7482  (
+	.A(soc_top_iccm_ctrl_addr_o[3]),
+	.B(\soc_top_u_dut/n_105 ),
+	.COUT(\soc_top_u_dut/n_113 ),
+	.SUM(\soc_top_u_dut/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5291__4733  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_109 ),
+	.Y(\soc_top_u_dut/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_dut/g5292  (
+	.A(\soc_top_u_dut/n_140 ),
+	.Y(\soc_top_u_dut/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5295__6161  (
+	.A0(\soc_top_u_dut/n_106 ),
+	.A1(soc_top_iccm_ctrl_addr_o[2]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5298__9315  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_102 ),
+	.Y(\soc_top_u_dut/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_dut/g5299__9945  (
+	.A(soc_top_iccm_ctrl_data[17]),
+	.B(soc_top_iccm_ctrl_data[25]),
+	.C(\soc_top_u_dut/n_100 ),
+	.Y(\soc_top_u_dut/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5300__2883  (
+	.A(soc_top_iccm_ctrl_addr_o[2]),
+	.B(\soc_top_u_dut/n_97 ),
+	.COUT(\soc_top_u_dut/n_105 ),
+	.SUM(\soc_top_u_dut/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5301__2346  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_99 ),
+	.Y(\soc_top_u_dut/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_dut/g5302__1666  (
+	.A1(\soc_top_u_dut/n_7 ),
+	.A2(\soc_top_u_dut/n_2 ),
+	.B1(\soc_top_u_dut/n_101 ),
+	.Y(\soc_top_u_dut/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_dut/g5303__7410  (
+	.A1(soc_top_iccm_ctrl_we),
+	.A2(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B1(\soc_top_u_dut/n_101 ),
+	.Y(\soc_top_u_dut/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_dut/g5304__6417  (
+	.A1(\soc_top_u_dut/n_56 ),
+	.A2(soc_top_rx_byte_i[0]),
+	.A3(soc_top_rx_byte_i[1]),
+	.B1(\soc_top_u_dut/n_16 ),
+	.C1(\soc_top_u_dut/n_96 ),
+	.Y(\soc_top_u_dut/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_dut/g5305__5477  (
+	.A(\soc_top_u_dut/n_96 ),
+	.B(\soc_top_u_dut/n_61 ),
+	.C(\soc_top_u_dut/n_62 ),
+	.D(\soc_top_u_dut/n_60 ),
+	.Y(\soc_top_u_dut/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5306__2398  (
+	.A0(\soc_top_u_dut/n_98 ),
+	.A1(soc_top_iccm_ctrl_addr_o[1]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5308__5107  (
+	.A(soc_top_iccm_ctrl_addr_o[1]),
+	.B(\soc_top_u_dut/n_22 ),
+	.COUT(\soc_top_u_dut/n_97 ),
+	.SUM(\soc_top_u_dut/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5326__6260  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_59 ),
+	.Y(\soc_top_u_dut/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_dut/g5327__4319  (
+	.A(soc_top_iccm_ctrl_data[15]),
+	.B(soc_top_iccm_ctrl_data[14]),
+	.C(\soc_top_u_dut/n_55 ),
+	.Y(\soc_top_u_dut/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5343__8428  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_28 ),
+	.Y(\soc_top_u_dut/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5344__5526  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_39 ),
+	.Y(\soc_top_u_dut/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5345__6783  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_38 ),
+	.Y(\soc_top_u_dut/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5346__3680  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_37 ),
+	.Y(\soc_top_u_dut/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5347__1617  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_36 ),
+	.Y(\soc_top_u_dut/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5348__2802  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_35 ),
+	.Y(\soc_top_u_dut/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5349__1705  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_34 ),
+	.Y(\soc_top_u_dut/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5350__5122  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_33 ),
+	.Y(\soc_top_u_dut/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5351__8246  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_41 ),
+	.Y(\soc_top_u_dut/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5352__7098  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_58 ),
+	.Y(\soc_top_u_dut/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5353__6131  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_30 ),
+	.Y(\soc_top_u_dut/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5354__1881  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_29 ),
+	.Y(\soc_top_u_dut/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5355__5115  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_31 ),
+	.Y(\soc_top_u_dut/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5356__7482  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_54 ),
+	.Y(\soc_top_u_dut/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5357__4733  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_53 ),
+	.Y(\soc_top_u_dut/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5358__6161  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_48 ),
+	.Y(\soc_top_u_dut/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5359__9315  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_27 ),
+	.Y(\soc_top_u_dut/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5361__9945  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_42 ),
+	.Y(\soc_top_u_dut/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5362__2883  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_40 ),
+	.Y(\soc_top_u_dut/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5363__2346  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_51 ),
+	.Y(\soc_top_u_dut/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5364__1666  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_47 ),
+	.Y(\soc_top_u_dut/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5365__7410  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_52 ),
+	.Y(\soc_top_u_dut/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5366__6417  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_46 ),
+	.Y(\soc_top_u_dut/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5367__5477  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_45 ),
+	.Y(\soc_top_u_dut/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5368__2398  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_44 ),
+	.Y(\soc_top_u_dut/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5369__5107  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_43 ),
+	.Y(\soc_top_u_dut/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5370__6260  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_50 ),
+	.Y(\soc_top_u_dut/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5371__4319  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_49 ),
+	.Y(\soc_top_u_dut/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5372__8428  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_32 ),
+	.Y(\soc_top_u_dut/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5373__5526  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_26 ),
+	.Y(\soc_top_u_dut/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5374__6783  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_25 ),
+	.Y(\soc_top_u_dut/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5375__3680  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_24 ),
+	.Y(\soc_top_u_dut/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_dut/g5376__1617  (
+	.A_N(\soc_top_u_dut/n_14 ),
+	.B(soc_top_iccm_ctrl_data[0]),
+	.C(soc_top_iccm_ctrl_data[2]),
+	.D(soc_top_iccm_ctrl_data[1]),
+	.X(\soc_top_u_dut/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_dut/g5377__2802  (
+	.A(soc_top_iccm_ctrl_data[19]),
+	.B(soc_top_iccm_ctrl_data[21]),
+	.C(soc_top_iccm_ctrl_data[20]),
+	.D(\soc_top_u_dut/n_12 ),
+	.Y(\soc_top_u_dut/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_dut/g5378__1705  (
+	.A(soc_top_iccm_ctrl_data[27]),
+	.B(soc_top_iccm_ctrl_data[29]),
+	.C(soc_top_iccm_ctrl_data[28]),
+	.D(\soc_top_u_dut/n_15 ),
+	.Y(\soc_top_u_dut/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5379__5122  (
+	.A0(\soc_top_u_dut/n_23 ),
+	.A1(soc_top_iccm_ctrl_addr_o[0]),
+	.S(\soc_top_u_dut/n_8 ),
+	.Y(\soc_top_u_dut/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5380__8246  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[5]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5381__7098  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_20 ),
+	.Y(\soc_top_u_dut/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_dut/g5382__6131  (
+	.A_N(\soc_top_u_dut/n_6 ),
+	.B(soc_top_rx_byte_i[2]),
+	.C(soc_top_rx_byte_i[3]),
+	.D(soc_top_rx_byte_i[4]),
+	.X(\soc_top_u_dut/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_dut/g5383__1881  (
+	.A(soc_top_iccm_ctrl_data[13]),
+	.B(soc_top_iccm_ctrl_data[12]),
+	.C(\soc_top_u_dut/n_13 ),
+	.X(\soc_top_u_dut/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5384__5115  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[0]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5385__7482  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[1]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5386__4733  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[2]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5387__6161  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[4]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5388__9315  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[6]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5389__9945  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[30]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5390__2883  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[3]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5391__2346  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[24]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5392__1666  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[25]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5393__7410  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[26]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5394__6417  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[27]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5395__5477  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[28]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5396__2398  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[29]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5398__5107  (
+	.A0(soc_top_iccm_ctrl_data[10]),
+	.A1(soc_top_rx_byte_i[2]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5399__6260  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[7]),
+	.S(\soc_top_u_dut/n_16 ),
+	.Y(\soc_top_u_dut/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5400__4319  (
+	.A0(soc_top_rx_byte_i[3]),
+	.A1(soc_top_iccm_ctrl_data[19]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5401__8428  (
+	.A0(soc_top_rx_byte_i[4]),
+	.A1(soc_top_iccm_ctrl_data[20]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5402__5526  (
+	.A0(soc_top_rx_byte_i[5]),
+	.A1(soc_top_iccm_ctrl_data[21]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5403__6783  (
+	.A0(soc_top_rx_byte_i[6]),
+	.A1(soc_top_iccm_ctrl_data[22]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5404__3680  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[23]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5405__1617  (
+	.A0(soc_top_iccm_ctrl_data[8]),
+	.A1(soc_top_rx_byte_i[0]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5406__2802  (
+	.A0(soc_top_iccm_ctrl_data[9]),
+	.A1(soc_top_rx_byte_i[1]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5407__1705  (
+	.A0(soc_top_rx_byte_i[7]),
+	.A1(soc_top_iccm_ctrl_data[31]),
+	.S(\soc_top_u_dut/n_18 ),
+	.Y(\soc_top_u_dut/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5408__5122  (
+	.A0(soc_top_iccm_ctrl_data[15]),
+	.A1(soc_top_rx_byte_i[7]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5409__8246  (
+	.A0(soc_top_iccm_ctrl_data[12]),
+	.A1(soc_top_rx_byte_i[4]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5410__7098  (
+	.A0(soc_top_iccm_ctrl_data[13]),
+	.A1(soc_top_rx_byte_i[5]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5411__6131  (
+	.A0(soc_top_iccm_ctrl_data[11]),
+	.A1(soc_top_rx_byte_i[3]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5412__1881  (
+	.A0(soc_top_iccm_ctrl_data[14]),
+	.A1(soc_top_rx_byte_i[6]),
+	.S(\soc_top_u_dut/n_17 ),
+	.Y(\soc_top_u_dut/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5413__5115  (
+	.A0(soc_top_rx_byte_i[0]),
+	.A1(soc_top_iccm_ctrl_data[16]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5414__7482  (
+	.A0(soc_top_rx_byte_i[1]),
+	.A1(soc_top_iccm_ctrl_data[17]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5415__4733  (
+	.A0(soc_top_rx_byte_i[2]),
+	.A1(soc_top_iccm_ctrl_data[18]),
+	.S(\soc_top_u_dut/n_19 ),
+	.Y(\soc_top_u_dut/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_dut/g5416__6161  (
+	.A(soc_top_iccm_ctrl_addr_o[0]),
+	.B(\soc_top_u_dut/n_4 ),
+	.COUT(\soc_top_u_dut/n_22 ),
+	.SUM(\soc_top_u_dut/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5417__9315  (
+	.A(io_in[7]),
+	.B(\soc_top_u_dut/n_11 ),
+	.Y(\soc_top_u_dut/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_dut/g5418__9945  (
+	.A0(\soc_top_u_dut/n_5 ),
+	.A1(\soc_top_u_dut/byte_count[1] ),
+	.S(\soc_top_u_dut/n_3 ),
+	.Y(\soc_top_u_dut/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_dut/g5419__2883  (
+	.A(\soc_top_u_dut/n_9 ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.Y(\soc_top_u_dut/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5420__2346  (
+	.A_N(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_9 ),
+	.Y(\soc_top_u_dut/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_dut/g5421__1666  (
+	.A(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_10 ),
+	.Y(\soc_top_u_dut/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5422__7410  (
+	.A_N(\soc_top_u_dut/n_10 ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.Y(\soc_top_u_dut/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_dut/g5423__6417  (
+	.A(soc_top_iccm_ctrl_data[26]),
+	.B(soc_top_iccm_ctrl_data[30]),
+	.C(soc_top_iccm_ctrl_data[24]),
+	.D(soc_top_iccm_ctrl_data[31]),
+	.X(\soc_top_u_dut/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_dut/g5424__5477  (
+	.A(soc_top_iccm_ctrl_data[4]),
+	.B(soc_top_iccm_ctrl_data[5]),
+	.C(soc_top_iccm_ctrl_data[7]),
+	.D(soc_top_iccm_ctrl_data[3]),
+	.Y(\soc_top_u_dut/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_dut/g5425__2398  (
+	.A(soc_top_iccm_ctrl_data[10]),
+	.B(soc_top_iccm_ctrl_data[8]),
+	.C(soc_top_iccm_ctrl_data[9]),
+	.D(soc_top_iccm_ctrl_data[11]),
+	.Y(\soc_top_u_dut/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_dut/g5426__5107  (
+	.A(soc_top_iccm_ctrl_data[18]),
+	.B(soc_top_iccm_ctrl_data[22]),
+	.C(soc_top_iccm_ctrl_data[16]),
+	.D(soc_top_iccm_ctrl_data[23]),
+	.X(\soc_top_u_dut/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5427__6260  (
+	.A(\soc_top_u_dut/byte_count[0] ),
+	.B(\soc_top_u_dut/n_3 ),
+	.X(\soc_top_u_dut/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5428__4319  (
+	.A_N(\soc_top_u_dut/n_3 ),
+	.B(\soc_top_u_dut/byte_count[1] ),
+	.Y(\soc_top_u_dut/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_dut/g5429__8428  (
+	.A(\soc_top_u_dut/byte_count[1] ),
+	.B(\soc_top_u_dut/n_3 ),
+	.Y(\soc_top_u_dut/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \soc_top_u_dut/g5430__5526  (
+	.A_N(\soc_top_u_dut/n_4 ),
+	.B(\soc_top_u_dut/n_3 ),
+	.X(\soc_top_u_dut/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_dut/g5431__6783  (
+	.A(soc_top_rx_byte_i[7]),
+	.B(soc_top_rx_byte_i[5]),
+	.C(soc_top_rx_byte_i[6]),
+	.Y(\soc_top_u_dut/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_dut/g5432__3680  (
+	.A(\soc_top_u_dut/byte_count[1] ),
+	.B(\soc_top_u_dut/byte_count[0] ),
+	.X(\soc_top_u_dut/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_dut/g5433__1617  (
+	.A1(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.A2(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.B1(\soc_top_u_dut/n_1 ),
+	.Y(\soc_top_u_dut/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_dut/g5434__2802  (
+	.A(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.B_N(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.Y(\soc_top_u_dut/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_dut/g5435__1705  (
+	.A(soc_top_rx_dv_i),
+	.B(\soc_top_u_dut/n_1 ),
+	.Y(\soc_top_u_dut/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_dut/g5436__5122  (
+	.A_N(\soc_top_u_dut/ctrl_fsm_cs[1] ),
+	.B(\soc_top_u_dut/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_dut/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_dut/g5437  (
+	.A(io_in[7]),
+	.Y(\soc_top_u_dut/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_dut/g2__8246  (
+	.A1(\soc_top_u_dut/n_107 ),
+	.A2(soc_top_iccm_ctrl_data[6]),
+	.B1_N(wb_rst_i),
+	.Y(\soc_top_u_dut/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/FE_DBTC99_soc_top_u_spi_host_spi_host_tip  (
+	.A(soc_top_u_spi_host_spi_host_tip),
+	.Y(FE_DBTN99_soc_top_u_spi_host_spi_host_tip), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g4548  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_317 ),
+	.Y(soc_top_u_spi_host_spi_host_last_bit), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_spi_host_spi_host_shift/g4578__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_314 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g4579__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_316 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g4580__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_313 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_spi_host_spi_host_shift/g4581__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.C(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g4582__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[0]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_86 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[1]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_94 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[2]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_95 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[3]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_93 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[4]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_96 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift/cnt_reg[5]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_79 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_42 ),
+	.SCE(soc_top_u_spi_host_spi_host_tip), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[0]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_120 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[1]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_98 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[2]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_117 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[3]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_131 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[4]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_108 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[5]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_116 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[5] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[6]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_113 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[7]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_121 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[7] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[8]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_107 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[8] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[9]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_106 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[9] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[10]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_105 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[10] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[11]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_104 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[11] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[12]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_103 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[12] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[13]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_102 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[13] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[14]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_101 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[14] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[15]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_100 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[15] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[16]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_99 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[16] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[17]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_130 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[17] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[18]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_129 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[18] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[19]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_128 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[19] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[20]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_127 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[20] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[21]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_126 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[21] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[22]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_125 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[22] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[23]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_124 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[23] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[24]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_123 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[24] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[25]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_122 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[25] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[26]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_119 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[26] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[27]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_118 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[27] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[28]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_115 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[28] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[29]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_114 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[29] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[30]  (
+	.CLK(CTS_60),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_132 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[30] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_reg[31]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_109 ),
+	.Q(\soc_top_u_spi_host_spi_host_shift/data[31] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[0]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[0]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[0]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[1]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[1]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[1]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[2]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[2]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[2]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[3]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[3]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[3]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[4]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_spi_host_rx[4]),
+	.Q(soc_top_u_spi_host_spi_host_rx[4]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[5]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_spi_host_rx[5]),
+	.Q(soc_top_u_spi_host_spi_host_rx[5]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[6]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[6]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[6]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[7]  (
+	.CLK(CTS_56),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[7]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[7]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[8]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[8]),
+	.Q(soc_top_u_spi_host_spi_host_rx[8]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[9]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[9]),
+	.Q(soc_top_u_spi_host_spi_host_rx[9]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[10]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[10]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[10]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[11]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[11]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[11]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[12]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[12]),
+	.Q(soc_top_u_spi_host_spi_host_rx[12]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[13]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[13]),
+	.Q(soc_top_u_spi_host_spi_host_rx[13]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[14]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[14]),
+	.Q(soc_top_u_spi_host_spi_host_rx[14]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[15]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[15]),
+	.Q(soc_top_u_spi_host_spi_host_rx[15]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[16]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[16]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[16]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[17]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[17]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[17]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[18]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[18]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[18]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[19]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[19]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[19]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[20]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[20]),
+	.Q(soc_top_u_spi_host_spi_host_rx[20]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[21]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_spi_host_rx[21]),
+	.Q(soc_top_u_spi_host_spi_host_rx[21]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[22]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[22]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[22]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[23]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[23]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[23]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[24]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[24]),
+	.Q(soc_top_u_spi_host_spi_host_rx[24]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[25]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[25]),
+	.Q(soc_top_u_spi_host_spi_host_rx[25]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[26]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[26]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[26]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[27]  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.Q(soc_top_u_spi_host_spi_host_rx[27]),
+	.SCD(soc_top_u_spi_host_spi_host_rx[27]),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[28]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[28]),
+	.Q(soc_top_u_spi_host_spi_host_rx[28]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[29]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[29]),
+	.Q(soc_top_u_spi_host_spi_host_rx[29]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[30]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[30]),
+	.Q(soc_top_u_spi_host_spi_host_rx[30]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_spi_host_spi_host_shift/data_rx_reg[31]  (
+	.CLK(CTS_53),
+	.D(soc_top_u_spi_host_spi_host_rx[31]),
+	.Q(soc_top_u_spi_host_spi_host_rx[31]),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_309 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_spi_host_spi_host_shift/s_out_reg  (
+	.CLK(CTS_53),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_311 ),
+	.Q(sd_o),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_spi_host_shift/tip_reg  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_spi_host_tip),
+	.Q(soc_top_u_spi_host_spi_host_tip),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_spi_host_spi_host_shift/n_16 ),
+	.SCE(\soc_top_u_spi_host_spi_host_shift/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_spi_host_spi_host_shift/g8352__4733  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_181 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_196 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_197 ),
+	.D1(\soc_top_u_spi_host_spi_host_shift/n_310 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8353__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_169 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[30] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_308 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 \soc_top_u_spi_host_spi_host_shift/g8354__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_241 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_371 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_52 ),
+	.B2(io_in[2]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_307 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g8355__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_305 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_300 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_191 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_220 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_spi_host_spi_host_shift/g8356__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_258 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_240 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_304 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_303 ),
+	.D1(\soc_top_u_spi_host_spi_host_shift/n_306 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8357__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_299 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_301 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8358__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_162 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[5] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_302 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_spi_host_spi_host_shift/g8359__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_257 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_234 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_256 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_235 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_298 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_spi_host_spi_host_shift/g8360__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_256 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_245 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_257 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_246 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_297 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g8361__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_274 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_275 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_190 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_223 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g8362__2398  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/n_371 ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_277 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_258 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_276 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_spi_host_spi_host_shift/g8363__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_168 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[16] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_244 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_278 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g8364__6260  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/n_239 ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_371 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_258 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_238 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8365__4319  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_256 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_232 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_257 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_233 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8366__8428  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_257 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_243 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_256 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_231 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8367__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_250 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_218 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8368__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_218 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8369__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_212 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8370__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_375 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8371__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_374 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8372__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_252 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_218 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8373__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_252 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_212 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8374__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_218 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8375__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_212 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8376__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_250 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_212 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8377__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_375 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8378__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_374 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8379__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_375 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_249 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8380__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_374 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_249 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8381__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_375 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_254 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8382__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_374 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_254 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8383__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_213 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8384__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g8385__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_224 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_222 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_194 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_221 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8386__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_204 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[20]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_205 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[21]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_236 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_spi_host_spi_host_shift/g8387__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_204 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[22]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_205 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[23]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_237 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8388__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_168 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[2] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_242 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8389__5477  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_163 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[8] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_247 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8390__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_209 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8391__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_213 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8392__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8393__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_211 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8394__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_210 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8395__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_213 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8396__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8397__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_210 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8398__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_248 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_208 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8399__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_209 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8400__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_208 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8401__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_213 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8402__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_203 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8403__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_211 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g8404  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_255 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g8405  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_253 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g8406  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_372 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8407  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_249 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g8408__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_188 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_186 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_189 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_187 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8409__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_204 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[6]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_205 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[7]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8410__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_214 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[0]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_215 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[1]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_spi_host_spi_host_shift/g8411__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_195 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_200 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_193 ),
+	.D(\soc_top_u_spi_host_spi_host_shift/n_201 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8413__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8414__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8415__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_225 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8416__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8417__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8419__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_226 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8420__1666  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_214 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[2]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_215 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[3]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8421__7410  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_184 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.B2(sd_o),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8422__6417  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_206 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[24]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_207 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[25]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g8423__5477  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_216 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[30]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_217 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[31]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8424__2398  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_216 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[28]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_217 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[29]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g8425__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_206 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[26]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_207 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[27]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8426__6260  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_214 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[18]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_215 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[19]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8427__4319  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_214 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[16]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_215 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[17]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8428__8428  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_216 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[12]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_217 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[13]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8429__5526  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_206 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[10]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_207 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[11]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8430__6783  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_216 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[14]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_217 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[15]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8431__3680  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_206 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[8]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_207 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[9]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8432__1617  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_204 ),
+	.A2(soc_top_u_spi_host_spi_host_rx[4]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_205 ),
+	.B2(soc_top_u_spi_host_spi_host_rx[5]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8435__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_52 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_376 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8436__1705  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_24 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_376 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8437__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_24 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_376 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8438__8246  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_52 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_376 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8439__7098  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_165 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[20] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_185 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8440__6131  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_170 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[13] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_198 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8441__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_165 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[22] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_192 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8442__5115  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_168 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[18] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_183 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_spi_host_spi_host_shift/g8443__7482  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_165 ),
+	.A3(\soc_top_u_spi_host_spi_host_shift/data[4] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_182 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g8444  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_210 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_spi_host_spi_host_shift/g8445  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_208 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8446__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_163 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[26] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8447__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_162 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[23] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8449__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8450__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8451__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8452__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8453__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8454__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8455__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_178 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8456__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8457__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8458__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8459__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_179 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8460__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8461__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8462__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_177 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g8464__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_169 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[14] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8465__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_170 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[29] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8466__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_169 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[28] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8467__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_164 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[25] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8468__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_170 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[15] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8469__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_163 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[24] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_spi_host_spi_host_shift/g8470__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_162 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[21] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8471__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_165 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[6] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8472__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_162 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[7] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8473__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_163 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[10] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8474__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_164 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[11] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8475__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_169 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[12] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_spi_host_spi_host_shift/g8476__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_174 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_164 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[9] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_spi_host_spi_host_shift/g8477__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_167 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_175 ),
+	.C_N(\soc_top_u_spi_host_spi_host_shift/data[19] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_spi_host_spi_host_shift/g8478__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_168 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[0] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_166 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/data[1] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8479__9945  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_167 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_172 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[17] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8480__2883  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_167 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_173 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/data[3] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_spi_host_spi_host_shift/g8481__2346  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_164 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/data[27] ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_170 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/data[31] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8483__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_377 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_161 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8484__7410  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_161 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_377 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8485__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_161 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_377 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8486__5477  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_377 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_161 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g8487  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_176 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8489__2398  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_155 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8490__5107  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_156 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_138 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8491__6260  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_156 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_spi_host_spi_host_shift/g8492__4319  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_89 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_155 ),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_138 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8493  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_167 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8494__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_160 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8495__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_160 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8496__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_159 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8497__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_159 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8498__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_373 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8499__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_157 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8500__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_157 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8501__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_373 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g8502__8246  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_149 ),
+	.A2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_84 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8503__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8504__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8506  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_156 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g8507__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_27 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_148 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8508__5115  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8509__7482  (
+	.A0(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_145 ),
+	.S(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8513__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_28 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_144 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8514__6161  (
+	.A0(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_142 ),
+	.S(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g8515__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g8516  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8517__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_27 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_133 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_spi_host_spi_host_shift/g8518__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_2 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_97 ),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_35 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8519__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_140 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8521__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_28 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_91 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8522__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_40 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_112 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_spi_host_spi_host_shift/g8523__6417  (
+	.A0(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_92 ),
+	.S(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8545  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_139 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8563__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_13 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_112 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_spi_host_spi_host_shift/g8564__2398  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_90 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_47 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g8566__5107  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_2 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_53 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8567__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8568__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_110 ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8569__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_66 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8570__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_65 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8571__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_83 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8572__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_81 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8573__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_80 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8574__2802  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_88 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8575__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_78 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8576__5122  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_77 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8577__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_57 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8578__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_75 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8579__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_72 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8580__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_62 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8581__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_73 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8582__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_87 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8583__4733  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_74 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8584__6161  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_67 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8585__9315  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_59 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8586__9945  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_69 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8587__2883  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_70 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8588__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_68 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8590  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_111 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8591__1666  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_76 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8592__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_71 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8593__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_85 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8594__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_64 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8595__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_63 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8596__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_61 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8597__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_60 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8598__4319  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_58 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8599__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_56 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8600__5526  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_55 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8601__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_54 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8602__3680  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_0 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_82 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8603__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_26 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8604__2802  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.B2(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_50 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8605__1705  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.B2(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_48 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8606__5122  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.B2(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_51 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8607__8246  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B2(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_49 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g8608__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_40 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_spi_host_spi_host_shift/g8609__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_90 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_spi_host_spi_host_shift/g8610__1881  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_46 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_14 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_spi_host_spi_host_shift/g8611__5115  (
+	.A0(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_43 ),
+	.S(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8612__7482  (
+	.A0(\soc_top_xbar_to_timer[a_data] [20]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[20] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8613__4733  (
+	.A0(\soc_top_xbar_to_timer[a_data] [26]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[26] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_spi_host_spi_host_shift/g8614__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B2(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_33 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8615__9315  (
+	.A0(\soc_top_xbar_to_timer[a_data] [8]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[8] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g8616__9945  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_11 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8617__2883  (
+	.A0(\soc_top_xbar_to_timer[a_data] [17]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[17] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8618__2346  (
+	.A0(\soc_top_xbar_to_timer[a_data] [1]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[1] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8619__1666  (
+	.A0(\soc_top_xbar_to_timer[a_data] [18]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[18] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8620__7410  (
+	.A0(\soc_top_xbar_to_timer[a_data] [19]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[19] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_spi_host_spi_host_shift/g8621__6417  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.C(\soc_top_u_spi_host_spi_host_shift/n_25 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8622__5477  (
+	.A0(\soc_top_xbar_to_timer[a_data] [21]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[21] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8623__2398  (
+	.A0(\soc_top_xbar_to_timer[a_data] [22]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[22] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8624__5107  (
+	.A0(\soc_top_xbar_to_timer[a_data] [31]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[31] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8625__6260  (
+	.A0(\soc_top_xbar_to_timer[a_data] [24]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[24] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8626__4319  (
+	.A0(\soc_top_xbar_to_timer[a_data] [27]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[27] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8627__8428  (
+	.A0(\soc_top_xbar_to_timer[a_data] [0]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[0] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8628__5526  (
+	.A0(\soc_top_xbar_to_timer[a_data] [25]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[25] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8629__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_13 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_45 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_spi_host_spi_host_shift/g8630__3680  (
+	.A1_N(soc_top_u_spi_host_spi_host_tip),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_34 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_7 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8631__1617  (
+	.A0(\soc_top_xbar_to_timer[a_data] [4]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[4] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8632__2802  (
+	.A0(\soc_top_xbar_to_timer[a_data] [29]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[29] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8633__1705  (
+	.A0(\soc_top_xbar_to_timer[a_data] [28]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[28] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8634__5122  (
+	.A0(\soc_top_xbar_to_timer[a_data] [6]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[6] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8635__8246  (
+	.A0(\soc_top_xbar_to_timer[a_data] [2]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[2] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8636__7098  (
+	.A0(\soc_top_xbar_to_timer[a_data] [30]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[30] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_37 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8637__6131  (
+	.A0(\soc_top_xbar_to_timer[a_data] [3]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[3] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8638__1881  (
+	.A0(\soc_top_xbar_to_timer[a_data] [9]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[9] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8639__5115  (
+	.A0(\soc_top_xbar_to_timer[a_data] [10]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[10] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8640__7482  (
+	.A0(\soc_top_xbar_to_timer[a_data] [7]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[7] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8641__4733  (
+	.A0(\soc_top_xbar_to_timer[a_data] [11]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[11] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8642__6161  (
+	.A0(\soc_top_xbar_to_timer[a_data] [12]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[12] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8643__9315  (
+	.A0(\soc_top_xbar_to_timer[a_data] [5]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[5] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_36 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8644__9945  (
+	.A0(\soc_top_xbar_to_timer[a_data] [13]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[13] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8645__2883  (
+	.A0(\soc_top_xbar_to_timer[a_data] [23]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[23] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8646__2346  (
+	.A0(\soc_top_xbar_to_timer[a_data] [14]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[14] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8647__1666  (
+	.A0(\soc_top_xbar_to_timer[a_data] [15]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[15] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_38 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_spi_host_spi_host_shift/g8648__7410  (
+	.A0(\soc_top_xbar_to_timer[a_data] [16]),
+	.A1(\soc_top_u_spi_host_spi_host_shift/data[16] ),
+	.S(\soc_top_u_spi_host_spi_host_shift/n_39 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_spi_host_spi_host_shift/g8649__6417  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_26 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8650__5477  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_32 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8651__2398  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8652__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_30 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8653__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_spi_host_spi_host_shift/g8654__4319  (
+	.A1(io_out[4]),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_317 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.B2(soc_top_u_spi_host_spi_host_pos_edge),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_17 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8655  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_44 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g8656__8428  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[5] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_5 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_spi_host_spi_host_shift/g8657__5526  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_spi_host_spi_host_shift/g8658__6783  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_317 ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_16 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_spi_host_spi_host_shift/g8659__3680  (
+	.A1(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_3 ),
+	.B2(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_spi_host_spi_host_shift/g8660__1617  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_14 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_spi_host_spi_host_shift/g8661__2802  (
+	.A1_N(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.A2_N(\soc_top_u_spi_host_spi_host_shift/n_18 ),
+	.B1(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B2(\soc_top_u_spi_host_spi_host_shift/n_9 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8662__1705  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_spi_host_spi_host_shift/g8663__5122  (
+	.A1(soc_top_u_spi_host_spi_host_neg_edge),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_4 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_317 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8664__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_6 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8665__7098  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_13 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_22 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8666__6131  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8667__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.B(n_67160),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8668__5115  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8669__7482  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_20 ),
+	.B(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_spi_host_spi_host_shift/g8670__4733  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_spi_host_spi_host_shift/g8671__6161  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_315 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_spi_host_spi_host_shift/g8672__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_316 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_314 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_spi_host_spi_host_shift/g8673__9945  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_313 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_316 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_spi_host_spi_host_shift/g8674__2883  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_315 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B1_N(\soc_top_u_spi_host_spi_host_shift/n_313 ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8675__2346  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_15 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_19 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_spi_host_spi_host_shift/g8676__1666  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8677__7410  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_23 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_14 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8678__6417  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_2 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8679__5477  (
+	.A_N(soc_top_u_spi_host_spi_host_neg_edge),
+	.B(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8680__2398  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8681__5107  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8682__6260  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8683__4319  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_spi_host_spi_host_shift/g8684__8428  (
+	.A(soc_top_u_spi_host_spi_host_n_189),
+	.B(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.X(\soc_top_u_spi_host_spi_host_shift/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8685__5526  (
+	.A_N(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_spi_host_spi_host_shift/g8686  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_12 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8687  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_10 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8688  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_8 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8689__6783  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_314 ),
+	.B_N(soc_top_u_spi_host_spi_host_pos_edge),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8690__3680  (
+	.A(soc_top_u_spi_host_spi_host_tip),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8691__1617  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[3] ),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8692__2802  (
+	.A_N(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.B(\soc_top_u_spi_host_spi_host_shift/cnt[1] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g8693__1705  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8694__5122  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.B(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8695__8246  (
+	.A(\soc_top_u_spi_host_spi_host_shift/cnt[0] ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_3 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_spi_host_spi_host_shift/g8696__7098  (
+	.A(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.B(soc_top_u_spi_host_spi_host_pos_edge),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_spi_host_spi_host_shift/g8697__6131  (
+	.A(soc_top_u_spi_host_spi_host_pos_edge),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8698  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8699  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8700  (
+	.A(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_spi_host_spi_host_shift/g8702  (
+	.A(soc_top_system_rst_ni),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_spi_host_spi_host_shift/g2__1881  (
+	.A(\soc_top_u_spi_host_spi_host_shift/n_146 ),
+	.B_N(\soc_top_u_spi_host_spi_host_shift/n_229 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8703__5115  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_227 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_147 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8704__7482  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_151 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_143 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8705__4733  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_136 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_spi_host_spi_host_shift/g8706__6161  (
+	.A_N(\soc_top_u_spi_host_spi_host_shift/n_134 ),
+	.B(\soc_top_u_spi_host_spi_host_shift/n_180 ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_spi_host_spi_host_shift/g8707__9315  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_31 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_12 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_153 ),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.C2(\soc_top_u_spi_host_spi_host_shift/cnt[4] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_spi_host_spi_host_shift/g8708__9945  (
+	.A1(\soc_top_u_spi_host_spi_host_shift/n_29 ),
+	.A2(\soc_top_u_spi_host_spi_host_shift/n_12 ),
+	.B1(\soc_top_u_spi_host_spi_host_shift/n_141 ),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.C1(\soc_top_u_spi_host_spi_host_shift/n_21 ),
+	.C2(\soc_top_u_spi_host_spi_host_shift/cnt[2] ),
+	.Y(\soc_top_u_spi_host_spi_host_shift/n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC129_n_92  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_92 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN129_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC128_n_360  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTC127_n_1901  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5479__2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1487 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_205 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5481__5122  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_572 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5484__6131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2172 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1513 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5489__4733  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_612 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5490__6161  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_216 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5492__9945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_239 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1515 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5504__5477  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_275 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_576 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5517__1617  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2174 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_83 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5519__2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_265 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_169 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5520  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2174 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5524__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5526__6131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_265 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5527  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2169 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5530__1881  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_575 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5537__4733  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5538__6161  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_608 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5547__9945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_209 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5550  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5554__1666  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_172 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2166 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5556  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_242 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5558__6417  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_232 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1698 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5560__5477  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_195 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_204 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5561__2398  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5562__5107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_375 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_357 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5564__4319  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_172 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_207 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5565  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_229 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5568__8428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_162 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_201 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5569__5526  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_373 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_169 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5570__6783  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_208 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_357 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5571__3680  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_173 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_177 ),
+	.C(FE_DBTN130_n_49807),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5574  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_218 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5577__2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1879 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_153 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5578__1705  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_172 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_362 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5579__5122  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_134 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5580__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1768 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_152 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_116 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5582__7098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_136 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1262 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5584__1881  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_195 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_385 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5587__4733  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_163 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_403 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5589  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1532 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5590__9315  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_442 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1781 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5592__2883  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ),
+	.A2(n_38299),
+	.B1(n_47877),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5593__2346  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1263 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.B1(n_35167),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5594__1666  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_362 ),
+	.A2(n_74787),
+	.B1(n_33556),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5596__7410  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1488 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.B1(n_25025),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5597__6417  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_385 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.B1(n_69011),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5600__5107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN129_n_92 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1477 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_408 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5601__6260  (
+	.A1_N(n_69674),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_134 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_31 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_134 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5605  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1699 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5610__4319  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1488 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1843 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5611__8428  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_112 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_148 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5616__3680  (
+	.A_N(n_71352),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_92 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5624  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1881 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5628  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1520 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5636__5122  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1601 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1879 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5637__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_151 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5638__7098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1517 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5642__7482  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1106 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5646__9945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_362 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_366 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5647__2883  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1847 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_363 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5648__2346  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1054 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN129_n_92 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5649  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1610 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5650  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_120 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5654  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1847 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5660  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_130 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5666__1666  (
+	.A(n_47877),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5669__7410  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_10 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5674__6260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1875 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_25 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5677__5526  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_66 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5682__1705  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_59 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5683__5122  (
+	.A(n_25029),
+	.B(n_44202),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5685  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_79 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5708__7098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5712__5115  (
+	.A(n_40079),
+	.B(FE_DBTN130_n_49807),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5714__7482  (
+	.A(n_33556),
+	.B(n_69011),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5716__6161  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1462 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_59 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5719__2883  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_40 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_57 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5724__1666  (
+	.A(n_74856),
+	.B(n_47877),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5725__7410  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_405 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_72 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5729  (
+	.A(n_36842),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5735  (
+	.A(n_56938),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5742  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5744  (
+	.A(n_69674),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5760  (
+	.A(n_41160),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5761  (
+	.A(n_44189),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5768  (
+	.A(n_74856),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5770  (
+	.A(n_25029),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5776  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5791  (
+	.A(n_70226),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5793  (
+	.A(n_53698),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5804__2398  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5805__5107  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_552 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5806__6260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.B(n_65948),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5809__5526  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_403 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_359 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5811__3680  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_169 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5812__1617  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_148 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_136 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5814__1705  (
+	.A(n_74861),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1879 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5815__5122  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_112 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_130 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5817__8246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1519 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5818__7098  (
+	.A(n_33420),
+	.B(n_51401),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5821__1881  (
+	.A(n_74787),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5823__7482  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_408 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5828__6161  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_145 ),
+	.A2(n_51401),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_25 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5830__9315  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_66 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_136 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g3__2883  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_408 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt5834  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_386 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt4  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_153 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt5846  (
+	.A(n_71352),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt5849  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5474__5872  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_434 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_283 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g1  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_432 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_433 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g2  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5614__5873  (
+	.A(n_41155),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_572 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5878  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1604 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5585__5999  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_92 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_403 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6002  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5807__6020  (
+	.A(n_70163),
+	.B(n_41155),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5810__6021  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1906 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5532__6024  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1906 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5847__6059  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_236 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_212 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5539__6060  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_609 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_222 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6061  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_608 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5522__6062  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_609 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6116  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_659 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2169 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6117  (
+	.A(n_74877),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6220  (
+	.A(n_41551),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5670__6538  (
+	.A(n_33634),
+	.B(n_50467),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6593  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5563__6595  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_211 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1110 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5591__6596  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1108 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1222 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6597  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_161 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt6711  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5639__6712  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_125 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6757  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_357 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt15  (
+	.A(n_53274),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g41  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_173 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g42  (
+	.A(n_70226),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g43  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g70  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1462 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1608 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g72  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1262 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1263 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt75  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt74  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g79  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1500 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_311 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g80  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1498 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1499 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g81  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g82  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_368 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g78  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_320 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_350 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2173 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_349 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g86  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g93  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1508 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g97  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g87  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_219 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g88  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_240 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g89  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g92  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_187 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g22  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1517 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_755 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1519 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g26  (
+	.A(n_38299),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g23  (
+	.A(n_33556),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6969  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1527 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1531 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6970  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1607 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6972  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_386 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6973  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1966 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1528 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g46  (
+	.A(n_69011),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6975  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1538 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g67  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1262 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g6976  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1509 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN128_n_360 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g30  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1545 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1846 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g33  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_277 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_231 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g21  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_230 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g8  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_970 ),
+	.B(FE_DBTN124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt1  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1515 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7022  (
+	.A_N(n_41156),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7023  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1602 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7024  (
+	.A(n_50467),
+	.B(n_51401),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7025  (
+	.A(n_33420),
+	.B(n_33634),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7026  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1606 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7027  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.B(n_40079),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7028  (
+	.A(n_70226),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7029  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1608 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g12  (
+	.A(n_25025),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g11  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7034  (
+	.A(n_50938),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5583__7073  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_157 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1697 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5643__7074  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1695 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1696 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5711__7075  (
+	.A(n_35162),
+	.B(n_41156),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5717__7076  (
+	.A(n_74877),
+	.B(n_53698),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5619__7077  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_386 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1570 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt7081  (
+	.A(n_50467),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7151  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7163  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g39  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1824 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1826 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7208  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_552 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_553 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1703 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7209  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1825 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_227 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7210  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7226  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7229  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_181 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_237 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7230  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_25 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7233  (
+	.A_N(n_40079),
+	.B(FE_DBTN130_n_49807),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1699 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g130  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1851 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1857 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g136  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1501 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1502 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1853 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1856 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g135  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1478 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1510 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1852 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g137  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1576 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1480 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g132  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_356 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_277 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1855 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g133  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1854 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g134  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1512 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_274 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_220 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g29  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1859 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7234  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_354 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_660 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7235  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1863 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7236  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1263 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_87 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_130 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7237  (
+	.A(n_35167),
+	.B(n_65945),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7241  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1875 ),
+	.B(FE_DBTN123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt18  (
+	.A(n_74861),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g20  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1880 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_96 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7243  (
+	.A(n_74877),
+	.B(n_35162),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1893 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7247  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_281 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1892 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g27  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1891 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7248  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_153 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_610 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_251 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g56  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/FE_DBTN127_n_1901 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1904 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1906 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g60  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_245 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1111 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g57  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g58  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_226 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1864 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_186 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g61  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_572 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1905 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g62  (
+	.A(n_41165),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7257  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7258  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1919 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7259  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_377 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_290 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_322 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_317 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1567 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1860 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g83  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g85  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1827 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1548 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g84  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_309 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_435 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/fopt7263  (
+	.A(n_74787),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7264  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1926 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1659 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7304  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1966 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1967 ),
+	.C(FE_DBTN130_n_49807),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g24  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7305  (
+	.A(n_40079),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g7518  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1475 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5531__7519  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1850 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5548__7520  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2167 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2166 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5559_1__7521  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_236 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_212 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5491__7522  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_277 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_1515 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5515__7524  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_241 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_252 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5511__7525  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_214 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_262 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/g5523__7526  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_195 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_lzc/n_2174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6242  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.Y(soc_top_u_top_u_core_pc_mux_id[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6243  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6331__7410  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4426_BAR ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_63 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g6332__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6335__5107  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6336__6260  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6339__5526  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_74 ),
+	.A2(n_62456),
+	.B1(soc_top_u_top_u_core_instr_valid_id),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6340__6783  (
+	.A(soc_top_u_top_u_core_fpu_busy_idu),
+	.B(n_65048),
+	.C(soc_top_u_top_u_core_id_stage_i_stall_wb),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6282__3680  (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ),
+	.X(soc_top_u_top_u_core_instr_valid_clear), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6283__1617  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ),
+	.X(soc_top_u_top_u_core_pc_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6284__2802  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6285__1705  (
+	.A(soc_top_u_top_u_core_id_stage_i_jump_set),
+	.B(soc_top_u_top_u_core_id_stage_i_branch_set),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6286__5122  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_80 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6443__6131  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6444__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6445__5115  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_52 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6446__7482  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6447__4733  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6448__6161  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_152 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6449__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.B(soc_top_u_top_u_core_lsu_store_err),
+	.C(soc_top_u_top_u_core_lsu_load_err),
+	.X(soc_top_u_top_u_core_id_stage_i_wb_exception), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6450__9945  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6451__2883  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6452__2346  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_52 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6453__1666  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6454__7410  (
+	.A1(soc_top_u_top_u_core_debug_single_step),
+	.A2(soc_top_u_top_u_core_trigger_match),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_52 ),
+	.Y(soc_top_u_top_u_core_debug_cause[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6455__6417  (
+	.A1(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_101 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6456__5477  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6457__2398  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6458__5107  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6460__4319  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6461__8428  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q_reg  (
+	.CLK(CTS_86),
+	.D(soc_top_u_top_u_core_lsu_load_err),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/load_err_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q_reg  (
+	.CLK(CTS_86),
+	.D(soc_top_u_top_u_core_lsu_store_err),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/store_err_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6465__6783  (
+	.A_N(soc_top_u_top_u_core_priv_mode_id[1]),
+	.B(soc_top_u_top_u_core_debug_ebreaku),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6466__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6467__1617  (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.B(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6468__2802  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6469__1705  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6470__5122  (
+	.A(soc_top_u_top_u_core_priv_mode_id[1]),
+	.B(soc_top_u_top_u_core_priv_mode_id[0]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6471__8246  (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.B(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6472__7098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6473__6131  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6474__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6475  (
+	.A(soc_top_u_top_u_core_debug_ebreakm),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6342__7482  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6343__4733  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_101 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.B2(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6344__6161  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6345__9315  (
+	.A(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6346__9945  (
+	.A(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_4426_BAR ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6347__2883  (
+	.A1(soc_top_u_top_u_core_debug_single_step),
+	.A2(soc_top_u_top_u_core_instr_valid_id),
+	.B1(soc_top_u_top_u_core_trigger_match),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6348__2346  (
+	.A1(soc_top_u_top_u_core_csr_mstatus_tw),
+	.A2(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.B1(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6349__1666  (
+	.A(n_25000),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.C(soc_top_u_top_u_core_csr_mstatus_mie),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6351__6417  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6352__5477  (
+	.A(soc_top_u_top_u_core_lsu_store_err),
+	.B(soc_top_u_top_u_core_lsu_load_err),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6353__2398  (
+	.A(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.B(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6380__5107  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_82 ),
+	.B(soc_top_u_top_u_core_debug_cause[0]),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6381__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6382__4319  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_85 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6383__8428  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_72 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6384__5526  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6385__6783  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6386__3680  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6387__1617  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6388__2802  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6389  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6390__1705  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_153 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_98 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6391__5122  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6937__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_34 ),
+	.B(soc_top_u_top_u_core_exc_cause[0]),
+	.X(soc_top_u_top_u_core_exc_cause[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6938__7098  (
+	.A(soc_top_u_top_u_core_exc_cause[5]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ),
+	.X(soc_top_u_top_u_core_exc_cause[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6939__6131  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.X(soc_top_u_top_u_core_csr_mtval[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6940__1881  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.X(soc_top_u_top_u_core_csr_mtval[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6941__5115  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.X(soc_top_u_top_u_core_csr_mtval[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6942__7482  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.X(soc_top_u_top_u_core_csr_mtval[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6943__4733  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.X(soc_top_u_top_u_core_csr_mtval[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6944__6161  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.X(soc_top_u_top_u_core_csr_mtval[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6945__9315  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.X(soc_top_u_top_u_core_csr_mtval[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6946__9945  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.X(soc_top_u_top_u_core_csr_mtval[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6947__2883  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.X(soc_top_u_top_u_core_csr_mtval[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6948__2346  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.X(soc_top_u_top_u_core_csr_mtval[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6949__1666  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.X(soc_top_u_top_u_core_csr_mtval[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6950__7410  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.X(soc_top_u_top_u_core_csr_mtval[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6951__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.X(soc_top_u_top_u_core_csr_mtval[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6952__5477  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_101 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6953__2398  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.X(soc_top_u_top_u_core_csr_mtval[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6954__5107  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.X(soc_top_u_top_u_core_csr_mtval[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6955__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.X(soc_top_u_top_u_core_csr_mtval[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6956__4319  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(soc_top_u_top_u_core_csr_mtval[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6957__8428  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_82 ),
+	.B(soc_top_u_top_u_core_debug_csr_save),
+	.X(soc_top_u_top_u_core_csr_save_cause), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6958__5526  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.X(soc_top_u_top_u_core_csr_mtval[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6959__6783  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.X(soc_top_u_top_u_core_csr_mtval[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6960__3680  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.X(soc_top_u_top_u_core_csr_mtval[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6961__1617  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.X(soc_top_u_top_u_core_csr_mtval[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6962__2802  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.X(soc_top_u_top_u_core_csr_mtval[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6963__1705  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.X(soc_top_u_top_u_core_csr_mtval[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6964__5122  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(soc_top_u_top_u_core_csr_mtval[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6965__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(soc_top_u_top_u_core_csr_mtval[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6966__7098  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.X(soc_top_u_top_u_core_csr_mtval[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6967__6131  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(soc_top_u_top_u_core_csr_mtval[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6968__1881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.X(soc_top_u_top_u_core_csr_mtval[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6969__5115  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_75 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_152 ),
+	.Y(soc_top_u_top_u_core_ctrl_busy), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6970__7482  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.X(soc_top_u_top_u_core_csr_mtval[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6971__4733  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.X(soc_top_u_top_u_core_csr_mtval[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6972__6161  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.X(soc_top_u_top_u_core_csr_mtval[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6973__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.X(soc_top_u_top_u_core_csr_mtval[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6974__9945  (
+	.A1(n_25329),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ),
+	.Y(soc_top_u_top_u_core_exc_cause[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6975__2883  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_152 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ),
+	.X(soc_top_u_top_u_core_instr_req_int), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6976__2346  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ),
+	.Y(soc_top_u_top_u_core_debug_csr_save), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6977__1666  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6978__7410  (
+	.A_N(soc_top_u_top_u_core_instr_is_compressed_id),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_34 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6979__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_controller_i/n_33 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ),
+	.Y(soc_top_u_top_u_core_csr_save_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6980__5477  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6981__2398  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_34 ),
+	.B(soc_top_u_top_u_core_instr_is_compressed_id),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6982__5107  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_293 ),
+	.B(soc_top_u_top_u_core_csr_restore_mret_id),
+	.X(soc_top_u_top_u_core_pc_mux_id[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6983__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ),
+	.A2(soc_top_u_top_u_core_debug_mode),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.X(soc_top_u_top_u_core_exc_pc_mux_id[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6984__4319  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6985__8428  (
+	.A1(\soc_top_u_top_u_core_irqs[irq_timer] ),
+	.A2(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ),
+	.X(soc_top_u_top_u_core_exc_cause[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6986__5526  (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6987__6783  (
+	.A(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_85 ),
+	.C_N(soc_top_u_top_u_core_id_stage_i_dret_insn_dec),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6988__3680  (
+	.A(\soc_top_u_top_u_core_irqs[irq_external] ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ),
+	.C_N(\soc_top_u_top_u_core_irqs[irq_timer] ),
+	.Y(soc_top_u_top_u_core_exc_cause[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6989__1617  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_65 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.B1(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ),
+	.X(soc_top_u_top_u_core_pc_mux_id[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6990__2802  (
+	.A(soc_top_u_top_u_core_debug_single_step),
+	.B(soc_top_u_top_u_core_debug_mode),
+	.C(n_25000),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6991__1705  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6992__5122  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_78 ),
+	.Y(soc_top_u_top_u_core_csr_save_if), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6993__8246  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_186 ),
+	.Y(soc_top_u_top_u_core_csr_save_wb), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6995__6131  (
+	.A(soc_top_u_top_u_core_trigger_match),
+	.B(soc_top_u_top_u_core_debug_cause[0]),
+	.Y(soc_top_u_top_u_core_debug_cause[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6996__1881  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B_N(soc_top_u_top_u_core_trigger_match),
+	.Y(soc_top_u_top_u_core_debug_cause[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6997__5115  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_85 ),
+	.B_N(soc_top_u_top_u_core_id_stage_i_mret_insn_dec),
+	.Y(soc_top_u_top_u_core_csr_restore_mret_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g7000  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[2]  (
+	.CLK(CTS_85),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_300 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[0]  (
+	.CLK(CTS_85),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_301 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[1]  (
+	.CLK(CTS_85),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6642__4733  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_72 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_75 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6643__6161  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_86 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6644__9315  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs_reg[3]  (
+	.CLK(CTS_85),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/ctrl_fsm_cs[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6646__9945  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6647__2883  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6648__2346  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6649__1666  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_88 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6651__6417  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6652__5477  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_84 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6653__2398  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6656__5107  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/handle_irq ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6657__6260  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6658__4319  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_0 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_271 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6659__8428  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/ebreak_into_debug ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \soc_top_u_top_u_core_id_stage_i_controller_i/g6660__5526  (
+	.A1(n_62451),
+	.A2(soc_top_u_top_u_core_id_stage_i_wb_exception),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6661__6783  (
+	.A(soc_top_u_top_u_core_debug_cause[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_92 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6662__3680  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_95 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_83 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_94 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q_reg  (
+	.CLK(CTS_86),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/exc_req_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q_reg  (
+	.CLK(CTS_79),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_332 ),
+	.Q(\soc_top_u_top_u_core_id_stage_i_controller_i/illegal_insn_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6665__1617  (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.B(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6667__2802  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_87 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_99 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6668__1705  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_81 ),
+	.B(soc_top_u_top_u_core_debug_mode),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6670__8246  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/enter_debug_mode ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6671  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_77 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6672  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_89 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6674  (
+	.A(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_id_stage_i_controller_i/debug_mode_q_reg  (
+	.CLK(CTS_92),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_10 ),
+	.Q(soc_top_u_top_u_core_debug_mode),
+	.Q_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_44 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g6459__7010  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_93 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_controller_run), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6994__7011  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_79 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g2__7012  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_24 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_28 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_325 ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_7 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6640__7015  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_12 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_27 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_15 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_controller_i/n_325 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g49  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_96 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_97 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g7024  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_312 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g6334__7026  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_333 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g6333__7028  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_312 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_62 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_controller_i/fopt1  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_274 ),
+	.Y(soc_top_u_top_u_core_id_in_ready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g7035  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_112 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_274 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g7036  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_293 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_324 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_controller_i/n_326 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g2  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_16 ),
+	.B(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g7037  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_100 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_controller_i/n_54 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_55 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_53 ),
+	.D1(soc_top_u_top_u_core_debug_cause[0]),
+	.Y(soc_top_u_top_u_core_fp_flush), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 \soc_top_u_top_u_core_id_stage_i_controller_i/g6462__7038  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_159 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_controller_i/n_49 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g7039  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_330 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g7040  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_329 ),
+	.A2(n_62089),
+	.B1(\soc_top_u_top_u_core_id_stage_i_controller_i/n_328 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_controller_i/g6341__7041  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_47 ),
+	.B_N(soc_top_u_top_u_core_instr_valid_id),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_controller_i/g7042  (
+	.A(\soc_top_u_top_u_core_id_stage_i_controller_i/n_330 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_controller_i/n_308 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_controller_i/n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/FE_DBTC114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1  (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.Y(FE_DBTN114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12714__6131  (
+	.A(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.B(n_66115),
+	.X(soc_top_u_top_u_core_id_stage_i_jump_set_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12715__1881  (
+	.A(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.X(soc_top_u_top_data_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12716__5115  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ),
+	.Y(soc_top_u_top_u_core_fp_rf_wen_id), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12717__7482  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.Y(soc_top_u_top_u_core_id_stage_i_rf_we_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12718__4733  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(soc_top_u_top_u_core_id_stage_i_div_en_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12719__6161  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_mult_sel_ex),
+	.Y(soc_top_u_top_u_core_id_stage_i_mult_en_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12720  (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ),
+	.X(soc_top_u_top_u_core_csr_access), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12721  (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(soc_top_u_top_u_core_id_stage_i_branch_in_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12722  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ),
+	.B(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.Y(soc_top_u_top_u_core_id_stage_i_lsu_req_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12723  (
+	.A1(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.A2(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ),
+	.X(soc_top_u_top_u_core_id_stage_i_jump_in_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12724  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12725  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12726  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_514 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_531 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_537 ),
+	.D(soc_top_u_top_u_core_illegal_c_insn_id),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12727  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_179 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ),
+	.X(soc_top_u_top_u_core_fp_src_fmt[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12728  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12729  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12730  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_499 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12731  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_572 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12732  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_467 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12733  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_467 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12734  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_491 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_410 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12735  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12736  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12737  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ),
+	.A4(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ),
+	.X(soc_top_u_top_u_core_fp_alu_op_mod), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12738  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12739  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_359 ),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_478 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12740  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_156 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12741  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12742  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_490 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.Y(soc_top_u_top_u_core_fp_alu_operator[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12743  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12745  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_375 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_471 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12747  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ),
+	.A1(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.S(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12748  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_495 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12749  (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_313 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12750  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_457 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_492 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12752  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_163 ),
+	.A4(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ),
+	.X(soc_top_u_top_u_core_fp_alu_operator[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12753  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_487 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12754  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12755  (
+	.A0(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ),
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ),
+	.S(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12756  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ),
+	.X(soc_top_u_top_u_core_id_stage_i_n_609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12758  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_269 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_483 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12759  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12760  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12761  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12762  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_421 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_456 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_mv_instr), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12764  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12765  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_463 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12766  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12768  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12769  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_466 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12770  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_586 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12772  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_449 ),
+	.B1(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12774  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12775  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_281 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_250 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_434 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12776  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_371 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_235 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ),
+	.X(soc_top_u_top_u_core_alu_operator_ex[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12777  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_254 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_282 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_449 ),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12778  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_251 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12780  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_358 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12781  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_354 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12782  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_462 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_460 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12784  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_438 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12785  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.B1(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.X(soc_top_u_top_u_core_multdiv_signed_mode_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12786  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_163 ),
+	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_251 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12787  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_249 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12788  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_363 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_wfi_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12789  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_309 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.X(soc_top_u_top_u_core_csr_op[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12790  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ),
+	.A3(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_419 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12791  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12792  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12793  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.A2(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12794  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_449 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12795  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.X(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12798  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_282 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_387 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12799  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12800  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_228 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_277 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_290 ),
+	.C1(FE_DBTN114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12801  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12802  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_388 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12803  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12804  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_255 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ),
+	.C2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12805  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12807  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_435 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12808  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_420 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12809  (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_402 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12810  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12817  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ),
+	.A3(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_281 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12818  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ),
+	.Y(soc_top_u_top_u_core_lsu_type[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12819  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ),
+	.Y(soc_top_u_top_u_core_lsu_type[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12820  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_190 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12821  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12822  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12823  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_387 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12824  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_156 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_175 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_362 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12826  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_277 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_rf_ren_a), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12827  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_268 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_363 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_dret_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12828  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_270 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(soc_top_u_top_u_core_multdiv_operator_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12829  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.Y(soc_top_u_top_u_core_alu_operator_ex[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12830  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12831  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12833  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_312 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12834  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(soc_top_u_top_u_core_mult_sel_ex), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12835  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12836  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ),
+	.A2(n_66115),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12837  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12838  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12839  (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12841  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_421 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12842  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_419 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12843  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_158 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12844  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12846  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_387 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12847  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12848  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_352 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_363 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_mret_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12849  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12850  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_371 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_260 ),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12851  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_355 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_580 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12853  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_176 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12854  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_ebrk_insn), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12855  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_309 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.X(soc_top_u_top_u_core_csr_op[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12856  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_289 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12857  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12858  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12859  (
+	.A1_N(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_306 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12860  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.A2_N(soc_top_u_top_u_core_fp_rm_dynamic),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12861  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_309 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.D(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12862  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12864  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_312 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12865  (
+	.A1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.A2_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_307 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12868  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12869  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12872  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12874  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12875  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12876  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_244 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12877  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_237 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12878  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_306 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12879  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ),
+	.Y(soc_top_u_top_u_core_lsu_sign_ext), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12881  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12882  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_254 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12883  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_176 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12884  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_642 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12886  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12887  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_304 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12888  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12889  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12890  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12892  (
+	.A(n_66115),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12893  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12897  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_181 ),
+	.A3(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_198 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12898  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ),
+	.B(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12899  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12900  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_577 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_205 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_313 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12901  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_177 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_230 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_153 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12902  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12904  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_270 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.A4(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12905  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_275 ),
+	.B1(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.C1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ),
+	.D1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12906  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_263 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12907  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_315 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_163 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_181 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12908  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_249 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12909  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_642 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12910  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_178 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12911  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12912  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_175 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12913  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12914  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12915  (
+	.A1(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_255 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12916  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_272 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12917  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_260 ),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12919  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12922  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_338 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12923  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12929  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12930  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_n_1176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12931  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12932  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12933  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_249 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12935  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_158 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12936  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_268 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_289 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12937  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_272 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12938  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_260 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12939  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12941  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_277 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12942  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_274 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12943  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_273 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12944  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12945  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12946  (
+	.A(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12947  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_278 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_591 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12948  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12949  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_577 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12951  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_603 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12952  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_307 ),
+	.Y(soc_top_u_top_u_core_fp_load), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12953  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12954  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_304 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12955  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12956  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_581 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12957  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_177 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12959  (
+	.A1(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.A3(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12960  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.A3(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ),
+	.B2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12961  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_232 ),
+	.C(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.D(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12962  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_191 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12963  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12964  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_244 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.X(soc_top_u_top_u_core_id_stage_i_rf_ren_b), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12965  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_180 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12966  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.C(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12967  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_577 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12968  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_268 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12969  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_176 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_263 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12970  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12971  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_231 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12972  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_274 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12974  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12975  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_266 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_218 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12978  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_278 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12979  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_275 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12980  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_273 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12981  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12982  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_270 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12983  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_266 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12984  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_263 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12985  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12987  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12988  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12989  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_580 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12990  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.X(soc_top_u_top_u_core_fp_rm_dynamic), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12992  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12993  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_580 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12994  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12995  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_152 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_156 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12996  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_175 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12997  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_205 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12998  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g12999  (
+	.A(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13000  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13001  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_218 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13002  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_178 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13003  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.B(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13004  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13005  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_165 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13006  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13007  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13008  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ),
+	.B(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13011  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_251 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13012  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_603 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13015  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13020  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13022  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13025  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_180 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_179 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13026  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13027  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13028  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13029  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.A2(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13030  (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13031  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.C_N(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13032  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ),
+	.B(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13033  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13037  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13038  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_178 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13040  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_205 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13041  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13042  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13053  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13055  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13057  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_198 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13058  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13059  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13063  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13064  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13065  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13066  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13068  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_142 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13069  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13070  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_142 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13071  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13074  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13076  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13077  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13079  (
+	.A(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13081  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13082  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13083  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_165 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13084  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13085  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13086  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13087  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13088  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13089  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13090  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13091  (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B_N(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13092  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13093  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13094  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13095  (
+	.A(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13096  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13097  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13098  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13099  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13100  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13101  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13102  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13103  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13104  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13105  (
+	.A(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13106  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13107  (
+	.A(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13108  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13109  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13110  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13111  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13112  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ),
+	.B(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13113  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13122  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13123  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13124  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13139  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13174  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13175  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13193  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13205  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13238  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13241  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13265  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g2  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_318 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_382 ),
+	.B1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_459 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13269  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_195 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_91 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_0 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13270  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_581 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13272  (
+	.A(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B_N(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13273  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13274  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13275  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_61 ),
+	.B(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13276  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13277  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_586 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_597 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_412 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13279  (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_378 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13280  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_395 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_345 ),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_335 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13281  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_310 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_327 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13282  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_267 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_591 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13284  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_583 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13287  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_414 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_466 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_475 ),
+	.D_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13288  (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.C_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_420 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13289  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_403 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_376 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ),
+	.Y(soc_top_u_top_u_core_use_fp_rs2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13290  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_321 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_304 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_307 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_338 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13291  (
+	.A1(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_244 ),
+	.A2(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_581 ),
+	.B1_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_324 ),
+	.X(soc_top_u_top_u_core_is_fp_instr), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13292  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ),
+	.B_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_90 ),
+	.D(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13293  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_216 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_143 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_579 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_196 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13294  (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.D(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13295  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_206 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_126 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_133 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13296  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_220 ),
+	.B(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.C(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13297  (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_584 ),
+	.C(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_465 ),
+	.D(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_597 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g16  (
+	.A(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ),
+	.Y(soc_top_u_top_u_core_multdiv_operator_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_id_stage_i_decoder_i/g17  (
+	.A(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_642 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_599 ),
+	.Y(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13323  (
+	.A_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_347 ),
+	.Y(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_id_stage_i_decoder_i/g13327  (
+	.A_N(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_283 ),
+	.B(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_239 ),
+	.X(\soc_top_u_top_u_core_id_stage_i_decoder_i/n_642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_137 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_148 ),
+	.SCE(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_170 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[0]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_144 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_143 ),
+	.SCE(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[1]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_168 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[2]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_166 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[3]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_163 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[4]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_164 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[5]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_165 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[6]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_162 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[7]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_161 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[8]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_171 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[10]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_177 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[11]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_180 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[12]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_183 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[13]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_186 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[14]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_190 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_32 ),
+	.Q(soc_top_rx_byte_i[0]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_29 ),
+	.Q(soc_top_rx_byte_i[1]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_28 ),
+	.Q(soc_top_rx_byte_i[2]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[3]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_34 ),
+	.Q(soc_top_rx_byte_i[3]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[4]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[4]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_31 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[5]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[5]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_27 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[6]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.Q(soc_top_rx_byte_i[6]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/n_33 ),
+	.SCE(\soc_top_u_uart_rx_prog/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_Byte_reg[7]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_30 ),
+	.Q(soc_top_rx_byte_i[7]),
+	.RESET_B(wb_rst_i),
+	.SCD(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_rx_prog/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_Rx_DV_reg  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_211 ),
+	.Q(soc_top_rx_dv_i),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_rx_prog/r_Rx_Data_R_reg  (
+	.CLK(CTS_142),
+	.D(\soc_top_u_uart_rx_prog/n_14 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Rx_Data_R ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_rx_prog/r_Rx_Data_reg  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_35 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Rx_Data ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[0]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_167 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[1]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_157 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_rx_prog/r_SM_Main_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_140 ),
+	.Q(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6819  (
+	.A1(\soc_top_u_uart_rx_prog/n_189 ),
+	.A2(\soc_top_u_uart_rx_prog/n_144 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.X(\soc_top_u_uart_rx_prog/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6821  (
+	.A1(\soc_top_u_uart_rx_prog/n_188 ),
+	.A2(\soc_top_u_uart_rx_prog/n_144 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_rx_prog/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g6822  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_rx_prog/n_187 ),
+	.X(\soc_top_u_uart_rx_prog/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6823  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_rx_prog/n_184 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_187 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6825  (
+	.A1(\soc_top_u_uart_rx_prog/n_185 ),
+	.A2(\soc_top_u_uart_rx_prog/n_144 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.X(\soc_top_u_uart_rx_prog/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6826  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_rx_prog/n_181 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_184 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6828  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_182 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_rx_prog/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6829  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_rx_prog/n_178 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_181 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6831  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_179 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.X(\soc_top_u_uart_rx_prog/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6832  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_rx_prog/n_175 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_178 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6834  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_176 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_rx_prog/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6835  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_rx_prog/n_172 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_175 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6837  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_173 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_rx_prog/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6838  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_rx_prog/n_159 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_172 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6856  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_160 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_rx_prog/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_rx_prog/g6857  (
+	.A1_N(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.A2_N(\soc_top_u_uart_rx_prog/n_158 ),
+	.B1(\soc_top_u_uart_rx_prog/n_16 ),
+	.B2(\soc_top_u_uart_rx_prog/n_136 ),
+	.Y(\soc_top_u_uart_rx_prog/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_rx_prog/g6858  (
+	.A1(\soc_top_u_uart_rx_prog/n_153 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B1(\soc_top_u_uart_rx_prog/n_154 ),
+	.X(\soc_top_u_uart_rx_prog/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6863  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_37 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_rx_prog/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_uart_rx_prog/g6864  (
+	.A(\soc_top_u_uart_rx_prog/n_138 ),
+	.B(\soc_top_u_uart_rx_prog/n_142 ),
+	.C(\soc_top_u_uart_rx_prog/n_145 ),
+	.X(\soc_top_u_uart_rx_prog/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6865  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_47 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_rx_prog/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6867  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_120 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.X(\soc_top_u_uart_rx_prog/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6868  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_94 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.X(\soc_top_u_uart_rx_prog/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6869  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_65 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.X(\soc_top_u_uart_rx_prog/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6870  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_130 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.X(\soc_top_u_uart_rx_prog/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_rx_prog/g6871  (
+	.A1(\soc_top_u_uart_rx_prog/n_144 ),
+	.A2(\soc_top_u_uart_rx_prog/n_134 ),
+	.B1(\soc_top_u_uart_rx_prog/n_143 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.X(\soc_top_u_uart_rx_prog/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6872  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_rx_prog/n_133 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_159 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6873  (
+	.A1(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.A2(\soc_top_u_uart_rx_prog/n_21 ),
+	.B1(\soc_top_u_uart_rx_prog/n_147 ),
+	.Y(\soc_top_u_uart_rx_prog/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_rx_prog/g6874  (
+	.A1(\soc_top_u_uart_rx_prog/n_132 ),
+	.A2(\soc_top_u_uart_rx_prog/n_43 ),
+	.B1(\soc_top_u_uart_rx_prog/n_20 ),
+	.C1(\soc_top_u_uart_rx_prog/n_138 ),
+	.X(\soc_top_u_uart_rx_prog/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_rx_prog/g6875  (
+	.A(\soc_top_u_uart_rx_prog/n_147 ),
+	.B(\soc_top_u_uart_rx_prog/n_20 ),
+	.C(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.D(\soc_top_u_uart_rx_prog/n_13 ),
+	.Y(\soc_top_u_uart_rx_prog/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_rx_prog/g6876  (
+	.A(\soc_top_u_uart_rx_prog/n_3 ),
+	.B(\soc_top_u_uart_rx_prog/n_16 ),
+	.C(\soc_top_u_uart_rx_prog/n_21 ),
+	.D(\soc_top_u_uart_rx_prog/n_148 ),
+	.X(\soc_top_u_uart_rx_prog/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_rx_prog/g6878  (
+	.A1(\soc_top_u_uart_rx_prog/n_20 ),
+	.A2(\soc_top_u_uart_rx_prog/n_10 ),
+	.B1(\soc_top_u_uart_rx_prog/n_148 ),
+	.X(\soc_top_u_uart_rx_prog/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_uart_rx_prog/g6880  (
+	.A(\soc_top_u_uart_rx_prog/n_147 ),
+	.B(\soc_top_u_uart_rx_prog/n_20 ),
+	.C(\soc_top_u_uart_rx_prog/n_13 ),
+	.D(\soc_top_u_uart_rx_prog/n_3 ),
+	.X(\soc_top_u_uart_rx_prog/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6881  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_16 ),
+	.C(\soc_top_u_uart_rx_prog/n_21 ),
+	.D(\soc_top_u_uart_rx_prog/n_148 ),
+	.Y(\soc_top_u_uart_rx_prog/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6882  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_17 ),
+	.C(\soc_top_u_uart_rx_prog/n_21 ),
+	.D(\soc_top_u_uart_rx_prog/n_148 ),
+	.Y(\soc_top_u_uart_rx_prog/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6883  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_10 ),
+	.C(\soc_top_u_uart_rx_prog/n_21 ),
+	.D(\soc_top_u_uart_rx_prog/n_148 ),
+	.Y(\soc_top_u_uart_rx_prog/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g6884  (
+	.A(\soc_top_u_uart_rx_prog/n_148 ),
+	.Y(\soc_top_u_uart_rx_prog/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6887  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B(\soc_top_u_uart_rx_prog/n_137 ),
+	.Y(\soc_top_u_uart_rx_prog/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6888  (
+	.A1(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.A2(\soc_top_u_uart_rx_prog/n_24 ),
+	.B1(\soc_top_u_uart_rx_prog/n_139 ),
+	.Y(\soc_top_u_uart_rx_prog/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_rx_prog/g6889  (
+	.A(\soc_top_u_uart_rx_prog/n_3 ),
+	.B(\soc_top_u_uart_rx_prog/n_10 ),
+	.C(\soc_top_u_uart_rx_prog/n_136 ),
+	.Y(\soc_top_u_uart_rx_prog/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \soc_top_u_uart_rx_prog/g6890  (
+	.A1(\soc_top_u_uart_rx_prog/n_135 ),
+	.A2(\soc_top_u_uart_rx_prog/n_38 ),
+	.B1_N(\soc_top_u_uart_rx_prog/n_139 ),
+	.X(\soc_top_u_uart_rx_prog/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 \soc_top_u_uart_rx_prog/g6891  (
+	.A_N(\soc_top_u_uart_rx_prog/n_43 ),
+	.B_N(\soc_top_u_uart_rx_prog/n_38 ),
+	.C(\soc_top_u_uart_rx_prog/n_139 ),
+	.D(\soc_top_u_uart_rx_prog/n_24 ),
+	.X(\soc_top_u_uart_rx_prog/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6893  (
+	.A(\soc_top_u_uart_rx_prog/n_26 ),
+	.B(\soc_top_u_uart_rx_prog/n_135 ),
+	.Y(\soc_top_u_uart_rx_prog/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g6894  (
+	.A_N(\soc_top_u_uart_rx_prog/n_132 ),
+	.B(\soc_top_u_uart_rx_prog/n_23 ),
+	.Y(\soc_top_u_uart_rx_prog/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6895  (
+	.A(\soc_top_u_uart_rx_prog/n_137 ),
+	.Y(\soc_top_u_uart_rx_prog/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6896  (
+	.A(\soc_top_u_uart_rx_prog/n_26 ),
+	.B_N(\soc_top_u_uart_rx_prog/n_135 ),
+	.Y(\soc_top_u_uart_rx_prog/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6897  (
+	.A(\soc_top_u_uart_rx_prog/n_21 ),
+	.B(\soc_top_u_uart_rx_prog/n_135 ),
+	.Y(\soc_top_u_uart_rx_prog/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6898  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_rx_prog/n_129 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_133 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6899  (
+	.A1(\soc_top_u_uart_rx_prog/n_131 ),
+	.A2(\soc_top_u_uart_rx_prog/n_127 ),
+	.B1(\soc_top_u_uart_rx_prog/n_96 ),
+	.Y(\soc_top_u_uart_rx_prog/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_uart_rx_prog/g6900  (
+	.A(\soc_top_u_uart_rx_prog/n_128 ),
+	.B(\soc_top_u_uart_rx_prog/n_123 ),
+	.C(\soc_top_u_uart_rx_prog/n_122 ),
+	.D(\soc_top_u_uart_rx_prog/n_110 ),
+	.X(\soc_top_u_uart_rx_prog/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_rx_prog/g6901  (
+	.A1(\soc_top_u_uart_rx_prog/n_121 ),
+	.A2(\soc_top_u_uart_rx_prog/n_124 ),
+	.B1(\soc_top_u_uart_rx_prog/n_106 ),
+	.B2(\soc_top_u_uart_rx_prog/n_114 ),
+	.C1(\soc_top_u_uart_rx_prog/n_125 ),
+	.X(\soc_top_u_uart_rx_prog/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6902  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_rx_prog/n_119 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_129 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6903  (
+	.A1(\soc_top_u_uart_rx_prog/n_106 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.B1(\soc_top_u_uart_rx_prog/n_126 ),
+	.Y(\soc_top_u_uart_rx_prog/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 \soc_top_u_uart_rx_prog/g6904  (
+	.A(\soc_top_u_uart_rx_prog/n_101 ),
+	.B(\soc_top_u_uart_rx_prog/n_111 ),
+	.C_N(\soc_top_u_uart_rx_prog/n_124 ),
+	.D_N(\soc_top_u_uart_rx_prog/n_118 ),
+	.Y(\soc_top_u_uart_rx_prog/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_rx_prog/g6905  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_rx_prog/n_106 ),
+	.B1(\soc_top_u_uart_rx_prog/n_96 ),
+	.C1(\soc_top_u_uart_rx_prog/n_102 ),
+	.D1(\soc_top_u_uart_rx_prog/n_117 ),
+	.Y(\soc_top_u_uart_rx_prog/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_uart_rx_prog/g6906  (
+	.A1(\soc_top_u_uart_rx_prog/n_116 ),
+	.A2(\soc_top_u_uart_rx_prog/n_104 ),
+	.A3(\soc_top_u_uart_rx_prog/n_112 ),
+	.B1(\soc_top_u_uart_rx_prog/n_4 ),
+	.B2(\soc_top_u_uart_rx_prog/n_108 ),
+	.Y(\soc_top_u_uart_rx_prog/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6907  (
+	.A(\soc_top_u_uart_rx_prog/n_98 ),
+	.B(\soc_top_u_uart_rx_prog/n_91 ),
+	.C(\soc_top_u_uart_rx_prog/n_99 ),
+	.D(\soc_top_u_uart_rx_prog/n_109 ),
+	.Y(\soc_top_u_uart_rx_prog/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6908  (
+	.A(\soc_top_u_uart_rx_prog/n_115 ),
+	.B(\soc_top_u_uart_rx_prog/n_116 ),
+	.Y(\soc_top_u_uart_rx_prog/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_rx_prog/g6909  (
+	.A1(\soc_top_u_uart_rx_prog/n_212 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.C1(\soc_top_u_uart_rx_prog/n_113 ),
+	.Y(\soc_top_u_uart_rx_prog/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6910  (
+	.A1(\soc_top_u_uart_rx_prog/n_111 ),
+	.A2(\soc_top_u_uart_rx_prog/n_100 ),
+	.B1(\soc_top_u_uart_rx_prog/n_92 ),
+	.B2(\soc_top_u_uart_rx_prog/n_103 ),
+	.Y(\soc_top_u_uart_rx_prog/n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6911  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_rx_prog/n_93 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_119 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_rx_prog/g6912  (
+	.A1(\soc_top_u_uart_rx_prog/n_86 ),
+	.A2(\soc_top_u_uart_rx_prog/n_97 ),
+	.B1(\soc_top_u_uart_rx_prog/n_76 ),
+	.B2(\soc_top_u_uart_rx_prog/n_87 ),
+	.C1(\soc_top_u_uart_rx_prog/n_105 ),
+	.Y(\soc_top_u_uart_rx_prog/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6913  (
+	.A(\soc_top_u_uart_rx_prog/n_83 ),
+	.B(\soc_top_u_uart_rx_prog/n_84 ),
+	.C(\soc_top_u_uart_rx_prog/n_74 ),
+	.D(\soc_top_u_uart_rx_prog/n_90 ),
+	.Y(\soc_top_u_uart_rx_prog/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6914  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_rx_prog/n_212 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B2(\soc_top_u_uart_rx_prog/n_78 ),
+	.Y(\soc_top_u_uart_rx_prog/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6915  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_rx_prog/n_85 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.B2(\soc_top_u_uart_rx_prog/n_106 ),
+	.Y(\soc_top_u_uart_rx_prog/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6916  (
+	.A(\soc_top_u_uart_rx_prog/n_108 ),
+	.B(\soc_top_u_uart_rx_prog/n_4 ),
+	.Y(\soc_top_u_uart_rx_prog/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g6917  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_rx_prog/n_212 ),
+	.Y(\soc_top_u_uart_rx_prog/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_rx_prog/g6918  (
+	.A1(\soc_top_u_uart_rx_prog/n_78 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.A3(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B1(\soc_top_u_uart_rx_prog/n_212 ),
+	.Y(\soc_top_u_uart_rx_prog/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g6919  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_rx_prog/n_85 ),
+	.X(\soc_top_u_uart_rx_prog/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6920  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_rx_prog/n_95 ),
+	.Y(\soc_top_u_uart_rx_prog/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6921  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.A2(\soc_top_u_uart_rx_prog/n_95 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B2(\soc_top_u_uart_rx_prog/n_81 ),
+	.Y(\soc_top_u_uart_rx_prog/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_rx_prog/g6922  (
+	.A1(\soc_top_u_uart_rx_prog/n_56 ),
+	.A2(\soc_top_u_uart_rx_prog/n_73 ),
+	.B1(\soc_top_u_uart_rx_prog/n_72 ),
+	.C1(\soc_top_u_uart_rx_prog/n_97 ),
+	.X(\soc_top_u_uart_rx_prog/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6923  (
+	.A1(\soc_top_u_uart_rx_prog/n_78 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[12] ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[13] ),
+	.Y(\soc_top_u_uart_rx_prog/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6924  (
+	.A(\soc_top_u_uart_rx_prog/n_85 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[14] ),
+	.Y(\soc_top_u_uart_rx_prog/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6926  (
+	.A1(la_data_in[14]),
+	.A2(\soc_top_u_uart_rx_prog/n_62 ),
+	.B1(la_data_in[15]),
+	.Y(\soc_top_u_uart_rx_prog/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_rx_prog/g6927  (
+	.A1(\soc_top_u_uart_rx_prog/n_81 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.A3(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B1(\soc_top_u_uart_rx_prog/n_95 ),
+	.Y(\soc_top_u_uart_rx_prog/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_rx_prog/g6928  (
+	.A(\soc_top_u_uart_rx_prog/n_41 ),
+	.B(\soc_top_u_uart_rx_prog/n_61 ),
+	.C(\soc_top_u_uart_rx_prog/n_54 ),
+	.D(\soc_top_u_uart_rx_prog/n_71 ),
+	.Y(\soc_top_u_uart_rx_prog/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6929  (
+	.A1(\soc_top_u_uart_rx_prog/n_89 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.B1(\soc_top_u_uart_rx_prog/n_70 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.Y(\soc_top_u_uart_rx_prog/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g6930  (
+	.A(\soc_top_u_uart_rx_prog/n_88 ),
+	.B(\soc_top_u_uart_rx_prog/n_75 ),
+	.C(\soc_top_u_uart_rx_prog/n_0 ),
+	.X(\soc_top_u_uart_rx_prog/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6931  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_rx_prog/n_78 ),
+	.Y(\soc_top_u_uart_rx_prog/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6932  (
+	.A(\soc_top_u_uart_rx_prog/n_89 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.Y(\soc_top_u_uart_rx_prog/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6933  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_rx_prog/n_64 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_93 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_rx_prog/g6934  (
+	.A1(\soc_top_u_uart_rx_prog/n_79 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.B1_N(\soc_top_u_uart_rx_prog/n_82 ),
+	.Y(\soc_top_u_uart_rx_prog/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_rx_prog/g6935  (
+	.A(la_data_in[14]),
+	.B(la_data_in[15]),
+	.C(la_data_in[13]),
+	.D(\soc_top_u_uart_rx_prog/n_68 ),
+	.X(\soc_top_u_uart_rx_prog/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6936  (
+	.A1(\soc_top_u_uart_rx_prog/n_80 ),
+	.A2(la_data_in[11]),
+	.B1(\soc_top_u_uart_rx_prog/n_55 ),
+	.Y(\soc_top_u_uart_rx_prog/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6937  (
+	.A1(\soc_top_u_uart_rx_prog/n_81 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[11] ),
+	.Y(\soc_top_u_uart_rx_prog/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6938  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_rx_prog/n_81 ),
+	.Y(\soc_top_u_uart_rx_prog/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6939  (
+	.A(\soc_top_u_uart_rx_prog/n_79 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_rx_prog/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_rx_prog/g6940  (
+	.A(\soc_top_u_uart_rx_prog/n_89 ),
+	.Y(\soc_top_u_uart_rx_prog/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_rx_prog/g6941  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.A3(\soc_top_u_uart_rx_prog/n_60 ),
+	.B1(\soc_top_u_uart_rx_prog/n_79 ),
+	.Y(\soc_top_u_uart_rx_prog/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_rx_prog/g6942  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.A2(\soc_top_u_uart_rx_prog/n_67 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.B2(\soc_top_u_uart_rx_prog/n_53 ),
+	.Y(\soc_top_u_uart_rx_prog/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6943  (
+	.A1(\soc_top_u_uart_rx_prog/n_69 ),
+	.A2(la_data_in[9]),
+	.B1(\soc_top_u_uart_rx_prog/n_50 ),
+	.Y(\soc_top_u_uart_rx_prog/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6944  (
+	.A(\soc_top_u_uart_rx_prog/n_67 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.Y(\soc_top_u_uart_rx_prog/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6945  (
+	.A(\soc_top_u_uart_rx_prog/n_70 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.Y(\soc_top_u_uart_rx_prog/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6946  (
+	.A1(\soc_top_u_uart_rx_prog/n_60 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.B1(\soc_top_u_uart_rx_prog/n_67 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_rx_prog/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_rx_prog/g6947  (
+	.A(la_data_in[14]),
+	.B(\soc_top_u_uart_rx_prog/n_62 ),
+	.X(\soc_top_u_uart_rx_prog/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6948  (
+	.A(\soc_top_u_uart_rx_prog/n_77 ),
+	.Y(\soc_top_u_uart_rx_prog/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6949  (
+	.A(\soc_top_u_uart_rx_prog/n_7 ),
+	.B(\soc_top_u_uart_rx_prog/n_50 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_77 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6950  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[6] ),
+	.A2(\soc_top_u_uart_rx_prog/n_60 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[7] ),
+	.Y(\soc_top_u_uart_rx_prog/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6951  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_rx_prog/n_70 ),
+	.Y(\soc_top_u_uart_rx_prog/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6952  (
+	.A1(\soc_top_u_uart_rx_prog/n_59 ),
+	.A2(la_data_in[7]),
+	.B1(\soc_top_u_uart_rx_prog/n_44 ),
+	.Y(\soc_top_u_uart_rx_prog/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_uart_rx_prog/g6953  (
+	.A1(\soc_top_u_uart_rx_prog/n_1 ),
+	.A2(\soc_top_u_uart_rx_prog/n_55 ),
+	.B1(\soc_top_u_uart_rx_prog/n_68 ),
+	.X(\soc_top_u_uart_rx_prog/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6954  (
+	.A(\soc_top_u_uart_rx_prog/n_60 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_rx_prog/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_rx_prog/g6955  (
+	.A1(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.A2(\soc_top_u_uart_rx_prog/n_58 ),
+	.B1(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.B2(\soc_top_u_uart_rx_prog/n_49 ),
+	.Y(\soc_top_u_uart_rx_prog/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_rx_prog/g6956  (
+	.A1(\soc_top_u_uart_rx_prog/n_53 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[4] ),
+	.B1(\soc_top_u_uart_rx_prog/n_58 ),
+	.B2(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.Y(\soc_top_u_uart_rx_prog/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6957  (
+	.A(\soc_top_u_uart_rx_prog/n_58 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6958  (
+	.A(\soc_top_u_uart_rx_prog/n_66 ),
+	.Y(\soc_top_u_uart_rx_prog/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6959  (
+	.A(\soc_top_u_uart_rx_prog/n_2 ),
+	.B(\soc_top_u_uart_rx_prog/n_44 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_66 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6960  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_rx_prog/n_46 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_64 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6961  (
+	.A(\soc_top_u_uart_rx_prog/n_55 ),
+	.B(\soc_top_u_uart_rx_prog/n_1 ),
+	.Y(\soc_top_u_uart_rx_prog/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6962  (
+	.A1(\soc_top_u_uart_rx_prog/n_52 ),
+	.A2(la_data_in[5]),
+	.B1(\soc_top_u_uart_rx_prog/n_42 ),
+	.Y(\soc_top_u_uart_rx_prog/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6964  (
+	.A(\soc_top_u_uart_rx_prog/n_53 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[3] ),
+	.Y(\soc_top_u_uart_rx_prog/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_rx_prog/g6965  (
+	.A_N(la_data_in[13]),
+	.B(\soc_top_u_uart_rx_prog/n_55 ),
+	.C(\soc_top_u_uart_rx_prog/n_1 ),
+	.Y(\soc_top_u_uart_rx_prog/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6966  (
+	.A(\soc_top_u_uart_rx_prog/n_57 ),
+	.Y(\soc_top_u_uart_rx_prog/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6967  (
+	.A(\soc_top_u_uart_rx_prog/n_6 ),
+	.B(\soc_top_u_uart_rx_prog/n_42 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_57 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g6968  (
+	.A1(\soc_top_u_uart_rx_prog/n_49 ),
+	.A2(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.B1(\soc_top_u_uart_rx_prog/n_40 ),
+	.Y(\soc_top_u_uart_rx_prog/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g6969  (
+	.A1(\soc_top_u_uart_rx_prog/n_48 ),
+	.A2(la_data_in[3]),
+	.B1(\soc_top_u_uart_rx_prog/n_39 ),
+	.Y(\soc_top_u_uart_rx_prog/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6970  (
+	.A(\soc_top_u_uart_rx_prog/n_49 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6971  (
+	.A_N(la_data_in[11]),
+	.B(\soc_top_u_uart_rx_prog/n_50 ),
+	.C(\soc_top_u_uart_rx_prog/n_7 ),
+	.X(\soc_top_u_uart_rx_prog/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6972  (
+	.A(\soc_top_u_uart_rx_prog/n_51 ),
+	.Y(\soc_top_u_uart_rx_prog/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6973  (
+	.A(\soc_top_u_uart_rx_prog/n_8 ),
+	.B(\soc_top_u_uart_rx_prog/n_39 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_51 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6974  (
+	.A_N(la_data_in[9]),
+	.B(\soc_top_u_uart_rx_prog/n_44 ),
+	.C(\soc_top_u_uart_rx_prog/n_2 ),
+	.X(\soc_top_u_uart_rx_prog/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6975  (
+	.A(\soc_top_u_uart_rx_prog/n_45 ),
+	.Y(\soc_top_u_uart_rx_prog/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6976  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_rx_prog/n_36 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_46 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6977  (
+	.A(\soc_top_u_uart_rx_prog/n_5 ),
+	.B(\soc_top_u_uart_rx_prog/n_15 ),
+	.COUT(\soc_top_u_uart_rx_prog/n_45 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6978  (
+	.A_N(la_data_in[7]),
+	.B(\soc_top_u_uart_rx_prog/n_42 ),
+	.C(\soc_top_u_uart_rx_prog/n_6 ),
+	.X(\soc_top_u_uart_rx_prog/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6979  (
+	.A(\soc_top_u_uart_rx_prog/r_Rx_Data ),
+	.B_N(\soc_top_u_uart_rx_prog/n_23 ),
+	.Y(\soc_top_u_uart_rx_prog/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6980  (
+	.A_N(la_data_in[5]),
+	.B(\soc_top_u_uart_rx_prog/n_39 ),
+	.C(\soc_top_u_uart_rx_prog/n_8 ),
+	.X(\soc_top_u_uart_rx_prog/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_rx_prog/g6981  (
+	.A(\soc_top_u_uart_rx_prog/n_22 ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_rx_prog/g6982  (
+	.A(\soc_top_u_uart_rx_prog/n_22 ),
+	.B(\soc_top_u_uart_rx_prog/n_9 ),
+	.C(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_rx_prog/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_rx_prog/g6984  (
+	.A_N(la_data_in[3]),
+	.B(\soc_top_u_uart_rx_prog/n_15 ),
+	.C(\soc_top_u_uart_rx_prog/n_5 ),
+	.X(\soc_top_u_uart_rx_prog/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_rx_prog/g6985  (
+	.A(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[1] ),
+	.COUT(\soc_top_u_uart_rx_prog/n_36 ),
+	.SUM(\soc_top_u_uart_rx_prog/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g6986  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Rx_Data_R ),
+	.B(wb_rst_i),
+	.Y(\soc_top_u_uart_rx_prog/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6987  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[3]),
+	.Y(\soc_top_u_uart_rx_prog/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6988  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[6]),
+	.Y(\soc_top_u_uart_rx_prog/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6989  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[0]),
+	.Y(\soc_top_u_uart_rx_prog/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6990  (
+	.A(\soc_top_u_uart_rx_prog/n_21 ),
+	.B(\soc_top_u_uart_rx_prog/n_26 ),
+	.Y(\soc_top_u_uart_rx_prog/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6991  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[4]),
+	.Y(\soc_top_u_uart_rx_prog/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6992  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[7]),
+	.Y(\soc_top_u_uart_rx_prog/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6993  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[1]),
+	.Y(\soc_top_u_uart_rx_prog/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6994  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[2]),
+	.Y(\soc_top_u_uart_rx_prog/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g6995  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.B_N(soc_top_rx_byte_i[5]),
+	.Y(\soc_top_u_uart_rx_prog/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g6996  (
+	.A(\soc_top_u_uart_rx_prog/n_25 ),
+	.Y(\soc_top_u_uart_rx_prog/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g6998  (
+	.A(\soc_top_u_uart_rx_prog/n_11 ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_rx_prog/g6999  (
+	.A_N(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.B(\soc_top_u_uart_rx_prog/n_12 ),
+	.X(\soc_top_u_uart_rx_prog/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_rx_prog/g7000  (
+	.A(\soc_top_u_uart_rx_prog/n_21 ),
+	.Y(\soc_top_u_uart_rx_prog/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_rx_prog/g7001  (
+	.A1(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.A2(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.B1(soc_top_rx_dv_i),
+	.Y(\soc_top_u_uart_rx_prog/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7002  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.B_N(\soc_top_u_uart_rx_prog/n_11 ),
+	.Y(\soc_top_u_uart_rx_prog/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_rx_prog/g7003  (
+	.A1(la_data_in[1]),
+	.A2(la_data_in[0]),
+	.B1(\soc_top_u_uart_rx_prog/n_15 ),
+	.Y(\soc_top_u_uart_rx_prog/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g7004  (
+	.A(\soc_top_u_uart_rx_prog/n_12 ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7006  (
+	.A_N(io_in[5]),
+	.B(wb_rst_i),
+	.Y(\soc_top_u_uart_rx_prog/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7007  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g7008  (
+	.A_N(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7009  (
+	.A(la_data_in[0]),
+	.B(la_data_in[1]),
+	.Y(\soc_top_u_uart_rx_prog/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7010  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_rx_prog/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_rx_prog/g7011  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_rx_prog/g7012  (
+	.A(la_data_in[0]),
+	.B(\soc_top_u_uart_rx_prog/r_Clock_Count[0] ),
+	.X(\soc_top_u_uart_rx_prog/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_rx_prog/g7013  (
+	.A(\soc_top_u_uart_rx_prog/r_SM_Main[2] ),
+	.B_N(\soc_top_u_uart_rx_prog/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_rx_prog/g7014  (
+	.A(\soc_top_u_uart_rx_prog/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_rx_prog/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_rx_prog/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7015  (
+	.A(la_data_in[4]),
+	.Y(\soc_top_u_uart_rx_prog/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7016  (
+	.A(la_data_in[10]),
+	.Y(\soc_top_u_uart_rx_prog/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7017  (
+	.A(la_data_in[6]),
+	.Y(\soc_top_u_uart_rx_prog/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7018  (
+	.A(la_data_in[2]),
+	.Y(\soc_top_u_uart_rx_prog/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_rx_prog/g7021  (
+	.A(la_data_in[8]),
+	.Y(\soc_top_u_uart_rx_prog/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_rx_prog/g7022  (
+	.A(la_data_in[12]),
+	.Y(\soc_top_u_uart_rx_prog/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[15]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_191 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[15] ),
+	.Q_N(\soc_top_u_uart_rx_prog/n_4 ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog/r_Bit_Index_reg[2]  (
+	.CLK(CTS_129),
+	.D(\soc_top_u_uart_rx_prog/n_169 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.Q_N(\soc_top_u_uart_rx_prog/n_3 ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_rx_prog/r_Clock_Count_reg[9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_uart_rx_prog/n_174 ),
+	.Q(\soc_top_u_uart_rx_prog/r_Clock_Count[9] ),
+	.Q_N(\soc_top_u_uart_rx_prog/n_0 ),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_rx_prog/g2  (
+	.A_N(\soc_top_u_uart_rx_prog/n_140 ),
+	.B(\soc_top_u_uart_rx_prog/n_19 ),
+	.Y(\soc_top_u_uart_rx_prog/n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_rx_prog/g7030  (
+	.A1(\soc_top_u_uart_rx_prog/n_68 ),
+	.A2(la_data_in[13]),
+	.B1_N(\soc_top_u_uart_rx_prog/n_62 ),
+	.Y(\soc_top_u_uart_rx_prog/n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_uart_rx_prog/g7031  (
+	.A_N(\soc_top_u_uart_rx_prog/n_17 ),
+	.B(\soc_top_u_uart_rx_prog/n_147 ),
+	.C(\soc_top_u_uart_rx_prog/n_20 ),
+	.D(\soc_top_u_uart_rx_prog/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_rx_prog/n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_reg  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_198 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g3640  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R_reg  (
+	.CLK(CTS_57),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_197 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data_R ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g3642  (
+	.A_N(soc_top_u_uart_u_uart_core_n_139),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[0]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_138 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_162 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index_reg[2]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_171 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[0]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_169 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_165 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_164 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[4]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_163 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_166 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_161 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_159 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[9]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_180 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[10]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_183 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[11]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_186 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[12]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_191 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[13]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_190 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_218 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[0]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_176 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Q(soc_top_u_uart_u_uart_core_rx[1]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/n_32 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[2]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_174 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[3]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_29 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[3]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[4]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_175 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[5]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_30 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[5]),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_rx/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_Byte_reg[6]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_177 ),
+	.Q(soc_top_u_uart_u_uart_core_rx[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Rx_DV_reg  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_146 ),
+	.Q(soc_top_u_uart_u_uart_core_rx_done),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[0]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_172 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[1]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_152 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/r_SM_Main_reg[2]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_141 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_u_rx/sbit_o_reg  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_38 ),
+	.Q(soc_top_u_uart_u_uart_core_rx_sbit),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_u_rx/g7085  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_190 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_194 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7086  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_14 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_rx/n_189 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_193 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g7088  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_218 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7089  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_14 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_218 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7091  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_187 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7092  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_189 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7093  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_188 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7094  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_188 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7096  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_185 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7097  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_181 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_184 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7099  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_182 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7100  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_178 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_181 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7102  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_179 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7110  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_154 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_178 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7119  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_28 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_167 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7120  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_27 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_170 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7121  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_27 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_173 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7122  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_28 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_168 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7127  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_12 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.B1(soc_top_u_uart_u_uart_core_rx[4]),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_u_uart_core_u_rx/g7128  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_31 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_140 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_139 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_142 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g7129  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_153 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_158 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7130  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_12 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.B1(soc_top_u_uart_u_uart_core_rx[0]),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7131  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_34 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7132  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_11 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.B1(soc_top_u_uart_u_uart_core_rx[2]),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7133  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_11 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.B1(soc_top_u_uart_u_uart_core_rx[6]),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7135  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_122 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7136  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_45 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7137  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_65 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7138  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_95 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7139  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_147 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_138 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_17 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7140  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_131 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7141  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_136 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_rx/g7142  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_155 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7144  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_16 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7145  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_151 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7146  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_150 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7147  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_135 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_154 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g7148  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_16 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_u_uart_core_u_rx/g7149  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_134 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_37 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_139 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g7152  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7153  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7154  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_145 ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 \soc_top_u_uart_u_uart_core_u_rx/g7155  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_140 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_37 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_35 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7156  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_141 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_20 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_rx/g7158  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_144 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7159  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_16 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_138 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[2] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7160  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_138 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g7161  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_36 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_140 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7162  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_219 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7163  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_24 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_134 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7164  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_219 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7165  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_137 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7166  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_130 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_135 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7167  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_132 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_129 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_72 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_rx/g7168  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_105 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_128 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_133 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_u_rx/g7169  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_127 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_118 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_97 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_119 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_rx/g7170  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_110 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_126 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_125 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7171  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_121 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_130 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7172  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_124 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_125 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_117 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_116 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_123 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7173  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_104 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_109 ),
+	.D1(\soc_top_u_uart_u_uart_core_u_rx/n_114 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_uart_u_uart_core_u_rx/g7174  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_120 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_72 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_108 ),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_79 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7175  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_85 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_112 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7176  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_104 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_87 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_115 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7177  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_111 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_100 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_106 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7178  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_101 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_103 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7179  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_94 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_121 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7180  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_70 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_98 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7181  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_85 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_107 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7182  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_96 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_78 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_93 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7183  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_113 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7184  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_115 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7185  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_103 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7186  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_104 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_92 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7187  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_91 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_102 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_82 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_91 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_90 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7188  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_81 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_103 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_rx/g7189  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_110 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7190  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_104 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7191  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_47 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_99 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7192  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_96 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_78 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7193  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_96 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_78 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7194  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_96 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_83 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7195  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_81 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7196  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_88 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7197  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_81 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7198  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_86 ),
+	.A2(soc_top_u_uart_u_uart_core_control[13]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_uart_u_uart_core_u_rx/g7199  (
+	.A1(soc_top_u_uart_u_uart_core_control[14]),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_61 ),
+	.B1(soc_top_u_uart_u_uart_core_control[15]),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7200  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_85 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_73 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 \soc_top_u_uart_u_uart_core_u_rx/g7201  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_52 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_rx/n_71 ),
+	.D1(\soc_top_u_uart_u_uart_core_u_rx/n_56 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7202  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_89 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7203  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_87 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7204  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_64 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_94 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7205  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_85 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_rx/g7206  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_87 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7207  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_77 ),
+	.A2(soc_top_u_uart_u_uart_core_control[11]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_53 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7208  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_63 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_75 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7209  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7210  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_60 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7211  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_59 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7212  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_84 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7213  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_9 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_53 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_84 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_rx/g7214  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_78 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[10] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7215  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_68 ),
+	.A2(soc_top_u_uart_u_uart_core_control[9]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7216  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7217  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_67 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_55 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7218  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7219  (
+	.A(soc_top_u_uart_u_uart_core_control[14]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7220  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_74 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7221  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_76 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7222  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_3 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_74 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_rx/g7223  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_69 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7224  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_58 ),
+	.A2(soc_top_u_uart_u_uart_core_control[7]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_42 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7225  (
+	.A1_N(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_rx/n_23 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_54 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7226  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_59 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 \soc_top_u_uart_u_uart_core_u_rx/g7227  (
+	.A(soc_top_u_uart_u_uart_core_control[15]),
+	.B(soc_top_u_uart_u_uart_core_control[14]),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_61 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7228  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_66 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7229  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_42 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_66 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7230  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_44 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_64 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7231  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_59 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7232  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_50 ),
+	.A2(soc_top_u_uart_u_uart_core_control[5]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_41 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7233  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_62 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7234  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_40 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_47 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7235  (
+	.A_N(soc_top_u_uart_u_uart_core_control[13]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_53 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_9 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7236  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_57 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7237  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_6 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_41 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_57 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7238  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_rx/g7239  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_51 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[4] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7240  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_47 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_rx/n_23 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7241  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_46 ),
+	.A2(soc_top_u_uart_u_uart_core_control[3]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7242  (
+	.A_N(soc_top_u_uart_u_uart_core_control[11]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_48 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_3 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7243  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_49 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7244  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_8 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_49 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7245  (
+	.A_N(soc_top_u_uart_u_uart_core_control[9]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_42 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_2 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7246  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_43 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7247  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_33 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_44 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7248  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_15 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_43 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7249  (
+	.A_N(soc_top_u_uart_u_uart_core_control[7]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_41 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_6 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_rx/g7251  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_23 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_10 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7252  (
+	.A_N(soc_top_u_uart_u_uart_core_control[5]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_39 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_8 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_rx/g7253  (
+	.A_N(soc_top_u_uart_u_uart_core_control[3]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_15 ),
+	.C(\soc_top_u_uart_u_uart_core_u_rx/n_7 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_rx/g7254  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.A2(soc_top_u_uart_u_uart_core_rx_sbit),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_31 ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_rx/g7255  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_rx/g7256  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[1] ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_rx/n_33 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_rx/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7257  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[1]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7258  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_24 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7259  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_25 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_219 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7260  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[5]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7261  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.B_N(soc_top_u_uart_u_uart_core_rx[3]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7262  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_21 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7263  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_11 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7264  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_12 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Rx_Data ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7266  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_18 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7267  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_22 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_rx/g7268  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.B1(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7269  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_13 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_rx/g7270  (
+	.A1(soc_top_u_uart_u_uart_core_control[0]),
+	.A2(soc_top_u_uart_u_uart_core_control[1]),
+	.B1(\soc_top_u_uart_u_uart_core_u_rx/n_15 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7271  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_rx/n_13 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7273  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7274  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7275  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7276  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(soc_top_u_uart_u_uart_core_control[1]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7277  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[13] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_rx/g7278  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_rx/g7279  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[0] ),
+	.X(\soc_top_u_uart_u_uart_core_u_rx/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7280  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_rx/g7281  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/n_5 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7282  (
+	.A(soc_top_u_uart_u_uart_core_control[12]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7283  (
+	.A(soc_top_u_uart_u_uart_core_control[4]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7284  (
+	.A(soc_top_u_uart_u_uart_core_control[2]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7285  (
+	.A(soc_top_u_uart_u_uart_core_control[6]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_rx/g7286  (
+	.A(\soc_top_u_uart_u_uart_core_u_rx/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7288  (
+	.A(soc_top_u_uart_u_uart_core_control[10]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_rx/g7289  (
+	.A(soc_top_u_uart_u_uart_core_control[8]),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[14]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_196 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[14] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_4 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[15]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_195 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[15] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_1 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count_reg[7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_rx/n_160 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_rx/r_Clock_Count[7] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_rx/n_0 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_rx/g2  (
+	.A1(\soc_top_u_uart_u_uart_core_u_rx/n_188 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_rx/n_143 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_rx/n_149 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_rx/g7298  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_rx/n_18 ),
+	.B(\soc_top_u_uart_u_uart_core_u_rx/r_SM_Main[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_rx/n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/o_TX_Serial_reg  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_69 ),
+	.Q(io_out[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[0]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_126 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.SCD(\soc_top_u_uart_u_uart_core_u_tx/n_131 ),
+	.SCE(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_149 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[0]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_200 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_139 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_137 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[4]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_144 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_145 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_146 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_142 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[9]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_156 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[11]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_162 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[12]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_165 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[13]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_168 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[14]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_171 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[15]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_172 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main_reg[0]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_155 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_SM_Main_reg[1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_152 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[0]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_61 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[2]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_59 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_62 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_56 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[6]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_57 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_58 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Done_reg  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_150 ),
+	.Q(soc_top_u_uart_u_uart_core_tx_done), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_uart_u_uart_core_u_tx/g5248  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_169 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5249  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_170 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5250  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_166 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_169 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5252  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_167 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5253  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_163 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_166 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5255  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_164 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5256  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_160 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_163 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5258  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_161 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5259  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_157 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_160 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5261  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_158 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5262  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_153 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_157 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5267  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_154 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5268  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_148 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_147 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5269  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_134 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_153 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_u_tx/g5272  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_141 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5273  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_127 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_4 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_136 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5281  (
+	.A1_N(soc_top_u_uart_u_uart_core_tx_done),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/n_36 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_11 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_132 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_u_tx/g5282  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_131 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_24 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_133 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_u_uart_core_u_tx/g5283  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_4 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_128 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5284  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.A2(soc_top_u_uart_u_uart_core_tx_en_sel),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_128 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_141 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5288  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_115 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5289  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_104 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5290  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_89 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5291  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_122 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5292  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_135 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5294  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_27 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5295  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_51 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5296  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_72 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5297  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_24 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_131 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5298  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_124 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_20 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5299  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_121 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_134 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5300  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_127 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_uart_u_uart_core_u_tx/g5301  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_36 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.B1_N(soc_top_u_uart_u_uart_core_tx_done),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_uart_u_uart_core_u_tx/g5303  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.A2(soc_top_system_rst_ni),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_125 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5304  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.C(soc_top_system_rst_ni),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5305  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_127 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5306  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5307  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_24 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5308  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_100 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5309  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_11 ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_123 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_uart_u_uart_core_u_tx/g5310  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_119 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_118 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_118 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_120 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5311  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_114 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_121 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5312  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_116 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_106 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_117 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5313  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_99 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_92 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_112 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g5314  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_101 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_108 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5315  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_98 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_116 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5316  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_103 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_114 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_uart_u_uart_core_u_tx/g5317  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[15] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_101 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_tx/n_110 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5318  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_203 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_107 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_111 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_u_tx/g5319  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_102 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_77 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_109 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 \soc_top_u_uart_u_uart_core_u_tx/g5320  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_102 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_90 ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[8] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_109 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5321  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_105 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_85 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \soc_top_u_uart_u_uart_core_u_tx/g5322  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_105 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[14] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_u_tx/g5323  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_102 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_95 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_67 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_u_tx/g5324  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_47 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_64 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_78 ),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_94 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_u_tx/g5325  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_85 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[13] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_98 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[12] ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5326  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_88 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_103 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5327  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_83 ),
+	.A2(soc_top_u_uart_u_uart_core_control[14]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_82 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5328  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_201 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_5 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_97 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5329  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_8 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_82 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_100 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5330  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_201 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_97 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5331  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_91 ),
+	.A2(soc_top_u_uart_u_uart_core_control[12]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5332  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[11] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_92 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_u_tx/g5334  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_39 ),
+	.A2(soc_top_u_uart_u_uart_core_control[8]),
+	.B1_N(\soc_top_u_uart_u_uart_core_u_tx/n_90 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5335  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_70 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_84 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5337  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_87 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5338  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_71 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_88 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5339  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_6 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_74 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_87 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5340  (
+	.A1(soc_top_u_uart_u_uart_core_control[9]),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_67 ),
+	.B1(soc_top_u_uart_u_uart_core_control[10]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_uart_u_uart_core_u_tx/g5341  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[9] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_77 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5342  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_23 ),
+	.A2(soc_top_u_uart_u_uart_core_control[3]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_29 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_79 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5343  (
+	.A(soc_top_u_uart_u_uart_core_control[13]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5344  (
+	.A_N(soc_top_u_uart_u_uart_core_control[13]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5346  (
+	.A(soc_top_u_uart_u_uart_core_control[14]),
+	.B(soc_top_u_uart_u_uart_core_control[13]),
+	.C_N(\soc_top_u_uart_u_uart_core_u_tx/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5347  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_70 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5349  (
+	.A_N(soc_top_u_uart_u_uart_core_control[12]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_74 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_6 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5350  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_65 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.A3(\soc_top_u_uart_u_uart_core_u_tx/n_38 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_55 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5351  (
+	.A1_N(\soc_top_u_uart_u_uart_core_u_tx/n_3 ),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/n_53 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_68 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5352  (
+	.A(soc_top_u_uart_u_uart_core_control[9]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_67 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5354  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[3] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_50 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_71 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5355  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_68 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_tx/g5356  (
+	.A(soc_top_u_uart_u_uart_core_control[9]),
+	.B(soc_top_u_uart_u_uart_core_control[10]),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_67 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5357  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_20 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_11 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_49 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_10 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5358  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_37 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_66 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_u_tx/g5364  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_37 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_0 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.B2(soc_top_u_uart_u_uart_core_control[2]),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_22 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5368  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_54 ),
+	.A2(soc_top_u_uart_u_uart_core_control[6]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_34 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_uart_u_uart_core_u_tx/g5369  (
+	.A(soc_top_u_uart_u_uart_core_control[8]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_39 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_tx/g5370  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_64 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5371  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_45 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5372  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_44 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5373  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_48 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5374  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[5] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_55 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5375  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_43 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5376  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_40 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5377  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_41 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5378  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_42 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5379  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_46 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5380  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_52 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5381  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_28 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_52 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5382  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_31 ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_50 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_uart_u_uart_core_u_tx/g5383  (
+	.A0(\soc_top_u_uart_u_uart_core_u_tx/n_33 ),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_32 ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5384  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[0]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5385  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[4] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_38 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5386  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[4]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5387  (
+	.A(soc_top_u_uart_u_uart_core_control[7]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_34 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5388  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[1]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5389  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[3]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5390  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[5]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5391  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[6]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5392  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[8]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_uart_u_uart_core_u_tx/g5393  (
+	.A0(soc_top_u_uart_u_uart_core_tx_fifo_data[2]),
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ),
+	.S(\soc_top_u_uart_u_uart_core_u_tx/n_35 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5394  (
+	.A_N(soc_top_u_uart_u_uart_core_control[7]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_34 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5395  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_30 ),
+	.A2(soc_top_u_uart_u_uart_core_control[4]),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_28 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5396  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_18 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_31 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_u_tx/g5397  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_20 ),
+	.B(soc_top_system_rst_ni),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5398  (
+	.A(soc_top_u_uart_u_uart_core_tx_en_sel),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5399  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[6] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_19 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_25 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5400  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_14 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[3] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_21 ),
+	.C1(\soc_top_u_uart_u_uart_core_u_tx/n_26 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5401  (
+	.A_N(soc_top_u_uart_u_uart_core_control[6]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_28 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_7 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5402  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_29 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_u_tx/g5403  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.COUT(\soc_top_u_uart_u_uart_core_u_tx/n_31 ),
+	.SUM(\soc_top_u_uart_u_uart_core_u_tx/n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5404  (
+	.A(soc_top_u_uart_u_uart_core_control[3]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_23 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_u_uart_u_uart_core_u_tx/g5405  (
+	.A(soc_top_u_uart_u_uart_core_control[3]),
+	.B(soc_top_u_uart_u_uart_core_control[4]),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_23 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5406  (
+	.A1_N(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/n_12 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_9 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5407  (
+	.A1_N(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/n_14 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_1 ),
+	.B2(\soc_top_u_uart_u_uart_core_u_tx/n_17 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5408  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_22 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5409  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5410  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_11 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5411  (
+	.A(soc_top_u_uart_u_uart_core_control[2]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_16 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_uart_u_uart_core_u_tx/g5412  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.C_N(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5413  (
+	.A1_N(soc_top_u_uart_u_uart_core_control[0]),
+	.A2_N(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.B1(soc_top_u_uart_u_uart_core_control[1]),
+	.B2(soc_top_u_uart_u_uart_core_control[0]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_u_tx/g5414  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_13 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_u_tx/g5415  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_15 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5416  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \soc_top_u_uart_u_uart_core_u_tx/g5417  (
+	.A(soc_top_u_uart_u_uart_core_control[0]),
+	.B(soc_top_u_uart_u_uart_core_control[1]),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5418  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5419  (
+	.A(io_out[6]),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_2 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_u_tx/g5420  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[0] ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5421  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[0] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_u_tx/g5422  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_SM_Main[1] ),
+	.B(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5424  (
+	.A(soc_top_u_uart_u_uart_core_control[15]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_u_tx/g5425  (
+	.A(soc_top_u_uart_u_uart_core_control[5]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5426  (
+	.A(soc_top_u_uart_u_uart_core_control[11]),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_u_tx/g5430  (
+	.A(soc_top_system_rst_ni),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_63 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[1] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[10]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_159 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[10] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index_reg[2]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_151 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Bit_Index[2] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_143 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[7] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_TX_Data_reg[5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_60 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_TX_Data[5] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count_reg[2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_u_tx/n_138 ),
+	.Q(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[2] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_u_tx/n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_u_tx/g2  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/r_Clock_Count[0] ),
+	.B_N(\soc_top_u_uart_u_uart_core_u_tx/n_130 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_u_tx/g5445  (
+	.A_N(\soc_top_u_uart_u_uart_core_u_tx/n_74 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_86 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_u_tx/g5446  (
+	.A1(\soc_top_u_uart_u_uart_core_u_tx/n_78 ),
+	.A2(\soc_top_u_uart_u_uart_core_u_tx/n_76 ),
+	.B1(\soc_top_u_uart_u_uart_core_u_tx/n_202 ),
+	.Y(\soc_top_u_uart_u_uart_core_u_tx/n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_uart_u_uart_core_u_tx/g3  (
+	.A(\soc_top_u_uart_u_uart_core_u_tx/n_53 ),
+	.B(\soc_top_u_uart_u_uart_core_u_tx/n_3 ),
+	.C(\soc_top_u_uart_u_uart_core_u_tx/n_75 ),
+	.X(\soc_top_u_uart_u_uart_core_u_tx/n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00293  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00291  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00289  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00287  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00285  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00283  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00281  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00279  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00277  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00275  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00273  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00271  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00269  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00267  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00265  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00263  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00261  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00259  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00296  (
+	.A(CTS_16),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00341  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00339  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00333  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00331  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00329  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00327  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkbuf_8 \soc_top_u_uart_u_uart_core_write_fifo/CTS_ccl_a_buf_00325  (
+	.A(CTS_17),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/FE_DBTC126_n_206  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/FE_DBTC125_n_3104  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 \soc_top_u_uart_u_uart_core_write_fifo/g110232  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162247  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3734 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4829 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4913 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4944 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4969 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162248  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4951 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4887 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4970 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162249  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3737 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4916 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4940 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4968 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162250  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4952 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4938 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4960 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4962 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162251  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4876 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4879 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3722 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4967 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162252  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4948 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4945 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4964 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4963 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162253  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4956 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4904 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4950 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4959 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162254  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4908 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4731 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4915 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4965 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162255  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4954 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4943 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4958 ),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_data[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162256  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4875 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3722 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4966 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_uart_u_uart_core_write_fifo/g162257  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4946 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4947 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4728 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162258  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4955 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4961 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162259  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4949 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_uart_u_uart_core_write_fifo/g162260  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4953 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4957 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162261  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4906 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4903 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4835 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4905 ),
+	.Y(soc_top_u_uart_u_uart_core_n_195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162262  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4920 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4919 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162263  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4925 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4923 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162264  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4922 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4924 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162265  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4773 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4930 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162266  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4726 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4826 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162267  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4685 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4722 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4788 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_4909 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g162268  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4942 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4933 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4719 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162269  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4873 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4878 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4939 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162270  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4689 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4723 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4791 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4910 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162271  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4658 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4721 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4762 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4902 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162272  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4899 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3427 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4935 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162273  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4860 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3427 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4866 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4928 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162274  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4890 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4893 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4936 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162275  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4884 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3423 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4931 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162276  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4724 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4796 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_4798 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162277  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4701 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4645 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4811 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4914 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162278  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4614 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4827 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4918 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162279  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4855 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4856 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4927 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162280  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4850 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4851 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4926 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162281  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4841 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4907 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4921 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162282  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4877 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4912 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4937 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162283  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4891 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4934 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162284  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4822 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4911 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162285  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3427 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4932 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162286  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4864 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4867 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3722 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4929 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162287  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4886 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162288  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4638 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4743 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_4823 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3150 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162289  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3737 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4889 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162290  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4895 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4898 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162291  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4897 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162292  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4894 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162293  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4703 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4725 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_4805 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_4804 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3150 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162294  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4881 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162295  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4883 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162296  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4901 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4869 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162297  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4862 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4863 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162298  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4849 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4854 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162299  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4858 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162300  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4852 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4853 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162301  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4847 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4848 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162302  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4844 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4846 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162303  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4843 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4845 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162304  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4838 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4840 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162305  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4839 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162306  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4833 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4834 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162307  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4831 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4832 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162308  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4830 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162309  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4626 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4888 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162310  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4870 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4871 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3731 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162311  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4836 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4730 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4824 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162312  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4538 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3899 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3895 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162313  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3868 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162314  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4600 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3820 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3751 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162315  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4560 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3884 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3883 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3885 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162316  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3837 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3838 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3836 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162317  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4536 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3831 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3830 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3829 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162318  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4727 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4700 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4810 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4807 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162319  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3810 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3777 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3779 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162320  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4516 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4518 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4733 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4842 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162321  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4507 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4524 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4619 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162322  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4729 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4732 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4803 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4825 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162323  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4521 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4627 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4630 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4865 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162324  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3809 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3808 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162325  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4776 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162326  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4821 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162327  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4820 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162328  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4819 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162329  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4818 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162330  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4817 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162331  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4816 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162332  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4815 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162333  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4813 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162334  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4814 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162335  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4812 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162336  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4809 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162337  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4806 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162338  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4808 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162339  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4802 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162340  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4799 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162341  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4800 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162342  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4797 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162343  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4795 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162344  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4794 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162345  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4793 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162346  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4792 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162347  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4790 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162348  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4789 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162349  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4786 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162350  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4787 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162351  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4781 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162352  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4783 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162353  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4784 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162354  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4785 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162355  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4782 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162356  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4757 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162357  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4779 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162358  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4778 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162359  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4777 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162360  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4775 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162361  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3147 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4339 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4502 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4530 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4632 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162362  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4774 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162363  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4772 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162364  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4771 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162365  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4768 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162366  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4770 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162367  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4759 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162368  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4769 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162369  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4767 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162370  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4766 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162371  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4765 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162372  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4764 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162373  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4763 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162374  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4761 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162375  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4760 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162376  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4758 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162377  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4780 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162378  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4756 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162379  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4755 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162380  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4754 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162381  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4753 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162382  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4751 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162383  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4752 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162384  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4517 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4583 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4720 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4718 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162385  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4749 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162386  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4750 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162387  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4748 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162388  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4746 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162389  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4747 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162390  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4744 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162391  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4501 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4629 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4717 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4735 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162392  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4745 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162393  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4742 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162394  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4741 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162395  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4740 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162396  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4739 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162397  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4737 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162398  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4738 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162399  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4736 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162400  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4192 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4484 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3861 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3860 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162401  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4186 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4478 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3851 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3850 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3737 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162402  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4150 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4456 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3752 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3750 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3734 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162403  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4148 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4455 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3747 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3748 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162404  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4201 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4493 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3881 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162405  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4715 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162406  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4714 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162407  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4713 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162408  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4712 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162409  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4711 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162410  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4710 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162411  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4709 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162412  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4708 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162413  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4707 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162414  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4706 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162415  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4492 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4203 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3886 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162416  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4494 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4204 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3890 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3887 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162417  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4705 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162418  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4704 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162419  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4199 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4489 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3875 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3873 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162420  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4702 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162421  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4488 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4196 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3870 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3869 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162422  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4485 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4193 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3864 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3862 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162423  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4190 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3731 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162424  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4699 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162425  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4698 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162426  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4697 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162427  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4696 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162428  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4184 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4513 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3847 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3846 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162429  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4695 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162430  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4183 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4475 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3844 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3843 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162431  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4693 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162432  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4692 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162433  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4690 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162434  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4691 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162435  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4472 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4180 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3835 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3834 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162436  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4688 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162437  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4687 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162438  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4470 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4178 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3827 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162439  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4686 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162440  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4669 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162441  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4734 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162442  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4683 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162443  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4681 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162444  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4682 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162445  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4680 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162446  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4656 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162447  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4678 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162448  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4677 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162449  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4676 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162450  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4675 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162451  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4674 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162452  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4673 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162453  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4467 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4175 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3819 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3818 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162454  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4672 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162455  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4670 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162456  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4668 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162457  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4667 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162458  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4666 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162459  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4665 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162460  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4664 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162461  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4663 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162462  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4661 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162463  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4662 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162464  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4463 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4170 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3806 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162465  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4660 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162466  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4659 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162467  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4651 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162468  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4657 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162469  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4679 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162470  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4655 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162471  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4654 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162472  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4653 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162473  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4652 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162474  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4650 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162475  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4649 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162476  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4647 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162477  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4648 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162478  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4646 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162479  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4644 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162480  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4643 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162481  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4641 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162482  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4642 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162483  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4151 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4459 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3757 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162484  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4640 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162485  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4639 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162486  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4637 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162487  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4636 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162488  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4635 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162489  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4716 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162490  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4634 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162491  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4503 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4621 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4628 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4620 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162492  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4598 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162493  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4623 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4529 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4362 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4348 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162494  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4481 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4188 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3856 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3732 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g162495  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4615 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3733 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162496  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4498 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4207 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3901 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3897 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3737 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162497  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4480 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4187 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3853 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3852 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3734 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162498  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4466 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4174 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3817 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3815 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3734 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162499  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4497 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4206 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3894 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3893 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162500  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4487 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4195 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3871 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3867 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162501  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4486 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4194 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3866 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3865 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162502  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4477 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4185 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3849 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3848 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162503  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4473 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4225 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3840 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162504  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4469 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4177 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3824 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3823 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162505  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4464 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4172 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3812 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3811 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_u_uart_u_uart_core_write_fifo/g162506  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4514 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4526 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4370 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162507  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4491 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4200 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3879 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3723 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162508  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4322 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4326 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162509  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3448 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4147 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3936 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4369 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162510  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4612 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162511  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5350 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4570 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162512  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4569 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162513  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4568 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162514  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5406 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4567 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162515  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5254 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4566 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162516  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5353 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4565 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162517  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5382 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4564 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162518  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5318 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4563 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162519  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5313 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4562 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162520  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5278 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5286 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4561 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162521  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5257 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4537 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162522  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5401 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4559 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162523  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4490 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4197 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3874 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162524  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4606 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162525  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4479 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4189 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3859 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3854 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162526  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4482 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4191 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3863 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3858 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162527  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4611 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162528  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4610 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162529  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4609 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162530  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4608 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162531  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4607 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162532  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4474 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4182 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3842 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3841 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162533  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4605 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162534  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4604 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162535  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4603 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162536  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5371 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4582 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162537  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4471 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4179 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3833 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162538  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4602 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162539  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4601 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162540  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4599 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162541  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4468 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4176 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3822 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3821 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162542  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3699 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4243 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4331 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162543  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4597 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162544  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5404 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4535 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162545  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4556 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162546  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4594 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162547  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5340 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5348 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4534 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162548  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4596 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162549  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4595 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162550  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4593 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162551  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4592 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162552  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4590 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162553  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4591 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162554  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4589 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162555  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4465 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4173 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3816 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3813 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162556  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4588 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162557  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5355 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4553 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162558  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4587 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162559  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4586 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162560  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4555 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162561  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4585 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162562  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4584 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162563  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4631 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162564  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4581 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162565  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4557 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162566  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4580 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162567  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4579 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162568  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4462 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4169 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3804 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3802 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162569  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4578 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162570  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4577 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162571  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4576 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162572  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4575 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162573  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5321 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4551 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162574  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4574 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162575  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5251 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5259 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4552 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162576  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4573 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162577  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5281 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5289 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4549 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162578  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5327 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5335 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4548 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162579  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5225 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4547 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162580  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5399 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4546 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162581  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4458 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4171 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3761 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3755 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162582  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5231 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5239 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4545 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162583  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5385 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4533 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162584  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4544 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162585  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4572 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162586  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4571 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162587  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5279 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5287 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4543 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162588  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4457 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4149 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3754 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162589  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5223 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4542 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162590  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5359 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5367 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4541 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162591  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4540 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162592  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4539 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162593  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4499 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4208 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3902 ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/n_3898 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162594  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4532 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4527 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4372 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4476 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162595  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4404 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162596  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3745 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3796 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3742 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3797 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162597  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4346 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3913 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4365 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162598  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4315 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4351 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4233 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162599  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3744 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3790 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3743 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3791 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162600  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5433 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4520 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162601  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5405 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4531 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162602  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4519 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162603  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4125 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4360 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162604  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5592 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4506 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162605  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4220 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4505 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4213 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4350 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162606  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4139 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3908 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4335 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162607  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3147 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4320 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4338 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4342 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162608  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4496 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4205 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_3891 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3892 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162609  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4495 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4202 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_3888 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3889 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162610  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4461 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4168 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_3792 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3803 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162611  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4460 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4160 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_3775 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3780 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162612  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4500 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4209 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_3900 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3941 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 \soc_top_u_uart_u_uart_core_write_fifo/g162613  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4317 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4241 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4336 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4240 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162614  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4445 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162615  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4438 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162616  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4436 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162617  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4437 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162618  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4435 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162619  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4434 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162620  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4432 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162621  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4433 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162622  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4431 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162623  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4429 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162624  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4427 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162625  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4425 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162626  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4388 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162627  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4424 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162628  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4423 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162629  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4421 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162630  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4419 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162631  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4418 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162632  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4412 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162633  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4416 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162634  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4415 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162635  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4414 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162636  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4413 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162637  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4411 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162638  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4410 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162639  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4408 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162640  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4409 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162641  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4407 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162642  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4406 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162643  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4504 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4510 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4355 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162644  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5363 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4428 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162645  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4439 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162646  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4400 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162647  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4399 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162648  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4398 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162649  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4397 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162650  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4396 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162651  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4395 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162652  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4393 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162653  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4392 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162654  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4382 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162655  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4380 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162656  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5342 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4454 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162657  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5310 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4453 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162658  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4452 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162659  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4451 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162660  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5246 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4448 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162661  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5345 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4447 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162662  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5374 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4446 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162663  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4444 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162664  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4443 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162665  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4442 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162666  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5294 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4440 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162667  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5393 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4402 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162668  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4430 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162669  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4401 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162670  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4417 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162671  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4405 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162672  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5248 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162673  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4384 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162674  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4391 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162675  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4394 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162676  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5295 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4389 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162677  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4390 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162678  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4387 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162679  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4386 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162680  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5391 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4385 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162681  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4383 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162682  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4381 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162683  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4379 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162684  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4378 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162685  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4377 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162686  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4376 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162687  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4375 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162688  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4450 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162689  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5249 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4441 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162690  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4426 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162691  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4422 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162692  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4420 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162693  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5377 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4449 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162694  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5594 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4122 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162695  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4483 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162696  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4332 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162697  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4127 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[139][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4361 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4511 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162698  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3729 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3788 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3789 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4327 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162699  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4133 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162700  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5593 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4509 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162701  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3725 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3795 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4328 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162702  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3741 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3768 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4312 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4318 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162703  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4359 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4358 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4211 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162704  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3710 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3939 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_uart_u_uart_core_write_fifo/g162705  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4333 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4330 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/n_4343 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4340 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162706  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4345 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4352 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162707  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4344 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4364 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162708  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4325 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4165 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4223 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162709  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4323 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4210 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4224 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162710  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4324 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4217 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4164 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4349 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162711  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3711 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3876 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4236 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162712  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4321 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3713 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3857 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4246 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162713  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4039 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4040 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162714  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3452 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4118 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4121 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162715  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[132][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3923 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4216 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162716  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3746 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4215 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162717  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4131 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3920 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4156 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162718  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3771 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3772 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4319 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162719  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3765 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5594 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3766 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4341 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162720  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4134 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3938 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4153 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162721  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3452 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3909 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3910 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162722  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4126 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4157 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4214 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162723  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3704 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3759 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4119 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4124 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162724  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3782 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3725 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4314 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162725  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3701 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3814 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3914 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3915 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_4334 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162726  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5463 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4110 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4111 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162727  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4108 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4109 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162728  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5450 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4106 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162729  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3295 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4093 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4097 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162730  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4090 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4091 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162731  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4086 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4088 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162732  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3295 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4082 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4084 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162733  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4079 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4080 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162734  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3295 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4071 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4078 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162735  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4076 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4077 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162736  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4072 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4073 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162737  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4066 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4070 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162738  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4068 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4069 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162739  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4063 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4067 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162740  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5462 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4062 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4064 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162741  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4060 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4061 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162742  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4058 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4059 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162743  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4056 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4057 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3693 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162744  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4052 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4055 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162745  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4053 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4054 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162746  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4049 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4050 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162747  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4042 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4048 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162748  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4046 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4047 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162749  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4043 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4044 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162750  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4120 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4166 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162751  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4036 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4037 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162752  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4034 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4035 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162753  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4030 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4032 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162754  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4024 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4025 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162755  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4021 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4023 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162756  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4016 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4017 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162757  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5477 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4013 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4014 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162758  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5413 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4011 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4012 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162759  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3996 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3997 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162760  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][1] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3992 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3993 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162761  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3990 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3991 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162762  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3982 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3985 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162763  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3975 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4008 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162764  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3971 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3972 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162765  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3967 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3969 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162766  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3959 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3961 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162767  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3949 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3950 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162768  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3295 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3942 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3947 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162769  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3944 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3945 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162770  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4115 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4112 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162771  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5465 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4117 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4114 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162772  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4310 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4107 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162773  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4309 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4105 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162774  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4308 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4103 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162775  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4307 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4102 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162776  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4095 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162777  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3953 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4237 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162778  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4305 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4101 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162779  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4100 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162780  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4303 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4099 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162781  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4087 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162782  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4301 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4096 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162783  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4300 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4094 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162784  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4299 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4092 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162785  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4089 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162786  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4297 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4083 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162787  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4259 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3978 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162788  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4051 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162789  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4293 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4045 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162790  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4031 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4292 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162791  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4290 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4041 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162792  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4291 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4038 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162793  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4289 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4033 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162794  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4279 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4026 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162795  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4027 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4288 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162796  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4287 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4029 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162797  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4286 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4028 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162798  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4283 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4022 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162799  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4285 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4020 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162800  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4284 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4019 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162801  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4282 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4018 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162802  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4015 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4281 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162803  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4280 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162804  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4278 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4009 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162805  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4277 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162806  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4007 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4276 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162807  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4275 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4005 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162808  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4274 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4004 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162809  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4270 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4002 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162810  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4273 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4003 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162811  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4271 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4001 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162812  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4272 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162813  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4267 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3999 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162814  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3983 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4268 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162815  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4313 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3998 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162816  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3995 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4266 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162817  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4265 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3989 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162818  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3986 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4264 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162819  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3987 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4263 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162820  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4262 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3984 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162821  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4257 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3981 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162822  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4261 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3980 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162823  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4260 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3979 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162824  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4296 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4081 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162825  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3976 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4258 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162826  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4256 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162827  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3974 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4255 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162828  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4254 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3973 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162829  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4253 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3970 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162830  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4252 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4065 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162831  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4251 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3968 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162832  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4295 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3966 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162833  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4250 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162834  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4098 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4249 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162835  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4235 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3962 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162836  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4248 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3963 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162837  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4247 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3994 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162838  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4085 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4239 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162839  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4245 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3960 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162840  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4244 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3958 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162841  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4242 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3957 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162842  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4311 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3954 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162843  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4238 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3955 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162844  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3956 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4234 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162845  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4231 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3951 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162846  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4232 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3952 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162847  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4230 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3948 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162848  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4229 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3946 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162849  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4228 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3943 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162850  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4227 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4113 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162851  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4226 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4116 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162852  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3711 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3825 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3921 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3922 ),
+	.D1(\soc_top_u_uart_u_uart_core_write_fifo/n_3937 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162853  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3724 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4143 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162854  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5592 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4146 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162855  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5593 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4138 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162856  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3451 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4144 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3453 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162857  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[165][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3451 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4141 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3453 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162858  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3736 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4142 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162859  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3739 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4140 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162860  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4145 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3717 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3783 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162861  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g162862  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4167 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3794 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162863  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4218 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162864  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[131][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4163 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162865  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4161 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162866  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4212 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162867  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3738 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4123 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162868  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3738 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4181 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162869  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4159 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162870  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4219 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162871  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3738 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4221 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162872  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4158 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162873  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4128 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4155 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g162874  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4198 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3877 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162875  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3718 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4152 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162876  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3911 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162877  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5594 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[140][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4222 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162878  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5594 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[136][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4162 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162879  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3710 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3940 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4269 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162880  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][0] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][0] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3730 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_4129 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162881  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_4074 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_4075 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162882  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3988 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3964 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162883  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4134 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3798 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3729 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162884  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4133 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3773 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3729 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162885  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4128 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3767 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162886  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4127 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[155][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3799 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162887  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4125 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4132 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162888  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4128 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3774 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162889  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4135 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3760 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162890  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3736 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3845 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162891  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162892  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4129 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3762 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162893  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4126 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3801 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162894  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4125 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162895  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4129 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3764 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162896  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4131 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3800 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3725 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162897  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4132 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3725 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162898  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4126 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4131 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g162899  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4127 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[153][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4134 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[157][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162900  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4135 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162901  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4126 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4131 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162902  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4127 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[137][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4134 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[141][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162903  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4125 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4132 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162904  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4135 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162905  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5593 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3728 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162906  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4128 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4133 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162907  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3744 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3743 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3770 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162908  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_4137 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162909  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4129 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3730 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162910  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5592 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3740 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[167][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162911  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_4135 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g162912  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3745 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3786 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3742 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3787 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162913  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g162914  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3769 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162915  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3640 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162916  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162917  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162918  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162919  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162920  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][8] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3934 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162921  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3636 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162922  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3633 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162923  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3632 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162924  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162925  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3628 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162926  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][6] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3933 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162927  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3623 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162928  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3619 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162929  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5486 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5494 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3612 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162930  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162931  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3498 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162932  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3672 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162933  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5360 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3932 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162934  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3692 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162935  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3691 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162936  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3689 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162937  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162938  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3589 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162939  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3587 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162940  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][5] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3930 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162941  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3576 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162942  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3575 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162943  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3568 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162944  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3566 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162945  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162946  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3561 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162947  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5299 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5307 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3548 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162948  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3558 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162949  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5426 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3929 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162950  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3504 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162951  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3552 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162952  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5244 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5252 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3553 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162953  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3551 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162954  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3549 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162955  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162956  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][8] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3928 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g162957  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3706 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3776 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162958  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3492 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162959  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5266 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3927 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162960  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162961  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5372 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3926 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162962  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162963  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162964  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3527 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162965  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162966  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162967  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5356 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3925 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162968  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3506 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162969  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][8] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3924 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162970  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3520 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162971  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162972  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162973  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3477 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162974  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5407 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3489 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162975  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5311 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162976  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3611 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162977  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5439 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5447 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3501 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162978  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3490 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162979  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5495 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3488 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162980  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3728 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3919 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162981  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3496 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162982  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3485 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162983  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3918 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162984  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3483 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162985  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3917 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162986  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3730 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3916 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162987  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5427 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3906 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162988  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3481 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162989  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3631 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162990  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3740 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[166][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3935 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162991  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3473 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162992  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][4] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3931 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162993  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3727 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3912 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162994  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][4] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3907 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162995  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3472 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162996  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3469 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162997  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3465 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162998  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][4] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3905 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g162999  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][4] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3904 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163000  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][4] ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3903 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163001  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5261 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5269 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163002  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163003  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163004  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163005  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163006  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4132 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163007  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163008  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163009  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163010  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3741 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[138][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163011  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3738 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163012  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163013  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5593 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163014  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163015  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163016  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163017  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5263 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163018  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][6] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163019  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5442 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5250 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163020  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163021  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][3] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5222 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163022  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163023  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163024  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5326 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5334 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163025  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5422 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163026  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][3] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5390 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163027  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163028  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5241 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163029  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][3] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163030  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][3] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163031  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5305 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5489 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163032  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5262 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5454 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163033  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][3] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][3] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163034  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5337 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][6] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163035  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5322 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163036  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163037  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5323 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163038  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5341 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163039  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5309 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5501 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163040  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5245 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5437 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163041  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5301 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5485 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163042  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5237 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5421 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163043  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5389 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163044  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5333 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163045  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163046  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163047  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163048  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5317 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163049  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5277 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163050  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][2] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][2] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163051  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5384 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][5] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163052  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][1] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][1] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5500 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163053  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][5] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163054  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5504 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5312 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163055  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163056  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][5] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163057  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5344 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5352 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163058  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5267 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5467 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5275 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163059  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5411 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163060  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5592 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163061  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163062  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[133][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163063  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3741 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[142][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163064  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[135][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163065  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[134][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163066  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5346 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163067  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163068  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163069  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163070  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3450 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163071  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4133 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163072  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3742 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163073  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3450 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[164][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163074  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3743 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163075  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5369 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][6] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163076  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5314 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5506 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163077  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][6] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163078  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5265 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5457 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163079  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3710 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[168][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[169][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163080  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3726 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3740 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163081  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3726 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3740 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163082  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163083  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163084  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163085  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3710 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[172][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[173][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163086  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3718 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163087  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3724 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3728 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163088  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3724 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3728 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163089  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4995 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163090  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163091  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163092  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163093  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3453 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163094  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163095  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3718 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163096  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3718 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163097  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3454 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163098  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3455 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163099  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3456 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163100  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3457 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163101  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3459 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163102  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3460 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163103  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3653 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163104  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3652 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163105  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3650 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163106  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3648 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163107  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163108  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3645 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163109  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5502 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163110  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3642 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163111  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3643 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163112  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3641 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163113  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5446 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3637 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163114  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3635 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163115  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3634 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163116  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5247 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5255 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3499 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163117  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3630 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163118  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3629 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163119  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5475 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5483 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3627 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163120  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3626 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163121  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5282 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5290 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3625 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163122  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5478 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3624 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163123  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3621 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4091 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163124  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3620 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163125  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5449 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3617 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163126  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3618 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163127  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5315 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3609 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163128  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3616 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163129  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5235 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5243 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163130  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3613 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163131  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163132  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5226 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3608 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163133  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3610 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163134  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3607 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163135  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3605 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163136  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3602 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163137  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3604 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163138  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3603 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163139  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3601 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163140  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163141  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3600 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4073 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163142  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3598 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163143  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5379 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5387 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3655 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163144  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3597 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163145  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3596 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163146  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3595 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163147  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3656 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163148  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3657 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163149  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3507 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163150  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3658 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163151  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3659 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163152  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3660 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163153  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3662 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163154  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][3] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3663 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163155  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3664 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4059 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163156  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3666 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163157  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3665 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163158  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3667 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163159  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3668 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163160  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3669 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163161  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3671 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163162  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3673 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163163  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5296 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5304 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163164  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3674 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163165  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3676 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163166  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3679 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163167  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3677 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163168  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3678 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163169  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163170  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3681 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163171  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3682 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163172  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3686 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163173  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163174  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3684 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163175  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3685 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163176  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5464 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3687 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163177  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3688 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163178  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3690 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163179  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3694 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163180  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3670 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163181  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163182  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3593 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163183  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5331 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5339 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3585 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163184  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3591 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163185  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163186  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3586 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163187  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5330 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5338 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3583 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163188  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5499 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3574 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163189  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3584 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163190  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3582 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163191  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3581 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163192  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3578 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163193  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3580 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163194  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163195  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3577 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163196  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5490 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5498 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3572 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163197  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3573 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163198  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3571 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163199  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5328 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5336 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163200  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3567 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163201  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3564 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163202  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3563 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163203  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][2] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3562 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163204  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5232 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5240 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3559 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163205  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163206  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3518 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_4008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163207  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3557 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163208  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5234 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5242 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3555 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163209  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5480 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3556 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163210  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5444 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3554 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163211  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163212  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3550 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163213  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5416 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3505 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163214  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5324 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5332 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3546 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163215  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5466 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163216  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5484 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5492 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3545 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163217  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3542 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163218  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3539 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163219  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5228 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5236 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163220  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3491 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163221  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3538 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163222  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3537 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163223  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3569 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163224  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3535 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163225  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163226  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3510 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163227  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5316 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163228  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163229  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3531 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163230  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5476 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163231  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5395 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5403 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163232  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3528 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163233  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163234  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5412 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163235  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163236  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163237  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163238  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5362 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5370 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163239  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3515 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163240  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5260 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5268 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3514 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163241  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163242  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3493 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163243  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3511 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163244  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3509 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163245  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3494 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163246  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3495 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163247  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3615 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163248  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3590 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163249  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5343 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5351 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3503 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163250  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3500 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163251  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3497 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163252  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3484 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163253  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3487 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163254  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3482 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163255  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3502 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163256  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3468 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163257  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3486 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163258  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5375 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5383 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3479 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163259  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5423 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5431 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3478 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163260  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3467 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163261  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3480 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163262  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3475 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163263  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][7] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3476 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163264  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3474 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163265  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3470 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163266  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5471 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5479 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3471 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163267  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][8] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3463 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163268  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5415 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3466 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163269  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3464 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163270  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][6] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3461 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163271  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][4] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3462 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163272  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5227 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3458 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163273  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163275  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163276  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163277  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163278  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163279  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163281  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163282  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163283  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163284  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163285  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163286  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5271 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5455 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163287  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163288  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163289  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[171][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163290  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3727 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163291  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3726 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163292  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3726 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[163][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163293  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163294  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][6] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][6] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163295  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][5] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163296  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][4] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163297  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][5] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][5] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163298  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5434 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163299  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163300  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][7] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][7] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5274 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163301  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][1] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][1] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5380 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163302  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][1] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][1] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5364 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163303  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163304  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163305  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3739 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163306  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3739 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163307  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163308  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3724 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163309  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163310  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163311  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3736 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163312  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163313  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163314  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3730 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163315  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3739 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163316  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163317  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3453 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163318  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163319  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163320  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][4] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5319 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163321  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][8] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][8] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/n_5435 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163322  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][4] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163323  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][4] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163324  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][4] ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][4] ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.C2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163325  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163326  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5258 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163327  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163328  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163329  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163330  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163331  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163332  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5283 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5291 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163333  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5474 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163334  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5482 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163335  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163336  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163337  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5418 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163338  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163339  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163340  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163341  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163342  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163343  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163344  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163345  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163346  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5230 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5238 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163347  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163348  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5398 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163349  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163350  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5233 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163351  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[170][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[174][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163352  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5378 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5386 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163353  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5358 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163354  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163355  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163356  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5497 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163357  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163358  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163359  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163360  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163361  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5270 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163362  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163363  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163364  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163365  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163366  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163367  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163368  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163369  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163370  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163371  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163372  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163373  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163374  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163375  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163376  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5253 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163377  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163378  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5293 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5493 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163379  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163380  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5229 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163381  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5429 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163382  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163383  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5397 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163384  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163385  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5325 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163386  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163387  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5453 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163388  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5461 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163389  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163390  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163391  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163392  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5357 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163393  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163394  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163395  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163396  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163397  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163398  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5373 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5381 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163399  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163400  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163401  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163402  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163403  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5285 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5469 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163404  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163405  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5221 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163406  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163407  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163408  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163409  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163410  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5308 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163411  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5320 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163412  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163413  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163414  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163415  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163416  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163417  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163418  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163419  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163420  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5256 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163421  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163422  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163423  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163424  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5459 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163425  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163426  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163427  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163428  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[150][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163429  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[148][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163430  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163431  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163432  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163433  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163434  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163435  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163436  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[154][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[158][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163437  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[152][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[156][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163438  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[147][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[151][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163439  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[149][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163440  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163441  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163442  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163443  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163444  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163445  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163446  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163447  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5354 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163448  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163449  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3450 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3451 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163450  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163451  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163452  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163453  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163454  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163455  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163456  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163457  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163458  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163459  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163460  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163461  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163462  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163463  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3450 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3451 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163464  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163465  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3452 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163466  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163467  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3452 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163468  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163469  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][0] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163470  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163471  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163472  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5419 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163473  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163474  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163475  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163476  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163477  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163478  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163479  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g163480  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5273 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_u_uart_u_uart_core_write_fifo/g163481  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163482  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3733 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163483  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3723 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163484  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3717 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163485  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163486  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163487  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163488  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163489  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163490  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163493  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163494  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163495  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163496  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5264 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5272 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163497  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163498  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5394 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5402 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163499  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163500  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163501  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163502  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163503  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163504  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163505  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163506  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163507  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163508  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163509  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163510  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163511  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163512  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163513  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163514  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163515  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5488 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5496 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163516  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163517  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163518  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163519  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163520  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163521  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163522  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163523  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163524  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163525  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163526  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163527  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163528  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163529  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163530  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163531  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163532  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163533  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163534  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163535  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163536  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163537  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163538  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163539  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163540  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163541  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163542  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163543  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163544  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163545  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163546  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163547  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163548  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163549  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163550  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163551  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163552  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163553  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163554  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163555  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163556  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163557  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163558  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163559  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163560  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163561  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163562  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163563  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163564  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163565  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163566  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163567  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163568  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163569  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163570  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163571  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163572  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163573  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163574  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163575  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163576  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163577  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163578  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163579  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163580  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163581  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163582  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163583  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163584  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163585  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163586  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163587  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163588  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163589  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163590  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163591  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163592  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163593  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3444 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163594  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163595  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163596  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3444 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163597  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163598  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3431 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163599  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163600  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3298 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_uart_u_uart_core_write_fifo/g163601  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163602  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_uart_u_uart_core_write_fifo/g163604  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163605  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163606  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163607  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3433 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163608  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3147 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163609  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3431 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163610  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3433 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163611  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3417 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163612  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3147 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163613  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3417 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163614  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3423 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163615  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3204 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163616  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163617  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3157 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163618  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163619  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163620  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163621  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3160 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163622  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3437 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163623  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163624  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3438 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163625  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3437 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163626  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3298 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163627  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163628  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163629  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163630  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163631  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3437 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163632  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3438 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163633  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163634  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163635  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163636  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163637  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163638  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163639  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163640  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163641  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163642  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163643  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163644  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163645  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163646  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163647  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163648  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163649  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163650  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163651  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5392 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5400 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163652  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163653  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163654  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163655  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163656  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163657  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163658  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163659  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163660  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163661  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5298 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163662  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163663  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163664  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163665  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163666  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5424 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5432 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163667  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163668  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163669  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163670  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163671  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163672  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163673  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163674  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163675  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5280 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5288 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163676  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5388 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5396 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163677  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163678  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163679  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163680  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5224 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163681  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163682  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163683  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163684  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5292 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163685  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163686  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5420 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5428 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163687  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163688  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163689  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163690  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163691  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163692  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163693  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163694  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163695  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163696  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163697  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163698  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163699  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163700  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5276 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5284 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163701  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163702  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5220 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163703  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163704  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163705  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163706  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5448 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163707  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163708  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163709  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163710  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5460 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163711  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163712  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163713  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163714  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163715  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163716  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163717  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163718  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163719  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163720  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163721  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163722  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163723  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][1] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163724  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163725  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163726  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163727  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163728  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163729  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163730  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163731  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163732  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163733  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163734  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][5] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163735  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163736  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163737  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5473 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5481 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163738  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163739  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163740  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163741  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163742  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5417 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163743  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163744  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163745  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163746  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163747  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163748  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163749  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163750  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163751  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163752  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163753  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163754  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_5443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5451 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163755  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163756  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163757  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163758  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163759  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163760  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163761  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163762  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163763  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163764  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163765  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163766  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163767  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163768  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163769  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][8] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163770  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163771  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][7] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163772  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][4] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g163773  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][6] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163774  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163775  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163777  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163778  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3437 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163779  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163780  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163781  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163782  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163783  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163784  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163785  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163786  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163787  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163788  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3160 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163789  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163790  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3144 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163791  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3144 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163792  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3303 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163793  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163794  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163796  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3158 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163797  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3158 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163798  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3204 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163799  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3153 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163800  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3153 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163801  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163802  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163803  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3158 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163804  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_uart_u_uart_core_write_fifo/g163805  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3303 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163806  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3158 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3099 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163807  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163808  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163902  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163903  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163904  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163905  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163906  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g163907  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163908  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3292 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163909  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3292 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163910  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3292 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163911  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3292 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163912  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163913  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163914  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163915  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163916  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g163917  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163920  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163921  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN125_n_3104 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_uart_u_uart_core_write_fifo/g163922  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3204 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g163923  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3160 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163925  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163926  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g163927  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163928  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g163931  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164061  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164062  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164063  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164064  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3099 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 \soc_top_u_uart_u_uart_core_write_fifo/g164065  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g164066  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_uart_u_uart_core_write_fifo/g164067  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3100 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g164068  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164069  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 \soc_top_u_uart_u_uart_core_write_fifo/g164070  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164071  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3157 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164072  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164073  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3150 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/g164074  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164075  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164076  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3144 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g164077  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164116  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164117  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164118  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164119  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164120  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_u_uart_u_uart_core_write_fifo/g164121  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164122  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164123  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3099 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164124  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g164125  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_uart_u_uart_core_write_fifo/g164126  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3101 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164127  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/buffer_empty_reg  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_75 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_2467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/buffer_full_reg  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/buffer_full_217 ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_79 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2891 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2915 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2925 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2933 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2939 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2953 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2956 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[0][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2966 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2975 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2988 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2989 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2987 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2991 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2996 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2997 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[1][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2999 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3009 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3006 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3012 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3014 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3016 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3018 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3023 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[2][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3029 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3031 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3037 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3039 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3040 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3043 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3046 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3048 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[3][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3051 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3055 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3059 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3063 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3075 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3064 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3069 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3071 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[4][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3076 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1941 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2462 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2460 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2459 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2455 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2450 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2449 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[5][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2447 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2437 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2439 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2436 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2432 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2430 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2428 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2424 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[6][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2416 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2419 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2414 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2412 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2410 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2408 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2404 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2402 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[7][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2397 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2391 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2389 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2388 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2385 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2382 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2380 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2376 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[8][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2375 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2369 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2365 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2356 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2363 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2359 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2354 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2352 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[9][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2350 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2343 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2341 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2339 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2336 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2332 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2331 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2329 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[10][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2326 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2323 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2316 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2310 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2308 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2307 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2304 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2302 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[11][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2300 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2295 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2291 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2289 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2288 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2284 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2275 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2282 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[12][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2280 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2265 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2256 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2247 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2242 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2238 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2233 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2224 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[13][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2217 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2208 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2191 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2188 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2194 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2186 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2178 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2177 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[14][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2173 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2161 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2158 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2153 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2146 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2141 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2138 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2135 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[15][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2129 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2116 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2113 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2104 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2100 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2099 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2091 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2088 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[16][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2555 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2078 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2077 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2074 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2071 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2052 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2059 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2068 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[17][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2064 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2057 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2055 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2050 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2047 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2045 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2042 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2038 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[18][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2035 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2030 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2020 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2027 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2023 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2019 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2016 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2014 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[19][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2012 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2007 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2005 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2002 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1998 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1994 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1993 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1992 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[20][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1988 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1986 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1981 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1975 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1974 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1971 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1969 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1966 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[21][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1964 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1958 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1955 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1953 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1951 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1947 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1939 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1945 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[22][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1942 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1935 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1932 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1929 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1925 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1923 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1921 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1919 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[23][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1914 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1911 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1908 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1906 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1900 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1898 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1897 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1894 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[24][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1892 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1886 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1885 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1882 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1878 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1873 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1874 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1871 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[25][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1868 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1860 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1862 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1857 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1854 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1851 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1850 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1847 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[26][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1844 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1838 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1835 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1833 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1831 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1827 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1820 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1818 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[27][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1822 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1814 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1812 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1811 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1806 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1804 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1802 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1800 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[28][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1795 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1789 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1788 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1777 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1784 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1780 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1776 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1772 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[29][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1771 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1765 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1764 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1762 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1759 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1754 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1751 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1749 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[30][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1746 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1725 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1733 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1741 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1731 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1730 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1727 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1724 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[31][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1720 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1715 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1711 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1710 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1707 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1704 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1695 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1702 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[32][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1698 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1691 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1689 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1688 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1682 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1679 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1677 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1675 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[33][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1671 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1665 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1663 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1654 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1651 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1656 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1652 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1647 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[34][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1646 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1640 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1639 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1637 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1634 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1629 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1627 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1625 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[35][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1623 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1614 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1612 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1610 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1606 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1604 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1602 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1600 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[36][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1596 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1592 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1589 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1586 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1583 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1582 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1578 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1568 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[37][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1577 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1567 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1565 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1563 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1559 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1556 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1554 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1552 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[38][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1549 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1544 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1542 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1538 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1530 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1536 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1532 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1528 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[39][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1524 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1519 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1517 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1515 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1512 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1508 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1505 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1504 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[40][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1501 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1492 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1490 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1494 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1488 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1484 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1482 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1481 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[41][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1477 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1471 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1470 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1465 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1464 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1461 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1458 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1449 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[42][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1455 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1447 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1445 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1443 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1441 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1436 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1433 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1432 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[43][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1429 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1423 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1421 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1418 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2632 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2643 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2638 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2636 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[44][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2630 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2627 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2625 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2622 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2620 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2617 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2613 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2609 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[45][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2607 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2594 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2601 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2597 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2593 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2588 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2587 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2585 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[46][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2582 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2578 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2575 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2572 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2568 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2566 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2564 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2561 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[47][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2559 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2552 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2548 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2546 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2544 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2540 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2538 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2537 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[48][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2534 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2527 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2525 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2520 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2511 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2516 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2513 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2508 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[49][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2505 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2501 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2498 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2495 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2493 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2489 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2486 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2482 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[50][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2479 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2471 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2556 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2646 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2648 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2651 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2653 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2654 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[51][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2659 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2667 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2664 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2669 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2673 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2675 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2677 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2680 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[52][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2687 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2688 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2692 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2694 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2696 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2700 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2701 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2703 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[53][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2706 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2712 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2714 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2716 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2721 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2727 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2729 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2725 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[54][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2732 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2737 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2740 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2744 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2746 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2749 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2752 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2755 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[55][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2758 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2761 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2771 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2764 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2768 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2773 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2776 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2778 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[56][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2780 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2786 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2789 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2794 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2798 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2801 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2802 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2805 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[57][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2813 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2814 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2816 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2820 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2822 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2824 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2827 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2829 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[58][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2831 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2840 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2842 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2844 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2848 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2851 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2852 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2854 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[59][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2858 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2861 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2864 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2868 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2872 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2874 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2876 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2878 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[60][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2883 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2888 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2893 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2895 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2897 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2901 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2903 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2905 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[61][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2907 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2912 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2917 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2919 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2923 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2927 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2929 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2931 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[62][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2935 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2942 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2945 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2947 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2951 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2954 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][6]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2957 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2959 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[63][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2963 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2969 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2973 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2977 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2980 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2982 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2983 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2984 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[64][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2986 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2990 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2992 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2993 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2994 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2995 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2998 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3000 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[65][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3001 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3002 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3003 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3004 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3005 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3007 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3008 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3010 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[66][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3011 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3013 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3015 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3017 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3019 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3020 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3022 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3024 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[67][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3025 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3026 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3027 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3030 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3032 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3033 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3034 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3036 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[68][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3038 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3041 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3042 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3044 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3045 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3047 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3049 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3050 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[69][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3052 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3053 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3054 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3056 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3057 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3058 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3060 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3061 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[70][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3062 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3065 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3066 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3067 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3068 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3070 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3072 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3073 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[71][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3074 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3077 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3078 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3079 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3080 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2465 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2464 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2463 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[72][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2461 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2458 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2456 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2454 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2453 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2452 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2451 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2095 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[73][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2448 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2446 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2445 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2444 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2443 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2442 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2441 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2440 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[74][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2438 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2435 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2434 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2433 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2431 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2429 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2427 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2426 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[75][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2425 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2423 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2422 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2421 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2420 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2418 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2417 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2415 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[76][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2413 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2411 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2409 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2407 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2406 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2405 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2403 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2400 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[77][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2401 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2399 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2398 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2396 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2395 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2394 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2393 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2392 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[78][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2390 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2387 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2386 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2384 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2383 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2381 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2379 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2378 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[79][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2377 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2374 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2373 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2372 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2371 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2370 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2368 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2367 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[80][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2366 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2364 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2362 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2361 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2360 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2358 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2357 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2355 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[81][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2353 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2351 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2349 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2348 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2347 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2346 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2345 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2344 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[82][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2342 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2340 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2338 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2337 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2612 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2335 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2334 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2333 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[83][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2330 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2328 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2325 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2324 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2322 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2321 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2320 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2318 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[84][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2317 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2315 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2314 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2313 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2311 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2309 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1618 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2306 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[85][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2305 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2303 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2301 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2299 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2298 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2297 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2296 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2294 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[86][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2293 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2292 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2290 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2914 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2287 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2286 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2285 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1439 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[87][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2283 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2281 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2279 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2278 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2273 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2270 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2268 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2263 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[88][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2262 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2252 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2250 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2249 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2245 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2240 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2236 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2234 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[89][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2231 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2225 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2223 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2222 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2219 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2215 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2213 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2212 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[90][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2209 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2201 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2200 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2199 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2195 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2192 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2189 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2187 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[91][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2184 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2181 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2176 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2174 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2171 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2169 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2167 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2165 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[92][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2164 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2154 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2152 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2150 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2148 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2147 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2145 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2142 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[93][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2139 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2133 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2131 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2130 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2128 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2125 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2123 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2122 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[94][8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2119 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2111 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2110 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2109 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][4]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2105 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2102 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2101 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2098 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[95][8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2096 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2090 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2087 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2598 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2084 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2083 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2082 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2081 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[96][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2080 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2079 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2076 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2075 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2073 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2072 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2070 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2069 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[97][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2067 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2066 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2065 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2063 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2062 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2061 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2060 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2058 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[98][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2056 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2054 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2053 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2051 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2049 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2048 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2046 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2044 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[99][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2043 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2040 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2039 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2037 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2036 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2034 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2033 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2032 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[100][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2031 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2029 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2028 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2026 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2025 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2024 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2022 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2021 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[101][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2018 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2017 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2015 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2013 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2011 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2010 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2009 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2008 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[102][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2006 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2004 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2003 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2001 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2000 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1999 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1997 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1996 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[103][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1995 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1991 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1990 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1989 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1987 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1985 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1984 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1983 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[104][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1982 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1980 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1979 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1978 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1977 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1976 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1973 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1972 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[105][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1970 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1968 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1967 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1965 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1963 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1962 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1961 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1960 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[106][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1959 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1957 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1956 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1954 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1952 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1950 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1949 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1948 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[107][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1946 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1944 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1943 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2466 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1940 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1938 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1937 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1936 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[108][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1934 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1933 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1931 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1930 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1928 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1927 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1926 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1924 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[109][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1922 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1920 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1918 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1917 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1916 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1915 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1913 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1912 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[110][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1910 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1909 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1907 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1905 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1904 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1903 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1902 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1901 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[111][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1899 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1896 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1895 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1893 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1891 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1890 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1889 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1888 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[112][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1887 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1884 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1883 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1881 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1880 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1879 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1877 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1876 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[113][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1875 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1872 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1870 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1869 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1867 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1866 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1865 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1864 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[114][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1863 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1861 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1859 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1858 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1856 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1855 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1853 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1852 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[115][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1849 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1848 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1846 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1845 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1843 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1842 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1841 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1840 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[116][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1839 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1837 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1836 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1834 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1832 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1830 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1829 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1828 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[117][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1826 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1825 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1824 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1823 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1821 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1819 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1817 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1816 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[118][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1815 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_1003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1813 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1810 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1809 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1808 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1807 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1805 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1803 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[119][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1801 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1799 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1797 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1796 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1794 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1793 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1792 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1791 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[120][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1790 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1787 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1786 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1785 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1783 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1782 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1781 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1779 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[121][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1778 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1775 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1774 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1773 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1770 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1769 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1768 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1767 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[122][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1766 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1763 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1761 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1760 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1758 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1757 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1755 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1753 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[123][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1752 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1750 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1748 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1745 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1744 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1743 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1742 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1740 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[124][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1739 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1738 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1737 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1736 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1735 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1734 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1732 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1729 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[125][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1728 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1726 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1723 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1722 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1721 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1719 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1718 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1717 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[126][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1716 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1714 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1713 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1712 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1709 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1708 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1706 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1705 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[127][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1703 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1701 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1700 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1699 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1697 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1696 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1694 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1693 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[128][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1692 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1690 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1687 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1686 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1684 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1683 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1680 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1678 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[129][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1676 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1674 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1673 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1672 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1670 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1669 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1668 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1667 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[130][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1666 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[131][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[131][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[132][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[132][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[133][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[133][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[134][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[134][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[135][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[135][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[136][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[136][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[137][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[137][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[138][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[138][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[139][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[139][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[140][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[140][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[141][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[141][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[142][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[142][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1518 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1516 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1514 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1513 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1511 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1510 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1509 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[143][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1507 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1506 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1503 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1502 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1500 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1499 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1498 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1497 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[144][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1496 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1495 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1493 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1491 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1489 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1487 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1486 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1485 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[145][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1483 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1480 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1479 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1478 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1476 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1475 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1474 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1473 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[146][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1472 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[147][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[147][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[148][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[148][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[149][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[149][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[150][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[150][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[151][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[151][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[152][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[152][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[153][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[153][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[154][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[154][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[155][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[155][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[156][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[156][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[157][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[157][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[158][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[158][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2550 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2549 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2547 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2545 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2543 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2542 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2541 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[159][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2539 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2536 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2535 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2533 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2532 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2531 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2530 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2529 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[160][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2528 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2526 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2524 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2523 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2521 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2519 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2517 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2515 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[161][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2514 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2512 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2510 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2509 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2506 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2504 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2503 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2502 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[162][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2500 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[163][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[163][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[164][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[164][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[165][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[165][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[166][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[166][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[167][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[167][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[168][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[168][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[169][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[169][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[170][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[170][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[171][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[171][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[172][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[172][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[173][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[173][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[174][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[174][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2763 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2765 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2766 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2767 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2769 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2770 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2772 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[175][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2774 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2777 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2779 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2781 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2782 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2783 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2784 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2785 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[176][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2787 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2788 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2790 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2791 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2792 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2795 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2796 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2797 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[177][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2799 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2803 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2804 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2806 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2807 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2808 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2809 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2811 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[178][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2812 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2815 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2817 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2818 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2819 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2821 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2823 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2825 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[179][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2826 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2828 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2830 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2832 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2833 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2834 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2835 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2836 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[180][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2837 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2839 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2841 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2843 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2845 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2846 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2847 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2849 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[181][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2850 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2853 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2855 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2856 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2857 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2859 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2860 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2862 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[182][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2863 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2865 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2866 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2867 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2869 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2870 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2871 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2873 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[183][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2875 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2877 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2879 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2881 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2882 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2884 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2885 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2886 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[184][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2887 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2889 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2890 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2892 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2894 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2896 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2898 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2899 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[185][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2900 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2902 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2904 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2906 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2908 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2909 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2910 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2911 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[186][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2913 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2916 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2918 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2920 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2921 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2922 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2924 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2926 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[187][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2928 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2930 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2932 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2934 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2936 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2937 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2938 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2940 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[188][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2941 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2943 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2944 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2946 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2948 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2949 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2950 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2952 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[189][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2955 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2958 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2960 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2961 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2962 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2964 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][6]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2965 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2967 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[190][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2968 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2970 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][2]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2971 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2972 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2974 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2976 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][6]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2978 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2979 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[191][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2981 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1386 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1385 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1383 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1382 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1381 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1380 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1379 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[192][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1403 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1377 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1376 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1375 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1374 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1373 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1372 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1371 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[193][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1370 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1369 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1368 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1367 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1366 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1365 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1364 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1363 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[194][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1362 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1361 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1360 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1359 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1357 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1356 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1355 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1354 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[195][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1353 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1351 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1350 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1407 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1349 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1348 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1347 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1345 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[196][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1126 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1343 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1342 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1341 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1340 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1339 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1238 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1338 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[197][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1337 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1336 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1335 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1334 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1333 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1332 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1331 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1330 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[198][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1329 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1327 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1326 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1325 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1324 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1323 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1322 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1321 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[199][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1320 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1319 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1195 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1318 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1317 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1413 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1315 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1314 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[200][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1313 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1311 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1310 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1309 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1308 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1307 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1306 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1305 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[201][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1145 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1304 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1303 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1295 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1302 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1301 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1300 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1108 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[202][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1299 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1298 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1297 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1296 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1294 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1116 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1293 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1292 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[203][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1291 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1290 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1289 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1287 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1286 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1285 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1284 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1157 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[204][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1283 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1282 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1239 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1281 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1280 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1279 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1278 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1277 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[205][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1276 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1275 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1274 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1273 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1358 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1272 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1271 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1270 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[206][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1174 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1269 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1268 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1267 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1266 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1265 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1264 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1352 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[207][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1263 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1262 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1378 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1261 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1260 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1259 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1258 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1257 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[208][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1256 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1030 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1255 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1032 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1031 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1254 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1253 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1036 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[209][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1042 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1252 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1045 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1046 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1251 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1055 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1250 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1249 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[210][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1065 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1248 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1247 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1246 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1073 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1088 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1245 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1244 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[211][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1243 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1242 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1328 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1241 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1389 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1240 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1393 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1237 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[212][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1395 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1236 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1235 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1401 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1234 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1404 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1405 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1233 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[213][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1406 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1232 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1050 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1231 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1051 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1053 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1230 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1229 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[214][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1079 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1228 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1227 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1226 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1225 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1224 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1223 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1288 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[215][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1052 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1222 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1037 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1316 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1038 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1408 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1054 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1025 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[216][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1118 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1219 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1218 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1217 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1134 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1312 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1215 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1214 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[217][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1041 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1213 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1043 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1212 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1064 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1211 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1061 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1062 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[218][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1210 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1196 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1198 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1209 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1208 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1204 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1207 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1206 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[219][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1216 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1205 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1388 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1203 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1049 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1202 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1056 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1201 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[220][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1057 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1166 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1159 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1200 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1344 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1346 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1384 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1199 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[221][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1071 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1398 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1197 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1059 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1060 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1063 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1390 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1392 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[222][8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1396 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1044 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1194 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][3]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1066 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1193 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][5]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1192 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][6]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1191 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1068 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[223][8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1394 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1190 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1189 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1188 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1187 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1186 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1185 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1183 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[224][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1182 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1181 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1180 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1070 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1179 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1178 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1177 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1176 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[225][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1400 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1175 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1173 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1172 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1171 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1170 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1169 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1168 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[226][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1167 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1058 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1165 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1184 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1164 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1163 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1162 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1161 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[227][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1067 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1160 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1158 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1156 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1155 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1154 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1153 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1152 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[228][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1151 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1150 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1149 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1035 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1148 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1147 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1402 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1146 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[229][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1391 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1144 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1143 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1142 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1141 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1140 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1139 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1138 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[230][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1137 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1033 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1136 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1034 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1135 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1133 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1047 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1132 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[231][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1072 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1131 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1130 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1129 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1128 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1127 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1125 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1124 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[232][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1123 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1122 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1121 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1399 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1120 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1119 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1069 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1117 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[233][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1397 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1115 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1114 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1113 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1112 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1111 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1110 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1109 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[234][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1107 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1048 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1106 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1387 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1105 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1104 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1103 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1102 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[235][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1101 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1100 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1099 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1098 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1097 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1096 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1095 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1094 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[236][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1093 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1029 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1092 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1091 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1090 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1089 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1039 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1087 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[237][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1040 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1086 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1085 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1084 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1083 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1082 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1081 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1080 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[238][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1220 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1078 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1077 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1026 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1076 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1075 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1027 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1028 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[239][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1074 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2277 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2274 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2272 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2271 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2269 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2267 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2266 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[240][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2264 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2261 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2260 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2259 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2257 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2255 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2254 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2253 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[241][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_21 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2251 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2248 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2246 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2244 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2243 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2241 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2239 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2237 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[242][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2235 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1661 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2232 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2230 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2229 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2228 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2227 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1681 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[243][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1685 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2221 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2220 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2218 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2216 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1747 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2214 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1756 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[244][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2211 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2210 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1798 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2207 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2206 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2205 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2204 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2203 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[245][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2202 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2198 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2197 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2196 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2041 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2193 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2086 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2190 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[246][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2085 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2175 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2185 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2226 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2183 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2182 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2180 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2179 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[247][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2258 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][0] ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2276 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2172 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2319 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2170 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2312 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2168 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2327 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[248][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2166 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2163 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2162 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2160 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2159 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2157 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2156 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_11 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2155 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[249][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2457 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_10 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2151 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3028 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2149 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3035 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2985 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3021 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2144 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[250][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2143 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2880 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2140 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2838 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_16 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2137 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2136 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2134 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2810 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[251][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2132 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2800 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2793 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2775 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2127 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2126 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2124 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2742 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[252][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2121 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2120 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2719 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2118 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2117 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2115 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2114 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2112 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[253][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2660 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][1]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2108 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][2]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2107 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2106 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2469 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2103 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2475 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][7]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2483 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[254][8]  (
+	.CLK(CTS_58),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2490 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][0] ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][0] ),
+	.RESET_B(soc_top_system_rst_ni),
+	.SCD(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.SCE(\soc_top_u_uart_u_uart_core_write_fifo/n_956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2518 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2097 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2507 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2094 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2093 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2092 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2522 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[255][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2089 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_587 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2645 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3084 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3087 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3098 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[0]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_323 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_384 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_423 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_722 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1412 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1410 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1409 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1411 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[8] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g149614  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3097 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g149616  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3094 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g149617  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3095 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g149618  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3091 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_3094 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_3095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g149620  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3092 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g149621  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3088 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_3091 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_3092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g149623  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3089 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g149624  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3085 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_3088 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_3089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g149626  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3086 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g149627  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_3082 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_3085 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_3086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g149630  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3083 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g149631  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_1414 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_3082 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_3083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151506  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5408 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151507  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151508  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151509  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151510  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151511  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151512  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151513  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151514  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3073 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151515  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151516  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151517  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151518  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151519  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151520  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151521  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151522  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[71][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151523  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151524  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151525  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151526  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151527  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151528  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3059 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151529  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151530  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151531  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151532  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[4][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151533  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151534  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[70][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151535  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151536  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151537  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151538  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151539  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151540  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151541  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151542  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151543  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151544  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151545  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151546  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[69][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151547  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151548  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151549  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151550  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151551  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151552  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151553  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151554  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151555  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151556  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[3][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151557  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151558  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151559  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151560  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151561  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[68][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151562  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151563  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151564  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151565  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151566  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151567  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151568  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151569  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151570  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151571  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151572  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151573  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151574  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[67][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151575  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151576  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151577  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151578  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151579  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151580  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151581  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[2][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_3006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151582  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151583  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151584  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151585  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[66][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151586  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151587  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151588  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151589  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151590  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151591  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151592  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151593  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151594  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151595  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151596  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151597  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[65][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151598  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151599  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151600  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151601  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151602  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151603  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151604  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151605  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151606  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151607  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151608  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151609  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151610  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151611  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151612  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[1][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151613  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151614  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151615  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151616  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151617  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[191][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151618  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[64][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151619  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151620  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151621  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151622  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151623  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151624  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151625  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151626  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151627  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151628  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151629  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[190][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151630  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151631  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151632  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151633  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151634  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151635  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151636  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151637  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151638  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151639  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151640  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151641  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151642  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151643  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151644  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[189][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151645  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[63][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151646  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151647  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151648  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151649  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151650  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151651  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151652  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151653  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151654  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151655  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151656  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151657  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[188][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151658  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151659  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151660  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151661  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151662  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151663  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151664  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151665  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151666  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151667  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151668  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151669  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151670  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151671  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[187][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151672  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151673  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151674  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151675  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[62][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151676  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151677  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151678  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151679  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151680  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151681  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151682  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151683  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151684  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151685  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[186][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151686  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151687  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151688  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151689  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151690  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151691  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151692  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151693  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151694  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151695  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151696  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[0][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151697  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151698  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[185][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151699  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[61][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151700  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151701  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151702  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151703  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151704  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151705  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151706  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151707  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151708  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151709  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151710  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[184][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151711  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151712  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151713  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151714  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151715  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151716  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151717  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151718  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151719  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151720  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151721  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151722  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[183][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151723  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151724  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151725  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151726  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[60][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151727  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151728  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151729  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151730  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151731  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151732  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151733  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151734  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[182][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151735  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151736  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151737  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151738  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151739  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151740  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151741  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151742  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151743  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151744  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151745  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151746  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151747  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[59][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151748  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[181][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151749  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151750  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151751  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151752  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151753  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151754  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151755  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151756  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151757  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151758  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151759  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[180][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151760  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151761  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151762  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151763  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151764  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151765  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151766  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151767  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151768  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151769  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151770  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151771  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151772  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[179][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151773  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[58][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151774  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151775  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151776  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151777  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151778  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151779  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151780  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151781  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151782  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151783  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151784  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[178][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151785  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151786  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151787  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151788  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151789  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151790  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151791  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151792  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151793  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151794  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151795  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151796  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151797  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151798  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151799  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[177][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151800  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151801  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[57][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151802  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151803  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151804  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151805  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151806  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151807  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151808  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151809  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151810  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[176][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151811  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151812  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151813  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151814  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151815  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151816  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151817  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151818  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151819  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151820  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151821  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151822  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151823  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151824  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[175][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151825  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5507 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151826  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[56][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151827  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5506 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151828  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5505 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151829  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151830  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5504 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151831  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5503 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151832  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151833  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5502 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151834  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5501 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151835  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151836  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5500 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151837  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5499 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151838  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151839  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5498 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151840  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5497 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151841  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151842  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5496 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151843  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151844  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5495 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151845  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151846  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5494 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151847  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151848  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5493 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151849  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5492 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151850  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[55][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151851  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5491 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151852  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5490 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151853  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5489 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151854  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5488 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151855  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151856  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5487 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151857  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5486 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151858  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151859  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5485 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151860  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151861  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5484 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151862  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151863  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5483 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151864  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5482 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151865  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5481 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151866  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151867  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5480 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151868  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151869  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5479 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151870  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5478 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151871  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151872  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5477 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151873  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151874  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5476 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151875  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[54][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151876  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5475 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151877  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5474 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151878  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5473 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151879  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5472 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151880  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5471 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151881  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151882  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5470 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151883  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5469 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151884  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151885  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5468 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151886  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151887  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151888  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5467 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151889  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5466 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151890  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5465 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151891  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151892  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5464 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151893  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151894  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5463 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151895  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151896  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5462 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151897  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5461 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151898  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5460 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151899  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[53][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151900  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151901  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5459 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151902  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5458 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151903  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5457 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151904  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5456 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151905  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5455 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151906  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5454 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151907  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151908  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5453 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151909  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5452 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151910  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151911  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5451 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151912  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151913  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5450 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151914  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151915  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5449 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151916  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5448 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151917  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5447 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151918  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151919  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5446 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151920  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151921  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5445 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151922  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5444 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151923  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[52][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151924  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5443 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151925  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5442 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151926  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5441 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151927  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151928  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151929  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5440 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151930  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5439 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151931  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5438 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151932  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5437 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151933  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151934  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151935  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5436 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151936  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151937  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5435 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151938  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5434 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151939  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151940  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5433 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151941  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g151942  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151943  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5432 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151944  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151945  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5352 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151946  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5353 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151947  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5354 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151948  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5355 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151949  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151950  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5356 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151951  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151952  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5357 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151953  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5358 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151954  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5359 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151955  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151956  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5360 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151957  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151958  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5361 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151959  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5362 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151960  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151961  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5363 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151962  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151963  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5364 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151964  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5365 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151965  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151966  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5366 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151967  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151968  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5367 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151969  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5368 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151970  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151971  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5369 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151972  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5370 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151973  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5371 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151974  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151975  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151976  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5372 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151977  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5373 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151978  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151979  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5374 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151980  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[45][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151981  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5375 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151982  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5376 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151983  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5377 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151984  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5378 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151985  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5379 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151986  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151987  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5380 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151988  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5381 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151989  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151990  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151991  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5382 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151992  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5383 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151993  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151994  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151995  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5384 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151996  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5385 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151997  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5386 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g151998  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5387 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g151999  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152000  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152001  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5388 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152002  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152003  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5389 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152004  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5390 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152005  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[46][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152006  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5391 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152007  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5392 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152008  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5393 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152009  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152010  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5394 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152011  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5395 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152012  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152013  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5396 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152014  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5397 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152015  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152016  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5398 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152017  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5399 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152018  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5400 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152019  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152020  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5401 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152021  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152022  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5402 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152023  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152024  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5403 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152025  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5404 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152026  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152027  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5405 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152028  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[47][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152029  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5406 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152030  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5407 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152031  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152032  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152033  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5409 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152034  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5410 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152035  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152036  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5411 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152037  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152038  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152039  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152040  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152041  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152042  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152043  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152044  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152045  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152046  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152047  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152048  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[159][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152049  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152050  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152051  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152052  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152053  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[48][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152054  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152055  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152056  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152057  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152058  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152059  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[160][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152060  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152061  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152062  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152063  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152064  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152065  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152066  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152067  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152068  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152069  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152070  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152071  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152072  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152073  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[161][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152074  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152075  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152076  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152077  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152078  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152079  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152080  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152081  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152082  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[49][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152083  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152084  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152085  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152086  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152087  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[162][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152088  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5412 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152089  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152090  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5413 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152091  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5414 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152092  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152093  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5415 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152094  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152095  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5416 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152096  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5417 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152097  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152098  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152099  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5418 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152100  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5419 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152101  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152102  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5420 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152103  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5421 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152104  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152105  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152106  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5422 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152107  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5423 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152108  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[50][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152109  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5424 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152110  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5425 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152111  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5426 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152112  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152113  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5427 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152114  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5428 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152115  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5429 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152116  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[51][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152117  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5430 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152118  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152119  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5431 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g152121  (
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_re),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_721 ),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152557  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152558  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152559  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152560  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152561  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152562  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[72][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152563  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152564  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152565  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152566  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152567  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152568  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152569  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152570  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152571  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152572  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152573  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152574  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152575  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152576  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152577  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152578  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152579  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152580  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152581  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152582  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152583  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152584  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152585  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[74][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152586  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152587  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152588  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152589  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152590  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152591  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152592  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152593  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152594  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152595  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152596  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152597  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152598  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[75][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152599  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152600  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152601  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152602  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152603  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152604  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152605  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152606  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152607  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[6][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152608  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152609  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152610  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[76][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152611  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152612  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152613  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152614  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152615  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152616  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152617  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152618  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152619  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152620  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152621  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152622  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152623  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[77][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152624  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152625  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152626  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[7][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152627  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152628  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152629  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152630  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152631  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152632  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152633  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[78][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152634  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152635  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152636  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152637  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152638  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152639  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152640  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152641  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152642  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152643  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152644  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152645  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152646  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[79][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152647  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152648  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[8][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152649  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152650  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152651  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152652  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152653  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152654  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152655  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152656  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152657  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[80][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152658  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152659  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152660  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152661  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152662  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152663  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152664  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152665  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152666  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152667  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152668  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152669  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152670  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[81][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152671  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152672  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152673  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[9][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152674  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152675  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152676  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152677  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152678  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152679  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152680  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152681  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[82][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152682  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152683  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152684  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152685  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152686  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152687  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152688  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152689  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152690  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152691  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152692  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152693  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[83][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152694  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152695  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152696  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152697  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[10][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152698  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152699  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152700  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152701  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152702  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152703  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152704  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152705  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152706  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[84][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152707  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152708  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152709  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152710  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152711  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152712  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152713  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152714  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152715  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152716  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152717  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152718  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152719  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152720  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152721  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152722  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152723  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[11][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152724  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152725  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152726  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152727  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152728  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152729  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152730  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[86][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152731  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152732  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152733  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152734  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152735  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152736  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152737  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152738  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152739  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152740  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152741  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152742  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152743  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152744  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152745  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152746  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152747  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152748  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[12][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152749  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152750  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152751  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152752  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152753  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152754  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152755  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152756  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152757  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152758  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152759  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[240][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152760  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152761  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[88][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152762  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152763  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152764  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152765  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152766  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152767  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152768  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152769  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152770  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152771  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152772  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[241][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152773  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152774  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152775  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152776  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152777  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152778  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152779  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152780  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152781  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152782  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152783  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152784  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152785  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152786  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152787  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152788  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[242][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152789  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152790  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152791  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152792  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[89][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152793  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152794  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152795  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152796  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152797  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152798  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152799  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152800  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152801  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152802  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152803  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152804  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152805  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152806  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[13][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152807  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152808  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152809  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152810  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152811  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152812  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152813  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152814  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[90][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152815  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152816  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152817  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152818  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152819  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152820  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152821  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152822  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152823  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152824  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152825  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152826  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152827  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152828  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152829  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152830  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152831  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152832  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152833  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152834  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152835  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152836  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152837  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152838  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152839  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[91][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152840  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152841  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152842  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152843  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152844  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152845  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152846  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152847  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152848  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[247][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152849  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152850  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[14][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152851  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152852  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152853  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152854  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152855  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152856  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152857  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[248][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152858  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152859  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[92][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152860  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152861  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152862  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152863  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152864  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152865  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152866  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152867  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152868  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[249][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152869  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152870  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152871  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152872  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152873  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152874  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152875  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152876  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152877  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152878  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152879  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152880  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[250][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152881  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152882  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152883  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152884  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[93][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152885  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152886  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152887  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152888  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152889  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152890  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152891  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[251][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152892  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152893  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152894  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[15][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152895  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152896  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152897  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152898  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152899  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152900  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152901  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152902  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[252][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152903  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152904  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[94][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152905  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152906  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152907  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152908  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152909  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152910  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152911  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[253][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152912  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152913  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152914  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152915  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152916  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152917  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152918  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152919  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152920  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[254][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152921  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152922  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152923  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152924  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152925  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152926  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152927  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[95][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152928  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[73][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152929  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152930  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152931  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152932  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2091 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152933  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152934  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[255][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152935  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[16][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152936  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152937  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152938  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152939  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152940  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152941  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152942  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152943  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[96][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152944  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152945  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152946  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152947  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152948  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152949  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152950  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2073 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152951  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152952  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152953  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152954  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152955  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152956  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[97][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152957  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152958  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152959  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152960  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152961  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152962  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152963  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152964  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2059 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152965  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152966  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152967  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[98][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152968  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152969  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152970  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152971  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[17][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152972  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152973  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152974  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152975  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152976  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152977  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152978  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152979  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152980  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[99][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152981  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152982  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[246][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152983  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152984  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152985  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152986  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152987  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152988  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[18][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152989  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152990  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152991  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152992  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[100][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152993  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152994  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152995  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g152996  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152997  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152998  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g152999  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153000  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153001  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153002  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153003  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153004  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153005  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[101][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153006  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153007  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153008  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153009  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153010  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153011  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[19][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153012  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153013  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153014  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153015  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153016  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153017  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[102][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153018  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153019  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153020  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153021  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_2002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153022  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153023  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_2000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153024  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153025  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153026  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153027  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153028  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[103][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153029  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153030  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153031  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153032  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153033  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153034  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153035  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[20][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153036  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153037  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153038  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153039  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153040  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153041  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[104][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153042  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153043  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153044  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153045  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153046  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153047  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153048  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153049  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153050  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153051  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153052  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153053  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[105][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153054  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153055  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153056  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153057  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153058  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153059  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[21][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153060  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153061  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153062  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153063  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153064  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[106][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153065  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153066  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153067  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153068  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153069  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153070  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153071  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153072  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153073  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153074  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153075  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153076  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153077  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[107][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153078  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153079  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153080  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153081  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153082  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[5][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153083  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153084  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[22][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153085  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153086  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153087  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153088  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153089  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[108][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153090  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153091  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153092  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153093  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153094  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153095  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153096  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153097  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153098  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153099  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153100  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153101  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[109][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153102  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153103  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153104  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153105  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153106  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153107  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153108  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153109  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[23][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153110  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153111  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153112  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153113  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[110][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153114  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153115  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153116  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153117  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153118  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153119  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153120  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153121  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153122  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153123  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153124  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[111][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153125  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153126  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153127  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153128  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153129  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153130  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153131  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[24][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153132  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153133  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153134  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153135  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153136  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[112][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153137  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153138  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153139  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153140  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153141  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153142  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153143  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153144  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153145  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153146  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153147  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153148  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[113][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153149  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153150  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153151  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153152  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153153  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153154  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153155  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[25][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153156  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153157  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153158  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153159  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153160  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[114][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153161  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153162  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153163  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153164  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153165  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153166  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153167  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153168  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153169  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153170  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153171  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153172  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153173  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153174  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[115][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153175  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153176  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153177  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153178  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153179  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[26][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153180  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153181  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153182  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153183  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153184  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[116][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153185  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153186  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153187  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153188  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153189  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153190  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153191  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153192  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153193  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153194  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153195  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153196  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153197  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[117][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153198  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153199  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153200  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153201  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153202  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153203  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153204  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153205  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[27][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153206  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153207  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153208  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[118][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153209  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153210  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153211  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153212  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153213  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153214  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153215  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153216  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153217  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153218  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153219  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153220  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153221  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153222  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[119][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153223  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153224  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153225  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[245][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153226  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153227  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153228  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[28][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153229  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153230  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153231  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153232  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153233  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[120][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153234  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153235  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153236  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153237  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153238  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153239  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153240  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153241  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153242  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153243  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153244  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153245  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[121][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153246  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153247  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153248  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153249  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153250  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153251  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153252  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[29][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153253  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153254  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153255  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153256  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153257  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[122][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153258  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153259  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153260  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153261  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153262  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153263  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153264  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153265  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153266  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153267  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153268  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153269  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153270  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153271  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[123][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153272  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153273  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153274  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153275  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153276  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[244][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153277  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[30][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153278  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153279  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153280  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153281  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153282  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153283  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153284  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[124][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153285  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153286  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153287  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153288  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153289  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153290  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153291  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153292  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153293  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153294  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153295  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[125][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153296  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153297  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153298  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153299  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153300  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153301  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153302  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153303  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[31][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153304  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153305  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153306  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153307  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[126][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153308  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153309  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153310  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153311  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153312  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153313  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153314  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153315  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153316  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153317  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153318  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153319  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153320  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[127][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153321  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153322  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153323  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153324  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153325  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153326  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153327  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153328  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[32][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153329  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153330  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153331  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[128][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153332  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153333  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153334  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153335  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153336  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153337  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153338  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153339  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153340  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153341  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153342  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153343  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153344  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153345  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153346  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153347  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[129][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153348  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153349  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153350  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153351  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153352  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[33][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153353  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153354  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153355  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153356  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153357  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[130][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153358  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153359  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5220 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153360  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153361  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5221 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153362  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[243][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153363  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5222 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153364  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5223 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153365  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5224 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153366  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5225 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153367  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153368  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5226 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153369  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153370  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5227 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153371  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153372  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153373  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5228 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153374  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5229 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153375  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5230 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153376  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153377  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[34][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153378  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5231 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153379  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5232 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153380  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5233 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153381  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5234 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153382  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5235 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153383  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153384  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153385  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5236 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153386  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153387  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5237 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153388  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5238 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153389  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153390  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5239 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153391  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5240 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153392  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5241 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153393  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5242 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153394  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153395  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5243 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153396  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153397  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5244 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153398  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153399  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5245 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153400  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[35][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153401  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5246 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153402  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5247 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153403  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5248 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153404  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5249 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153405  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[85][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153406  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5250 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153407  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5251 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153408  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5252 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153409  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153410  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5253 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153411  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153412  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5254 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153413  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153414  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5255 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153415  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5256 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153416  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5257 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153417  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153418  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5258 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153419  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153420  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5259 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153421  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153422  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5260 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153423  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153424  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5261 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153425  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5262 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153426  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5263 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153427  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[36][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153428  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5264 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153429  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5265 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153430  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5266 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153431  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153432  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5267 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153433  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5268 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153434  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153435  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5269 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153436  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5270 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153437  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153438  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5271 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153439  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5272 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153440  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153441  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153442  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5273 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153443  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5274 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153444  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5275 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153445  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153446  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153447  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5276 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153448  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5277 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153449  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5278 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153450  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5279 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153451  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5280 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153452  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5281 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153453  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5282 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153454  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5283 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153455  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[37][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153456  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153457  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5284 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153458  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153459  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5285 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153460  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153461  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5286 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153462  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5287 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153463  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5288 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153464  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153465  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5289 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153466  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5290 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153467  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153468  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5291 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153469  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153470  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5292 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153471  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153472  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5293 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153473  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5294 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153474  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[38][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153475  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5295 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153476  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5296 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153477  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153478  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153479  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153480  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153481  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153482  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153483  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5301 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153484  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5302 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153485  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153486  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153487  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153488  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5304 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153489  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5305 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153490  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153491  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153492  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5307 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153493  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153494  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5308 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153495  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153496  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153497  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5310 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153498  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153499  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[39][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153500  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5312 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153501  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153502  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5314 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153503  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153504  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153505  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153506  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153507  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153508  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153509  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153510  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153511  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153512  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153513  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153514  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153515  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153516  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[143][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153517  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153518  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153519  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153520  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153521  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153522  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[40][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153523  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153524  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153525  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153526  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153527  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[144][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153528  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153529  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153530  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153531  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153532  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153533  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153534  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153535  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153536  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153537  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153538  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153539  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153540  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[145][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153541  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153542  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153543  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153544  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153545  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153546  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[41][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153547  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153548  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153549  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153550  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153551  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[146][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153552  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153553  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153554  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5316 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153555  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5317 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153556  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5318 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153557  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5319 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153558  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153559  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153560  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5320 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153561  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5321 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153562  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153563  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5322 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153564  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5323 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153565  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153566  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5324 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153567  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5325 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153568  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153569  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5326 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153570  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5327 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153571  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5328 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153572  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5329 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153573  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5330 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153574  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[42][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153575  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5331 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153576  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153577  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5332 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153578  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153579  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5333 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153580  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153581  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5334 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153582  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153583  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5335 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153584  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[87][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153585  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5336 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153586  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5337 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153587  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153588  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5338 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153589  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5339 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153590  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153591  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153592  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5340 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153593  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5341 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153594  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[43][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153595  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5342 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153596  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5343 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153597  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5344 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153598  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5345 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153599  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5346 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153600  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153601  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5347 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153602  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153603  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5348 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153604  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5349 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153605  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[44][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153606  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5350 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153607  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_5351 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g153608  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_385 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_1414 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_1415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153609  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g153610  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_720 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g153611  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_717 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g153612  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_718 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g153613  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_719 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153614  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153615  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153616  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153617  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153618  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153619  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153620  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153621  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153622  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153623  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153624  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153625  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153626  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153627  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153628  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153629  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153630  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153631  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153632  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153633  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153634  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153635  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153636  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153637  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153638  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153639  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153640  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153641  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153642  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153643  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[192][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153644  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153645  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153646  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153647  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153648  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153649  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153650  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153651  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153652  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[193][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153653  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153654  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153655  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153656  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153657  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153658  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153659  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153660  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[194][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153661  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153662  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153663  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153664  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153665  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153666  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153667  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153668  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153669  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[195][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153670  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153671  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153672  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153673  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153674  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153675  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153676  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153677  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153678  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153679  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153680  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153681  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153682  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153683  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153684  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153685  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153686  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153687  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153688  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153689  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153690  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153691  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153692  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153693  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[198][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153694  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153695  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153696  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153697  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153698  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153699  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153700  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153701  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153702  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[199][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153703  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153704  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153705  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153706  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153707  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153708  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153709  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153710  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153711  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153712  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153713  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153714  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153715  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153716  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153717  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153718  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153719  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153720  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153721  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153722  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153723  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153724  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153725  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153726  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153727  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153728  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153729  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153730  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153731  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153732  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153733  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153734  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153735  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153736  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153737  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153738  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153739  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153740  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153741  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153742  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153743  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153744  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153745  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153746  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153747  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153748  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153749  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153750  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153751  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153752  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153753  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153754  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153755  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153756  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153757  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153758  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153759  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[207][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153760  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153761  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153762  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153763  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153764  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153765  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153766  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[208][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153767  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153768  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153769  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153770  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153771  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153772  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153773  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153774  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153775  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153776  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153777  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153778  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153779  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153780  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153781  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153782  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153783  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[205][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153784  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[197][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153785  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[212][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153786  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153787  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153788  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153789  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[213][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153790  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153791  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153792  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153793  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153794  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153795  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153796  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153797  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153798  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153799  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153800  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g153801  (
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_we),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_426 ),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153804  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153805  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153806  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153807  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153808  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153809  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153810  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153811  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153812  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153813  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153814  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153815  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153816  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153817  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153818  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153819  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153820  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153821  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153822  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153823  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153824  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153825  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153826  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153827  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153828  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[219][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153829  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[200][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153830  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153831  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153832  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153833  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153834  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153835  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153836  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153837  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153838  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153839  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153840  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153841  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153842  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[224][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153843  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153844  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153845  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153846  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153847  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153848  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153849  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153850  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[206][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153851  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153852  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153853  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153854  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153855  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][6] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153856  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153857  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[226][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153858  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153859  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153860  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153861  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153862  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153863  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153864  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153865  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153866  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153867  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[204][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153868  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153869  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153870  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153871  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153872  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153873  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[228][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153874  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153875  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153876  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153877  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153878  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153879  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[201][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153880  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153881  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153882  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153883  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153884  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153885  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153886  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153887  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[230][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153888  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153889  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153890  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153891  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153892  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153893  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153894  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153895  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153896  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153897  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153898  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[196][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153899  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153900  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153901  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[232][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153902  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153903  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153904  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153905  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153906  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153907  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153908  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[203][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153909  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153910  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153911  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153912  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153913  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153914  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153915  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153916  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[202][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153917  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[234][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153918  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153919  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153920  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153921  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153922  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153923  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153924  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153925  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153926  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153927  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153928  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153929  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153930  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153931  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[236][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153932  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153933  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1091 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153934  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153935  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153936  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153937  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153938  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153939  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153940  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153941  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153942  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153943  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153944  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[238][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153945  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153946  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1078 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153947  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153948  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153949  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1075 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153950  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153951  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[211][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1073 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153952  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153953  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[221][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153954  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[225][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153955  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[233][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153956  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153957  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153958  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153959  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153960  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153961  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153962  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153963  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153964  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153965  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[222][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1059 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153966  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[227][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153967  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153968  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153969  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][5] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153970  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153971  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][5] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153972  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[215][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153973  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153974  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[214][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153975  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[220][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153976  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[235][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153977  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153978  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153979  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[210][2] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153980  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[223][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153981  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[218][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153982  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153983  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[217][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153984  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][8] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/FE_DBTN126_n_206 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153985  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153986  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][4] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153987  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][2] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153988  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153989  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[229][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153990  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153991  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[231][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153992  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][3] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1032 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153993  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][4] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_uart_u_uart_core_write_fifo/g153994  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[209][1] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_1030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153995  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[237][1] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153996  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153997  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][6] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153998  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[239][3] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g153999  (
+	.A1_N(\soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer[216][7] ),
+	.A2_N(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154000  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1023 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154001  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1021 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154002  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1019 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154003  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1017 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154004  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1015 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154005  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1013 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154006  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1011 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154007  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1009 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154008  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1007 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154009  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1005 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154010  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1003 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154011  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_1001 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154012  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_999 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154013  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_997 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154014  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_983 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154015  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_981 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154016  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_979 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154017  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_976 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154018  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_974 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154019  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_972 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154020  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_970 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154021  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_968 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154022  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_966 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154023  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_964 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154024  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_962 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154025  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_960 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_961 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154026  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_958 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154027  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_956 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154028  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_954 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154029  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_944 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154030  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_942 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154031  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_940 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154032  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_938 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154033  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_936 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154034  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_934 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154035  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_932 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154036  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_930 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154037  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_928 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154038  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_922 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154039  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_920 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154040  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_918 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154041  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_916 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154042  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_895 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154043  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_893 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154044  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_891 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154045  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_889 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154046  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_887 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154047  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_881 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154048  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_879 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154049  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_877 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154050  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_875 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154051  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_873 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154052  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_871 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154053  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_869 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154054  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_867 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154055  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_865 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154056  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_863 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154057  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_425 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154060  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1023 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154061  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154062  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154063  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154064  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154065  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154066  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154067  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1009 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154068  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154069  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154070  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154071  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_1001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154072  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154073  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154074  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154075  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154076  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154077  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154078  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154079  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154080  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154081  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154082  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154083  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154084  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154085  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154086  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154087  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154088  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154089  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154090  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154091  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154092  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154093  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154094  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154095  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154096  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154097  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154098  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154099  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154100  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154101  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154102  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154103  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154104  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154105  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_950 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154106  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154107  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154108  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154109  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154110  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154111  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154112  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154113  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154114  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154115  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154116  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154117  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154118  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154119  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154120  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154121  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154122  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154123  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154124  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154125  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154126  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154127  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154128  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154129  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154130  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154131  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154132  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154133  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154134  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154135  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_907 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154136  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154137  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154138  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154139  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_903 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154140  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154141  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154142  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154143  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154144  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154145  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154146  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_895 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154147  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154148  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154149  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154150  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154151  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154152  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154153  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154154  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154155  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154156  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154157  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154158  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154159  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154160  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154161  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154162  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154163  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154164  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154165  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_858 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154166  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_856 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154167  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_854 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154168  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_852 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154169  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_850 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154170  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_838 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154171  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_836 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154172  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_834 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154173  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_831 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154174  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_829 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154175  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_827 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154176  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_825 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154177  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_823 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154178  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_821 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154179  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_819 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154180  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_806 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154181  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_804 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154182  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_802 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154183  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_800 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154184  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_798 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154185  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_796 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154186  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_794 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154187  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_792 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154188  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_776 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154189  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_774 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154190  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_772 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154191  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_770 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154192  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_768 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154193  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_766 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154194  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_764 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154195  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_762 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154196  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_760 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154197  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_752 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154198  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_750 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154199  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_748 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154200  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_746 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154201  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_424 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 \soc_top_u_uart_u_uart_core_write_fifo/g154202  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_5600 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.A3(\soc_top_u_uart_u_uart_core_write_fifo/raddr[1] ),
+	.A4(\soc_top_u_uart_u_uart_core_write_fifo/raddr[2] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g154203  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_334 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_290 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_333 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_199 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154204  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_382 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154205  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_383 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154206  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[8] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_381 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154207  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154208  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154209  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154210  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154211  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154212  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154213  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154214  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154215  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154216  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154217  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154218  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154219  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154220  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154221  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154222  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154223  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154224  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154225  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154226  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154227  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154228  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154229  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154230  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154231  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154232  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154233  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154234  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154235  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154236  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154237  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154238  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154239  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154240  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154241  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154242  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154243  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154244  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154245  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154246  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154247  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154248  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154249  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154250  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154251  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154252  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154253  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154254  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154255  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154256  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154257  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154258  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154259  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154260  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154261  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154262  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154263  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154264  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154265  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154266  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154267  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154268  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154269  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154270  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154271  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154272  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154273  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154274  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154275  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154276  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154277  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154278  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154279  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154280  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154281  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154282  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154283  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154284  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154285  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154286  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154287  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154288  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154289  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154290  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154291  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154292  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154293  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154294  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154295  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154296  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154297  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154298  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154299  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154300  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154301  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154302  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154303  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154304  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154305  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154306  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154307  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154308  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154309  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154310  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_386 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154312  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154313  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154314  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154315  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154316  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154317  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154318  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154319  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154320  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154321  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154322  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154323  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154324  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154325  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154326  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154327  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154328  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154329  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154330  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_389 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154331  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_397 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154332  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_397 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154333  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_392 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154334  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_394 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154335  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_392 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154336  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_394 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154337  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_392 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154338  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_397 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154339  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_394 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154340  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_389 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154341  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_389 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154342  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154343  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154344  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154345  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154346  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154347  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154348  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154349  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154350  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154351  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154352  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154353  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_675 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154354  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_327 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_5598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154355  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154356  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154357  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154358  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154359  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154360  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_668 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154361  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154362  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154363  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154364  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154365  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154366  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_662 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154367  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154368  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154369  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154370  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_658 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154371  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_657 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154372  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154373  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154374  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154375  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154376  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154377  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154378  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154379  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154380  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154381  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154382  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154383  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154384  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154385  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154386  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154387  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154388  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154389  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154390  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154391  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154392  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154393  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154394  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154395  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154396  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154397  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154398  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154399  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154400  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154401  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154402  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154403  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154404  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154405  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154406  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154407  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154408  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154409  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154410  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154411  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154412  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154413  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154414  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154415  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154416  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154417  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154418  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154419  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154420  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154421  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154422  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154423  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154424  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154425  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154426  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154427  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154428  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154429  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154430  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154431  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154432  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154433  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154434  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154435  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154436  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154437  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154438  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154439  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154440  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154441  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_506 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154442  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_504 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154443  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_502 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154444  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_500 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154445  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_498 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154446  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_496 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154447  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_494 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154448  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_492 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154449  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_490 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154450  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_488 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154451  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_486 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154452  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_484 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154453  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_482 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154454  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_471 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154455  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_469 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154456  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_467 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154457  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_465 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154458  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_463 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154459  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_461 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154460  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_459 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154461  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_457 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154462  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_455 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154463  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_453 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154464  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_451 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154465  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_449 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154466  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_447 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154467  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_439 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154468  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_437 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154469  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_435 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154470  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_433 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154471  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_431 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154472  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_429 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154473  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_427 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_u_uart_u_uart_core_write_fifo/g154474  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_344 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_307 ),
+	.B1_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[8] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g154475  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_316 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_346 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154476  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_333 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154477  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_345 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154478  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154479  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154480  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154481  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154482  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154483  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154484  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154485  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154486  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154487  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154488  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154489  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_575 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154490  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154491  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154492  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154493  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154494  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154495  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154496  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154497  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154498  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154499  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154500  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154501  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154502  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154503  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154504  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154505  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154506  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154507  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154508  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154509  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154510  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154511  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154512  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154513  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154514  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154515  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154516  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154517  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154518  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154519  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154520  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154521  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154522  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154523  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154524  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154525  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154526  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154527  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154528  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154529  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154530  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154531  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154532  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154533  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154534  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154535  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154536  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154537  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154538  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154539  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154540  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154541  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154542  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154543  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154544  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154545  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154546  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154547  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154548  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154549  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154550  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154551  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154552  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154553  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154554  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154555  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154556  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154557  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154558  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154559  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154560  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154561  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154562  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154563  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154564  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154565  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154566  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154567  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154568  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154569  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154570  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154571  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154572  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154573  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154574  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154575  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154576  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154577  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154578  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154579  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154580  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154581  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154582  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154583  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154584  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154585  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154586  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154587  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154588  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154589  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154590  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154591  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154592  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154593  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154594  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154595  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154596  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154597  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154598  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154599  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154600  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154601  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154602  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154603  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154604  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154605  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_5598 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154606  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_397 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154607  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_394 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154608  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_392 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154609  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_389 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_u_uart_u_uart_core_write_fifo/g154610  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[0] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_78 ),
+	.COUT(\soc_top_u_uart_u_uart_core_write_fifo/n_385 ),
+	.SUM(\soc_top_u_uart_u_uart_core_write_fifo/n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154611  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_324 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154612  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_200 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_333 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154613  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_334 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_317 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154614  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_344 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_333 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154616  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_196 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_339 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154617  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_284 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_339 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154619  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_339 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154620  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_341 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154622  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154623  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154624  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154625  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154626  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154627  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154628  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154629  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154630  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154631  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154632  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154633  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154634  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154635  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154636  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154637  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154638  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154639  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154640  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_340 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_199 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154641  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_200 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_343 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154642  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_340 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_292 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154643  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_343 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_292 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154644  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_200 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_340 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154645  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_340 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_290 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154646  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_344 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_293 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_uart_u_uart_core_write_fifo/g154647  (
+	.A1(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_316 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154648  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_316 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154649  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_284 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_341 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154650  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_196 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_341 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154651  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154652  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154653  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154654  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154655  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154656  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154657  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154658  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154659  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154660  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154661  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154662  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154663  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154664  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154665  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154666  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154667  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154668  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154669  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154670  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154671  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154672  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154673  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154674  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154675  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154676  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154677  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154678  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154679  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154680  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154681  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_343 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_199 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154682  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_343 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_290 ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154684  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_317 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154685  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_321 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154686  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_321 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154687  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_318 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154688  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_321 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154689  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_322 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154690  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_320 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154691  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_322 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_318 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154692  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_320 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_318 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154693  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_334 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154694  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_327 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_u_uart_u_uart_core_write_fifo/g154695  (
+	.A1(soc_top_u_uart_u_uart_core_tx_fifo_we),
+	.A2(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.B1(\soc_top_u_uart_u_uart_core_write_fifo/n_77 ),
+	.B2(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.C1(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154696  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_294 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154697  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_316 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154698  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_319 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154699  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_320 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154700  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_319 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154701  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_317 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154702  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_317 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154703  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_322 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154704  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_319 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_318 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154705  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154706  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154707  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g154708  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154709  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_292 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154710  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_293 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154711  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_290 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154712  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_199 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154713  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_293 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[7] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154714  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_200 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[6] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154715  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.B(soc_top_u_uart_u_uart_core_tx_fifo_we),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154716  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_291 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154717  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_291 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154718  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_291 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154719  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_291 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154720  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154722  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.B(soc_top_u_uart_u_uart_core_tx_fifo_we),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154723  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154724  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154725  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154726  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154727  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_194 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154728  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154729  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154730  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154731  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154732  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154733  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_194 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154735  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154738  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154775  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154816  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/buffer_full_217 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_77 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154817  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154818  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154819  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154820  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154821  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154822  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154823  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154824  (
+	.A_N(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_xbar_to_timer[a_data] [5]),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154825  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154826  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.B(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154827  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g154828  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154829  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154855  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154893  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_uart_u_uart_core_write_fifo/g154897  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_uart_u_uart_core_write_fifo/g154923  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154938  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4995 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/buffer_full_217 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154939  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[8] ),
+	.B_N(soc_top_u_uart_u_uart_core_tx_fifo_re),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154940  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154941  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[4] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[5] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154942  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_76 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[2] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g154943  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_uart_u_uart_core_write_fifo/g154944  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/waddr[1] ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/waddr[0] ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154945  (
+	.A_N(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_xbar_to_timer[a_data] [3]),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154946  (
+	.A_N(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154947  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B_N(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_uart_u_uart_core_write_fifo/g154948  (
+	.A_N(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 \soc_top_u_uart_u_uart_core_write_fifo/g154949  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4 ),
+	.B(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/g154950  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_we),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_uart_u_uart_core_write_fifo/g154952  (
+	.A(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/hi_fo_buf164150  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3293 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_15 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3093 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[5] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3101 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3096 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3100 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo/raddr_reg[4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_20 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3090 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3099 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_write_fifo/waddr_reg[3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_19 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_862 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/waddr[3] ),
+	.Q_N(\soc_top_u_uart_u_uart_core_write_fifo/n_76 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g2  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3698 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164820  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3155 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3696 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164821  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3145 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164822  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/raddr[6] ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3303 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164823  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164824  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164825  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_341 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164826  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_339 ),
+	.B_N(\soc_top_u_uart_u_uart_core_write_fifo/n_287 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_5599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_u_uart_u_uart_core_write_fifo/g164827  (
+	.A_N(\soc_top_u_uart_u_uart_core_write_fifo/n_4974 ),
+	.B(\soc_top_u_uart_u_uart_core_write_fifo/raddr[4] ),
+	.C(\soc_top_u_uart_u_uart_core_write_fifo/raddr[3] ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/raddr[7] ),
+	.X(\soc_top_u_uart_u_uart_core_write_fifo/n_5600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1626 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5244 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1615 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5252 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2652 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5436 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2665 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5444 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1431 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5340 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1420 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5348 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2562 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5404 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1457 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5324 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1446 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5332 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2586 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5388 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2574 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5396 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1650 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5228 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1638 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5236 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2485 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5420 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2473 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5428 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1553 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5292 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1541 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5300 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2726 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5484 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][1]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2738 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5492 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1601 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5260 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1590 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5268 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2678 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5452 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2689 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5460 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2637 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5356 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2624 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5364 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1664 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5220 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2499 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5412 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1576 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5276 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1566 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5284 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2702 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5468 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2713 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5476 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1469 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5316 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2611 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5372 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2600 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5380 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2751 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5500 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][1]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1529 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5308 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1526 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5310 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2754 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5502 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1417 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5350 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1428 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5342 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1611 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5254 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1622 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5246 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2656 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5438 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2668 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5446 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2558 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5406 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2496 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5414 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1660 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5222 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1574 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5278 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1562 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5286 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2705 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5470 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2717 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5478 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1467 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5318 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2596 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5382 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2608 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5374 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1454 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5326 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1442 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5334 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2481 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5422 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2470 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5430 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1648 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5230 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1635 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5238 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1550 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5294 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2730 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5486 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][3]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2741 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5494 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1539 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5302 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2621 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5366 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2634 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5358 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2691 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5462 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1598 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5262 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2681 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5454 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1587 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5270 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2583 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5390 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][3]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2571 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5398 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2693 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5463 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1597 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5263 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1585 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5271 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2682 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5455 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2633 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5359 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2619 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5367 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1453 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5327 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1440 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5335 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1548 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5295 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2731 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5487 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2743 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5495 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1537 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5303 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1645 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5231 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1633 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5239 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2480 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5423 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2468 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5431 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2570 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5399 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2581 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5391 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1466 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5319 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2606 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5375 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2595 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5383 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1659 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5223 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2494 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5415 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1573 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5279 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1561 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5287 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2707 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5471 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2718 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5479 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1525 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5311 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2756 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5503 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][4]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2557 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5407 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1621 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5247 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1609 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5255 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2657 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5439 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2670 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5447 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1427 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5343 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][4]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1416 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5351 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1595 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5264 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1584 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5272 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2683 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5456 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2695 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5464 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2631 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5360 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2618 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5368 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1547 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5296 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1535 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5304 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2733 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5488 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2745 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5496 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1452 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5328 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1438 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5336 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][5]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2580 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5392 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2569 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5400 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1644 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5232 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1632 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5240 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2478 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5424 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2644 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5432 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1426 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5344 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2642 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5352 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2757 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5504 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1523 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5312 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_3081 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5408 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1620 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5248 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2658 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5440 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2671 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5448 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1608 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5256 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1463 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5320 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2592 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5384 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2605 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5376 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1658 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5224 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2492 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5416 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1572 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5280 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1560 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5288 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2708 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5472 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][5]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2720 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5480 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1570 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5282 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1557 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5290 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2710 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5474 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2723 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5482 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_18 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1460 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5322 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1655 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5226 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2488 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5418 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2603 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5378 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2590 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5386 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1424 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5346 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2640 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5354 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2553 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5410 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2674 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5450 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2662 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5442 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1617 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5250 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1605 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5258 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1521 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5314 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2760 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5506 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1593 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5266 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1580 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5274 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2685 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5458 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2698 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5466 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2628 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5362 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2615 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5370 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1642 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5234 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1630 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5242 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2476 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5426 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2649 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5434 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1545 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5298 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1533 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5306 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2735 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5490 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2748 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5498 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1450 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5330 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1435 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5338 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][7]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2577 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5394 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][7]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2565 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5402 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1520 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5315 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2762 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5507 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1448 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5331 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_2 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1434 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5339 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1422 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5347 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2639 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5355 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1641 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5235 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1628 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5243 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2474 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5427 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2650 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5435 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1616 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5251 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1603 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5259 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2663 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5443 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2676 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5451 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1543 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5299 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1531 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5307 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2736 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5491 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2750 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5499 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2551 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5411 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][8]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2576 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5395 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2563 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5403 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1591 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5267 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2699 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5467 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1579 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5275 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2686 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5459 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2614 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5371 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2626 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5363 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1459 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5323 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1653 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5227 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2487 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5419 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2602 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5379 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2589 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5387 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2711 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5475 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2724 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5483 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1569 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5283 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][8]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1555 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5291 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1599 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5261 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1588 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5269 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2679 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5453 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2690 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5461 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2635 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5357 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2623 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5365 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1527 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5309 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2753 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5501 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1419 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5349 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1430 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5341 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2560 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5405 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1624 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5245 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2655 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5437 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1613 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5253 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2666 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5445 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1444 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5333 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1456 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5325 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1540 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5301 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2728 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5485 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1551 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5293 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2739 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5493 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2584 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5389 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2573 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5397 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1636 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5237 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2484 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5421 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1649 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5229 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2472 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5429 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2497 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5413 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1662 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5221 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2715 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5477 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1575 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5277 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1564 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5285 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2704 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5469 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1468 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5317 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2610 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5373 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][2]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2599 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5381 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[135][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1607 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5257 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[134][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_23 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1619 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5249 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[166][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2661 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5441 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[167][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_17 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2672 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5449 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[142][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1522 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5313 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[174][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_12 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2759 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5505 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[151][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_26 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2641 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5353 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[150][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_5 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1425 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5345 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[158][6]  (
+	.CLK(CTS_59),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2554 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5409 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[153][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_14 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2616 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5369 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[152][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2629 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5361 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[136][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1594 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5265 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[168][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2684 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5457 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[169][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_7 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2697 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5465 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[137][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_22 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1581 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5273 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[141][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_1 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1534 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5305 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[172][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2734 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5489 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[140][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1546 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5297 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[173][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_25 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2747 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5497 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[149][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1437 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5337 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[148][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1451 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5329 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[165][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2647 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5433 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[133][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1631 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5241 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[132][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1643 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5233 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[164][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_3 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2477 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5425 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[157][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2567 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5401 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[156][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_4 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2579 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5393 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[155][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2591 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5385 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[154][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_9 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2604 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5377 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[131][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1657 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5225 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[163][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2491 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5417 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[138][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1571 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5281 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[139][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_6 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1558 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5289 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[170][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2709 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5473 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[171][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_8 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_2722 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5481 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_write_fifo/fifo_buffer_reg[147][6]  (
+	.CLK(\soc_top_u_uart_u_uart_core_write_fifo/CTS_13 ),
+	.D(\soc_top_u_uart_u_uart_core_write_fifo/n_1462 ),
+	.Q(\soc_top_u_uart_u_uart_core_write_fifo/n_5321 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_uart_u_uart_core_write_fifo/hi_fo_buf165511  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3159 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_uart_u_uart_core_write_fifo/hi_fo_buf165650  (
+	.A(\soc_top_u_uart_u_uart_core_write_fifo/n_3203 ),
+	.Y(\soc_top_u_uart_u_uart_core_write_fifo/n_3204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_dccm (
+	.din0(soc_top_data_wdata),
+	.dout0(soc_top_data_rdata),
+	.addr0({ soc_top_data_addr[7],
+		soc_top_data_addr[6],
+		soc_top_data_addr[5],
+		soc_top_data_addr[4],
+		soc_top_data_addr[3],
+		soc_top_data_addr[2],
+		soc_top_data_addr[1],
+		soc_top_data_addr[0] }),
+	.wmask0({ \soc_top_dccm_adapter_data_mem_wmask_int[0] [30],
+		\soc_top_dccm_adapter_data_mem_wmask_int[0] [22],
+		\soc_top_dccm_adapter_data_mem_wmask_int[0] [14],
+		\soc_top_dccm_adapter_data_mem_wmask_int[0] [6] }),
+	.csb0(n_25096),
+	.web0(soc_top_data_we),
+	.clk0(CTS_62),
+	.dout1({ UNCONNECTED,
+		UNCONNECTED0,
+		UNCONNECTED1,
+		UNCONNECTED2,
+		UNCONNECTED3,
+		UNCONNECTED4,
+		UNCONNECTED5,
+		UNCONNECTED6,
+		UNCONNECTED7,
+		UNCONNECTED8,
+		UNCONNECTED9,
+		UNCONNECTED10,
+		UNCONNECTED11,
+		UNCONNECTED12,
+		UNCONNECTED13,
+		UNCONNECTED14,
+		UNCONNECTED15,
+		UNCONNECTED16,
+		UNCONNECTED17,
+		UNCONNECTED18,
+		UNCONNECTED19,
+		UNCONNECTED20,
+		UNCONNECTED21,
+		UNCONNECTED22,
+		UNCONNECTED23,
+		UNCONNECTED24,
+		UNCONNECTED25,
+		UNCONNECTED26,
+		UNCONNECTED27,
+		UNCONNECTED28,
+		UNCONNECTED29,
+		UNCONNECTED30 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_sram_1kbyte_1rw1r_32x256_8 soc_top_u_iccm (
+	.din0(soc_top_instr_wdata),
+	.dout0(soc_top_instr_rdata),
+	.addr0({ soc_top_instr_addr[7],
+		soc_top_instr_addr[6],
+		soc_top_instr_addr[5],
+		soc_top_instr_addr[4],
+		soc_top_instr_addr[3],
+		soc_top_instr_addr[2],
+		soc_top_instr_addr[1],
+		soc_top_instr_addr[0] }),
+	.wmask0({ soc_top_instr_wmask[3],
+		soc_top_instr_wmask[3],
+		soc_top_instr_wmask[3],
+		soc_top_instr_wmask[3] }),
+	.csb0(soc_top_instr_csb),
+	.web0(soc_top_instr_we),
+	.clk0(CTS_93),
+	.dout1({ UNCONNECTED31,
+		UNCONNECTED32,
+		UNCONNECTED33,
+		UNCONNECTED34,
+		UNCONNECTED35,
+		UNCONNECTED36,
+		UNCONNECTED37,
+		UNCONNECTED38,
+		UNCONNECTED39,
+		UNCONNECTED40,
+		UNCONNECTED41,
+		UNCONNECTED42,
+		UNCONNECTED43,
+		UNCONNECTED44,
+		UNCONNECTED45,
+		UNCONNECTED46,
+		UNCONNECTED47,
+		UNCONNECTED48,
+		UNCONNECTED49,
+		UNCONNECTED50,
+		UNCONNECTED51,
+		UNCONNECTED52,
+		UNCONNECTED53,
+		UNCONNECTED54,
+		UNCONNECTED55,
+		UNCONNECTED56,
+		UNCONNECTED57,
+		UNCONNECTED58,
+		UNCONNECTED59,
+		UNCONNECTED60,
+		UNCONNECTED61,
+		UNCONNECTED62 }),
+	.addr1({ io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26],
+		io_oeb[26] }),
+	.csb1(io_oeb[0]),
+	.clk1(io_oeb[26]), 
+	.vssd1(VGND), 
+	.vccd1(VPWR));
+   sky130_fd_sc_hd__inv_2 g483030 (
+	.A(n_25216),
+	.Y(n_25100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483033 (
+	.A(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_122),
+	.Y(n_25097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483034 (
+	.A(n_25228),
+	.Y(n_25096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483037 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.Y(n_25093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g483038 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(n_25092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g483040 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.Y(n_25090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g483041 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(n_13481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g483042 (
+	.A(n_25328),
+	.Y(n_25088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g483043 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_638 ),
+	.Y(n_25087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g483124 (
+	.A(n_25291),
+	.Y(n_14000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g483129 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_25001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g483130 (
+	.A(n_25455),
+	.Y(n_25000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g483132 (
+	.A(n_25157),
+	.Y(n_24998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g483133 (
+	.A(n_25159),
+	.Y(n_24997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483286 (
+	.A(n_24845),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g483328 (
+	.A(n_27378),
+	.Y(n_24681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g483339 (
+	.A(soc_top_system_rst_ni),
+	.Y(n_12752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483341 (
+	.A(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.Y(n_27231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g483361 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_12762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483376 (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g483428 (
+	.A(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.Y(n_24703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst_reg  (
+	.CLK(CTS_63),
+	.D(io_oeb[26]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.SET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_reset_manager_rst_fq_reg (
+	.CLK(CTS_93),
+	.D(soc_top_reset_manager_rst_q),
+	.Q(soc_top_system_rst_ni),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_reset_manager_rst_q_reg (
+	.CLK(CTS_93),
+	.D(soc_top_prog_rst_ni),
+	.Q(soc_top_reset_manager_rst_q),
+	.RESET_B(wb_rst_i), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g484866 (
+	.A_N(n_25454),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.C(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.X(soc_top_u_top_u_core_lsu_store_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g484867 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(n_25454),
+	.C_N(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.Y(soc_top_u_top_u_core_lsu_load_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484868 (
+	.A_N(n_27375),
+	.B(n_30842),
+	.Y(n_25454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g484871 (
+	.A1(soc_top_main_swith_host_lsu_n_1357),
+	.A2(n_24685),
+	.B1(n_29649),
+	.B2(n_24615),
+	.Y(n_24687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g484872 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.B(\soc_top_xbar_to_lsu[d_error] ),
+	.X(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484874 (
+	.A(n_24685),
+	.Y(\soc_top_dccm_to_xbar[d_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g484875 (
+	.A(n_24672),
+	.B(n_24664),
+	.C(n_27378),
+	.Y(\soc_top_xbar_to_lsu[d_error] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484876 (
+	.A(n_24683),
+	.B(n_24679),
+	.Y(n_24685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g484877 (
+	.A_N(n_24682),
+	.B(n_24680),
+	.C(n_24665),
+	.D(n_24667),
+	.X(soc_top_u_top_u_core_trigger_match), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g484878 (
+	.A1(\soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ),
+	.A2(n_24681),
+	.B1(\soc_top_plic_resp[d_valid] ),
+	.B2(n_24662),
+	.Y(n_24684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484879 (
+	.A_N(n_27377),
+	.B(n_24679),
+	.Y(n_25453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484880 (
+	.A_N(n_25452),
+	.B(n_27377),
+	.Y(n_24683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g484881 (
+	.A(n_24666),
+	.B(n_24675),
+	.C(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.D(n_24643),
+	.Y(n_24682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g484882 (
+	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_498),
+	.B(soc_top_dccm_adapter_data_mem_rspfifo_wvalid),
+	.X(n_25452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g484884 (
+	.A(n_24668),
+	.B(n_24671),
+	.C(n_24669),
+	.D(n_24670),
+	.Y(n_24680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g484885 (
+	.A1(n_24673),
+	.A2(n_24677),
+	.B1(n_24655),
+	.B2(n_24677),
+	.Y(n_27377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g484886 (
+	.A(n_24678),
+	.B(n_29648),
+	.C(n_29649),
+	.Y(n_27378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484887 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.B(n_24677),
+	.Y(n_24679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g484888 (
+	.A_N(n_24621),
+	.B(soc_top_main_swith_host_lsu_n_47),
+	.C(soc_top_main_swith_host_lsu_n_1347),
+	.D(soc_top_main_swith_host_lsu_n_48),
+	.X(n_24678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g484889 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.B(n_24677),
+	.C_N(soc_top_dccm_adapter_rvalid_o),
+	.Y(soc_top_dccm_adapter_data_mem_rspfifo_wvalid), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g484890 (
+	.A1(soc_top_main_swith_host_lsu_n_1343),
+	.A2(n_24617),
+	.B1(soc_top_main_swith_host_lsu_n_1347),
+	.B2(n_24616),
+	.Y(n_24676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g484891 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.B(n_24637),
+	.C(n_24651),
+	.Y(n_24675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g484892 (
+	.A1(\soc_top_timer_to_xbar[d_valid] ),
+	.A2(n_24661),
+	.B1(\soc_top_uart_to_xbar[d_valid] ),
+	.B2(n_24663),
+	.Y(n_24674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g484893 (
+	.A1_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.A2_N(n_24653),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.B2(n_24626),
+	.Y(n_24673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g484894 (
+	.A1(\soc_top_timer_to_xbar[d_error] ),
+	.A2(n_24661),
+	.B1(\soc_top_gpio_to_xbarp[d_error] ),
+	.B2(n_24660),
+	.Y(n_24672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g484895 (
+	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_489),
+	.B(soc_top_dccm_adapter_data_mem_u_rspfifo_n_488),
+	.C(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g484896 (
+	.A(soc_top_dccm_adapter_data_mem_u_reqfifo_n_267),
+	.B(soc_top_dccm_adapter_data_mem_u_reqfifo_n_266),
+	.C(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.X(n_24677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g484897 (
+	.A(n_24658),
+	.B(n_24649),
+	.C(n_24656),
+	.D(n_24647),
+	.Y(n_24671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g484898 (
+	.A(n_24644),
+	.B(n_24646),
+	.C(n_24639),
+	.D(n_24642),
+	.Y(n_24670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g484899 (
+	.A(n_24636),
+	.B(n_24638),
+	.C(n_24631),
+	.D(n_24634),
+	.Y(n_24669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g484900 (
+	.A(n_24630),
+	.B(n_24629),
+	.C(n_24652),
+	.D(n_24627),
+	.Y(n_24668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g484901 (
+	.A(n_24648),
+	.B(n_24628),
+	.C(n_24657),
+	.D(n_24659),
+	.Y(n_24667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g484902 (
+	.A(n_24633),
+	.B(n_24650),
+	.C(n_24641),
+	.D(n_24654),
+	.Y(n_24666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g484903 (
+	.A(n_24645),
+	.B(n_24632),
+	.C(n_24640),
+	.D(n_24635),
+	.Y(n_24665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484905 (
+	.A(\soc_top_plic_resp[d_error] ),
+	.B(n_24662),
+	.Y(n_24664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484906 (
+	.A(n_24663),
+	.Y(soc_top_main_swith_host_lsu_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484907 (
+	.A(n_29648),
+	.Y(n_24662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484908 (
+	.A(n_24661),
+	.Y(soc_top_main_swith_host_lsu_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484909 (
+	.A(n_29649),
+	.Y(n_24660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484910 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.B(soc_top_u_top_u_core_pc_if[14]),
+	.X(n_24659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484911 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.B(soc_top_u_top_u_core_pc_if[7]),
+	.Y(n_24658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484912 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.B(soc_top_u_top_u_core_pc_if[15]),
+	.X(n_24657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484913 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.B(soc_top_u_top_u_core_pc_if[6]),
+	.Y(n_24656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g484914 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_24655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484915 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.B(soc_top_u_top_u_core_pc_if[22]),
+	.X(n_24654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g484916 (
+	.A0(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.A1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.S(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_24653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484917 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.B(soc_top_u_top_u_core_pc_if[28]),
+	.Y(n_24652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484918 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.B(soc_top_u_top_u_core_pc_if[2]),
+	.X(n_24651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g484919 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.C(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.X(n_27375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484920 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(n_24621),
+	.Y(soc_top_main_swith_host_lsu_n_1357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484921 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484922 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484923 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484924 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484925 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484926 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484928 (
+	.A(n_24621),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(soc_top_main_swith_host_lsu_n_1343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484929 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(n_24624),
+	.Y(n_24663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g484930 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.C(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(soc_top_main_swith_host_lsu_n_1347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484932 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B(n_24622),
+	.Y(n_24661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484934 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.B(soc_top_u_top_u_core_pc_if[20]),
+	.X(n_24650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484935 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.B(soc_top_u_top_u_core_pc_if[5]),
+	.Y(n_24649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484936 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.B(soc_top_u_top_u_core_pc_if[13]),
+	.X(n_24648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484937 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.B(soc_top_u_top_u_core_pc_if[4]),
+	.Y(n_24647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484938 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.B(soc_top_u_top_u_core_pc_if[27]),
+	.Y(n_24646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484939 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.B(soc_top_u_top_u_core_pc_if[10]),
+	.X(n_24645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484940 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.B(soc_top_u_top_u_core_pc_if[26]),
+	.Y(n_24644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484941 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.Y(n_24643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484942 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.B(soc_top_u_top_u_core_pc_if[25]),
+	.Y(n_24642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484943 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.B(soc_top_u_top_u_core_pc_if[23]),
+	.X(n_24641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484944 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.B(soc_top_u_top_u_core_pc_if[9]),
+	.X(n_24640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484945 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.B(soc_top_u_top_u_core_pc_if[24]),
+	.Y(n_24639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484946 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.B(soc_top_u_top_u_core_pc_if[19]),
+	.Y(n_24638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484947 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.B(soc_top_u_top_u_core_pc_if[3]),
+	.X(n_24637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484948 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.B(soc_top_u_top_u_core_pc_if[17]),
+	.Y(n_24636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484949 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.B(soc_top_u_top_u_core_pc_if[11]),
+	.X(n_24635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484950 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.B(soc_top_u_top_u_core_pc_if[18]),
+	.Y(n_24634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484951 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.B(soc_top_u_top_u_core_pc_if[21]),
+	.X(n_24633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484952 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.B(soc_top_u_top_u_core_pc_if[8]),
+	.X(n_24632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484953 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.B(soc_top_u_top_u_core_pc_if[16]),
+	.Y(n_24631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484954 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.B(soc_top_u_top_u_core_pc_if[31]),
+	.Y(n_24630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484955 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.B(soc_top_u_top_u_core_pc_if[30]),
+	.Y(n_24629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484956 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.B(soc_top_u_top_u_core_pc_if[12]),
+	.X(n_24628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g484957 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.B(soc_top_u_top_u_core_pc_if[29]),
+	.Y(n_24627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484958 (
+	.A(soc_top_dccm_adapter_data_mem_u_reqfifo_n_15),
+	.Y(n_24626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484961 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(soc_top_dccm_adapter_data_mem_u_reqfifo_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484962 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_24624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484967 (
+	.A_N(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_24622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484968 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.Y(n_24621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484971 (
+	.A(\soc_top_pwm_to_xbar[d_valid] ),
+	.Y(n_24617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484972 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.Y(n_24616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484973 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.Y(n_24615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484405 (
+	.A(n_62455),
+	.B(soc_top_u_top_u_core_id_stage_i_n_516),
+	.Y(n_24613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484406 (
+	.A(n_24611),
+	.B(n_62457),
+	.Y(n_25451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484407 (
+	.A(n_62455),
+	.Y(n_24611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484411 (
+	.A(n_62451),
+	.B(n_65050),
+	.Y(soc_top_u_top_u_core_id_stage_i_stall_wb), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484418 (
+	.A(n_61728),
+	.B(n_62451),
+	.Y(n_24605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484422 (
+	.A(n_24601),
+	.B(n_24600),
+	.Y(n_25427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g484423 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_n_937),
+	.B(soc_top_u_top_u_core_load_store_unit_i_n_936),
+	.Y(n_24601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g484424 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_n_935),
+	.B_N(n_25426),
+	.Y(n_24600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g484425 (
+	.A(n_24599),
+	.B(n_24598),
+	.C(n_25446),
+	.D(n_25425),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_n_936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g484426 (
+	.A1(n_18760),
+	.A2(n_24597),
+	.B1(n_25428),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_n_935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g484427 (
+	.A1(n_18937),
+	.A2(n_24597),
+	.B1(n_24594),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_n_937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484428 (
+	.A(n_24596),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Y(n_24599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484429 (
+	.A_N(n_25429),
+	.B(n_24595),
+	.Y(n_24598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484430 (
+	.A(n_24596),
+	.Y(n_24597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g484431 (
+	.A1(n_24588),
+	.A2(n_25449),
+	.B1(n_24559),
+	.X(n_24596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g484432 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
+	.B(n_24588),
+	.X(n_24595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484433 (
+	.A(n_24589),
+	.B(n_25471),
+	.Y(n_25428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484434 (
+	.A(n_24588),
+	.B(n_25470),
+	.Y(n_25446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g484435 (
+	.A1(n_25470),
+	.A2(n_24555),
+	.B1(n_24588),
+	.Y(n_24594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484436 (
+	.A(n_24589),
+	.B(n_27233),
+	.Y(n_25425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484442 (
+	.A(n_24588),
+	.Y(n_24589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g484443 (
+	.A(n_24587),
+	.B(\soc_top_lsu_to_xbar[a_valid] ),
+	.Y(n_24588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g484444 (
+	.A(n_24586),
+	.B(n_24584),
+	.C(n_30811),
+	.Y(n_24587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484445 (
+	.A(n_29350),
+	.B(n_24577),
+	.Y(n_24586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484447 (
+	.A(n_29350),
+	.B(n_24575),
+	.Y(n_24584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484452 (
+	.A_N(n_24570),
+	.B(n_25423),
+	.Y(n_25422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484455 (
+	.A(n_24574),
+	.B(n_25450),
+	.Y(n_25423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g484456 (
+	.A1(\soc_top_timer_to_xbar[d_valid] ),
+	.A2(n_27304),
+	.B1(\soc_top_uart_to_xbar[d_valid] ),
+	.B2(n_27307),
+	.C1(n_24573),
+	.Y(n_24577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g484457 (
+	.A1(\soc_top_pwm_to_xbar[d_valid] ),
+	.A2(n_27306),
+	.B1(\soc_top_gpio_to_xbarp[d_valid] ),
+	.B2(n_27373),
+	.Y(n_24576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g484458 (
+	.A1(\soc_top_spi_to_xbar[d_valid] ),
+	.A2(n_27305),
+	.B1(n_24558),
+	.Y(n_24575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g484459 (
+	.A(n_24572),
+	.B(n_24567),
+	.C(n_24562),
+	.Y(n_24574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484460 (
+	.A_N(n_27372),
+	.B(\soc_top_dccm_to_xbar[a_ready] ),
+	.Y(n_24573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g484461 (
+	.A1_N(n_24515),
+	.A2_N(n_24563),
+	.B1(n_24515),
+	.B2(n_24563),
+	.Y(n_24572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484462 (
+	.A(n_24569),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Y(n_27373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484463 (
+	.A_N(n_27374),
+	.B(n_25431),
+	.Y(n_24571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484464 (
+	.A(n_24566),
+	.B(n_24563),
+	.Y(n_27372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484465 (
+	.A(n_24566),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Y(n_27307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484466 (
+	.A(n_29651),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Y(n_27305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484467 (
+	.A(n_24568),
+	.Y(n_24569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g484468 (
+	.A1_N(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.A2_N(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.B1(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.B2(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Y(n_24567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484469 (
+	.A(n_24565),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Y(n_24570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484470 (
+	.A(n_24565),
+	.B(n_24563),
+	.Y(n_27306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484471 (
+	.A(n_24563),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Y(n_24568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484472 (
+	.A(n_29651),
+	.B(n_24563),
+	.Y(n_27304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484473 (
+	.A_N(n_67033),
+	.B(n_61726),
+	.Y(n_25431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484474 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Y(n_24566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484475 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.B(n_24558),
+	.Y(n_24565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484476 (
+	.A(n_24563),
+	.Y(soc_top_main_swith_host_lsu_dev_select_t[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g484477 (
+	.A1_N(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.A2_N(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B1(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.B2(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Y(n_24562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_4 g484479 (
+	.A1(n_24529),
+	.A2(n_24553),
+	.B1(n_24549),
+	.C1(n_24543),
+	.X(n_24563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484480 (
+	.A(n_61726),
+	.Y(soc_top_u_top_u_core_valid_id_fpu), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g484483 (
+	.A(n_30138),
+	.B(n_24556),
+	.Y(soc_top_main_swith_host_lsu_dev_select_t[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484484 (
+	.A_N(n_25469),
+	.B(n_25426),
+	.Y(n_24559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g484485 (
+	.A(soc_top_u_top_u_core_out_valid_fpu2c),
+	.B_N(n_25424),
+	.Y(soc_top_u_top_u_core_fpu_busy_idu), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484486 (
+	.A(n_25429),
+	.B(n_25441),
+	.Y(\soc_top_lsu_to_xbar[a_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484487 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Y(n_24558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484489 (
+	.A(n_24541),
+	.B(n_24554),
+	.Y(n_24556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484490 (
+	.A(n_24552),
+	.B(n_24549),
+	.Y(soc_top_main_swith_host_lsu_dev_select_t[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484491 (
+	.A(n_24555),
+	.Y(n_25429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484492 (
+	.A_N(n_27375),
+	.B(n_24550),
+	.Y(n_25426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484493 (
+	.A_N(n_27237),
+	.B(n_25339),
+	.Y(soc_top_u_top_u_core_out_valid_fpu2c), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484494 (
+	.A(n_27375),
+	.B(n_24550),
+	.Y(n_24555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g484495 (
+	.A1(n_24529),
+	.A2(n_24537),
+	.B1(n_24544),
+	.Y(n_24554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g484496 (
+	.A1(n_61886),
+	.A2(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B1(n_24528),
+	.Y(n_24553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g484497 (
+	.A(n_24541),
+	.B(n_24545),
+	.Y(n_24552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484499 (
+	.A(n_24546),
+	.Y(n_24549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484502 (
+	.A(n_24540),
+	.B(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_24550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484503 (
+	.A(n_24536),
+	.B(n_64735),
+	.Y(n_24546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484504 (
+	.A(n_66473),
+	.B(n_24539),
+	.Y(n_24545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g484505 (
+	.A1(n_24523),
+	.A2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B1(n_64734),
+	.X(n_24544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g484506 (
+	.A(n_24538),
+	.B(n_24541),
+	.Y(n_24543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g484507 (
+	.A(n_27239),
+	.B(n_27238),
+	.X(n_27237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g484510 (
+	.A(n_24540),
+	.Y(n_25435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484511 (
+	.A(n_25432),
+	.B(n_25430),
+	.Y(n_27238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g484512 (
+	.A(n_24532),
+	.B(n_24531),
+	.Y(n_24541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g484513 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.A3(n_24516),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_n_753),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_n_861_BAR),
+	.X(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g484514 (
+	.A(soc_top_u_top_u_core_id_stage_i_wb_exception),
+	.B(n_25443),
+	.C_N(n_29686),
+	.D_N(soc_top_u_top_u_core_id_stage_i_controller_run),
+	.Y(n_24540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g484515 (
+	.A1(n_66980),
+	.A2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B1(n_24534),
+	.Y(n_24539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g484516 (
+	.A1(n_24527),
+	.A2(n_24528),
+	.B1(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B2(n_63617),
+	.Y(n_24538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g484517 (
+	.A0(n_24525),
+	.A1(n_66980),
+	.S(\soc_top_lsu_to_xbar[a_address] [18]),
+	.Y(n_24537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g484518 (
+	.A_N(n_25420),
+	.B(n_25430),
+	.C(n_25433),
+	.Y(n_25424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484520 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B(n_24525),
+	.Y(n_24534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g484521 (
+	.A(n_24518),
+	.B(n_25448),
+	.Y(n_24536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484522 (
+	.A_N(n_25433),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_25432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g484523 (
+	.A(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C(\soc_top_lsu_to_xbar[a_address] [31]),
+	.Y(n_24532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g484524 (
+	.A(\soc_top_lsu_to_xbar[a_address] [24]),
+	.B(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C(\soc_top_lsu_to_xbar[a_address] [22]),
+	.Y(n_24531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484527 (
+	.A(n_24529),
+	.Y(n_25436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g484528 (
+	.A(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B_N(n_63618),
+	.Y(n_24527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484529 (
+	.A(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B(n_63617),
+	.Y(n_24526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484530 (
+	.A(n_152),
+	.B(n_163),
+	.Y(n_25448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484531 (
+	.A_N(soc_top_u_top_u_core_fp_flush),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ),
+	.Y(n_25430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g484532 (
+	.A(n_25444),
+	.B(soc_top_u_top_u_core_fp_flush),
+	.X(n_25433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484533 (
+	.A(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B(n_63618),
+	.Y(n_24529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g484534 (
+	.A(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B(\soc_top_lsu_to_xbar[a_address] [18]),
+	.Y(n_24528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484535 (
+	.A(n_61841),
+	.Y(n_24523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g484537 (
+	.A_N(\soc_top_lsu_to_xbar[a_address] [20]),
+	.B(n_162),
+	.Y(n_24518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g484538 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.X(soc_top_u_top_u_core_load_store_unit_i_n_753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g484539 (
+	.A(n_67809),
+	.B(n_63618),
+	.Y(n_24525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g484545 (
+	.A(soc_top_u_top_u_core_lsu_type[1]),
+	.Y(n_24516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g484546 (
+	.A(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.Y(n_24515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g582760 (
+	.A1(n_24508),
+	.A2(soc_top_u_top_u_core_csr_access),
+	.B1(n_24344),
+	.B2(n_24343),
+	.C1(n_19145),
+	.X(n_25445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g582761 (
+	.A1(soc_top_u_top_u_core_debug_mode),
+	.A2(n_25349),
+	.B1(n_24483),
+	.C1(n_24501),
+	.D1(n_24505),
+	.Y(n_24508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582764 (
+	.A(n_24504),
+	.B(n_48514),
+	.Y(n_24506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g582765 (
+	.A1(n_24427),
+	.A2(soc_top_u_top_u_core_csr_addr[6]),
+	.B1(n_24480),
+	.B2(n_24291),
+	.C1(n_24479),
+	.Y(n_24505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582768 (
+	.A(n_44230),
+	.B(n_23937),
+	.Y(n_24504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g582771 (
+	.A1(n_24468),
+	.A2(n_24170),
+	.B1(n_24484),
+	.Y(n_24501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g582776 (
+	.A1(n_69521),
+	.A2(n_56117),
+	.B1(n_23873),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g582785 (
+	.A1(n_69521),
+	.A2(n_44039),
+	.B1(n_23864),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g582787 (
+	.A1(n_69521),
+	.A2(FE_DBTN65_n_50154),
+	.B1(n_23883),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582790 (
+	.A(n_69404),
+	.B(n_23831),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g582809 (
+	.A1(n_24303),
+	.A2(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B1(n_23953),
+	.B2(n_25173),
+	.Y(n_24484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g582810 (
+	.A1(n_25176),
+	.A2(n_24384),
+	.B1(n_25175),
+	.B2(n_24169),
+	.C1(n_24425),
+	.C2(n_24409),
+	.Y(n_24483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582816 (
+	.A(n_39262),
+	.B(n_23866),
+	.Y(\soc_top_u_top_u_core_fp_operands[0] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g582821 (
+	.A1(n_24170),
+	.A2(n_25176),
+	.A3(n_25348),
+	.B1(n_24171),
+	.B2(n_24413),
+	.Y(n_24480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g582822 (
+	.A1(n_24407),
+	.A2(n_24376),
+	.B1(n_25179),
+	.B2(n_24291),
+	.C1(n_24432),
+	.Y(n_24479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582832 (
+	.A(n_37555),
+	.B(n_19051),
+	.Y(n_24472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g582836 (
+	.A1(n_24410),
+	.A2(n_25173),
+	.A3(n_25181),
+	.B1(n_25174),
+	.B2(soc_top_u_top_u_core_csr_addr[6]),
+	.X(n_24468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g582870 (
+	.A1(n_19051),
+	.A2(n_18907),
+	.B1(n_61453),
+	.Y(n_24455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582873 (
+	.A(n_37551),
+	.B(n_19051),
+	.Y(n_24452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582875 (
+	.A(n_37553),
+	.B(n_19051),
+	.Y(n_24450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582878 (
+	.A(n_37552),
+	.B(n_19051),
+	.Y(n_24447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g582885 (
+	.A(n_31100),
+	.B(n_19051),
+	.Y(n_24440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g582895 (
+	.A(n_73083),
+	.Y(n_24434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g582897 (
+	.A(n_24423),
+	.B(n_25176),
+	.Y(n_24432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g582902 (
+	.A(n_24408),
+	.B(n_25190),
+	.Y(n_24427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g582904 (
+	.A(n_25181),
+	.B(n_25173),
+	.C(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.X(n_24425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g582905 (
+	.A_N(n_29205),
+	.B_N(n_61001),
+	.C(n_25184),
+	.D(n_25181),
+	.Y(n_25348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g582906 (
+	.A(n_25184),
+	.B(n_29205),
+	.C_N(n_25181),
+	.D_N(n_61001),
+	.X(soc_top_u_top_u_core_cs_registers_i_n_6282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g582907 (
+	.A(n_25181),
+	.B(n_29205),
+	.C(n_25350),
+	.X(n_25349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g582918 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6876),
+	.B(n_66051),
+	.Y(n_24423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g582932 (
+	.A_N(n_67806),
+	.B(FE_DBTN76_n_70132),
+	.Y(n_25514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582933 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_24298),
+	.Y(n_25510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g582940 (
+	.A1(n_61001),
+	.A2(n_25176),
+	.B1(n_25177),
+	.X(n_24413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g582942 (
+	.A1(n_67037),
+	.A2(n_38199),
+	.B1(n_24194),
+	.Y(n_24411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g582943 (
+	.A1(n_25183),
+	.A2(n_24359),
+	.B1(n_25412),
+	.Y(n_24410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582944 (
+	.A(n_24352),
+	.B(n_76724),
+	.Y(n_27382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g582945 (
+	.A(n_24353),
+	.B(n_76725),
+	.Y(n_27383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g582946 (
+	.A1(n_25173),
+	.A2(n_24170),
+	.A3(n_25412),
+	.B1(n_25174),
+	.Y(n_24409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g582947 (
+	.A_N(n_25412),
+	.B(n_66051),
+	.Y(n_24408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g582948 (
+	.A1(n_24168),
+	.A2(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B1(n_24337),
+	.Y(n_24407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g582954 (
+	.A(n_25177),
+	.B(n_25174),
+	.C(n_25140),
+	.D(n_25351),
+	.X(n_25350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g582961 (
+	.A(n_25412),
+	.B(n_66051),
+	.X(soc_top_u_top_u_core_cs_registers_i_n_6776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g582966 (
+	.A(n_50584),
+	.Y(n_24401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g582981 (
+	.A(n_19179),
+	.B(n_67037),
+	.Y(n_24385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g582982 (
+	.A(n_25179),
+	.B(n_25412),
+	.Y(n_24384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g582985 (
+	.A(n_24277),
+	.B(n_24316),
+	.Y(n_27393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g582986 (
+	.A1(n_24189),
+	.A2(n_47496),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.X(n_24382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g582987 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.B1(n_24317),
+	.X(n_27394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g582991 (
+	.A1(n_30184),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.B2(n_76726),
+	.C1(n_24244),
+	.Y(n_27406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g582993 (
+	.A1(n_30181),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.B2(n_76726),
+	.C1(n_24248),
+	.Y(n_27411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g582994 (
+	.A1(n_30182),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.B2(n_76726),
+	.C1(n_24246),
+	.Y(n_27410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g582995 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.B1(n_24355),
+	.X(n_27409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g582996 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.B1(n_24299),
+	.X(n_27408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g582997 (
+	.A1(n_30183),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.B2(n_76726),
+	.C1(n_24245),
+	.Y(n_27407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g582998 (
+	.A(n_25177),
+	.B(n_61001),
+	.X(n_25190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583006 (
+	.A(n_25518),
+	.Y(n_24378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583007 (
+	.A1(n_25181),
+	.A2(n_25183),
+	.B1(n_24326),
+	.X(n_24376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583010 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.B1(n_24322),
+	.X(n_27400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583011 (
+	.A(n_24269),
+	.B(n_76722),
+	.Y(n_27398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583012 (
+	.A(n_24268),
+	.B(n_24320),
+	.Y(n_27397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583013 (
+	.A(n_24267),
+	.B(n_24319),
+	.Y(n_27396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g583020 (
+	.A1(n_67655),
+	.A2(n_38199),
+	.B1(n_39707),
+	.Y(n_24374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583022 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.B1(n_24338),
+	.X(n_27405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583023 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.B1(n_24325),
+	.X(n_27404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583024 (
+	.A1(n_23736),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.B1(n_24341),
+	.X(n_27403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583025 (
+	.A1(n_24229),
+	.A2(n_38199),
+	.B1(n_56314),
+	.Y(n_24372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583026 (
+	.A1(n_47571),
+	.A2(n_38199),
+	.B1(n_33673),
+	.Y(n_24371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583029 (
+	.A(n_24286),
+	.B(n_76723),
+	.Y(n_27384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583031 (
+	.A1(n_23953),
+	.A2(n_23737),
+	.B1(n_76721),
+	.Y(n_27387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g583034 (
+	.A(n_24349),
+	.B(n_24206),
+	.C(n_47572),
+	.Y(n_25516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g583035 (
+	.A(n_24350),
+	.B(n_24207),
+	.C(n_24149),
+	.Y(n_25517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583036 (
+	.A(n_24300),
+	.B(n_35311),
+	.Y(n_25518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583044 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6240),
+	.Y(n_24359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583045 (
+	.A(n_67655),
+	.B(n_19033),
+	.Y(n_24358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583047 (
+	.A(n_69689),
+	.B(n_19107),
+	.Y(n_24356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583050 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.A2(n_76726),
+	.B1(n_24265),
+	.Y(n_24355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583052 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.Y(n_24353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583053 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.Y(n_24352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583055 (
+	.A(n_19179),
+	.B(n_24229),
+	.Y(n_24350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583056 (
+	.A(n_47571),
+	.B(n_19179),
+	.Y(n_24349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583061 (
+	.A1(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2(n_25176),
+	.B1(soc_top_u_top_u_core_priv_mode_id[1]),
+	.Y(n_24344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g583062 (
+	.A1(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2(soc_top_u_top_u_core_priv_mode_id[1]),
+	.A3(n_25176),
+	.B1(n_25175),
+	.Y(n_24343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583065 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.A2(n_76726),
+	.B1(n_24242),
+	.Y(n_24341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583068 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.A2(n_76726),
+	.B1(n_24243),
+	.Y(n_24338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583069 (
+	.A1(n_24171),
+	.A2(n_24168),
+	.B1(n_25174),
+	.Y(n_24337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583072 (
+	.A(n_24291),
+	.B(n_25175),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583073 (
+	.A(n_25183),
+	.B(n_25181),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583075 (
+	.A_N(n_25174),
+	.B(n_25184),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_6240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583076 (
+	.A_N(n_25352),
+	.B(n_24171),
+	.Y(n_25351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583078 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.Y(n_25412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g583084 (
+	.A1(n_25179),
+	.A2(n_24168),
+	.B1(n_24170),
+	.B2(n_25173),
+	.Y(n_24326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583085 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.A2(n_76726),
+	.B1(n_24263),
+	.Y(n_24325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583088 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.A2(n_76726),
+	.B1(n_24262),
+	.Y(n_24322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g583090 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.A2(n_76726),
+	.B1_N(n_24260),
+	.X(n_24320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583091 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.A2(n_76726),
+	.B1(n_24259),
+	.X(n_24319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583093 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.A2(n_76726),
+	.B1(n_24257),
+	.Y(n_24317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583094 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.A2(n_76726),
+	.B1(n_24256),
+	.X(n_24316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583107 (
+	.A1(n_24171),
+	.A2(n_25177),
+	.B1(n_24168),
+	.Y(n_24303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583109 (
+	.A1(n_76726),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B1(n_23940),
+	.C1(n_24001),
+	.D1(n_24138),
+	.Y(n_27412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g583111 (
+	.A1(n_24041),
+	.A2(n_38277),
+	.B1(n_55052),
+	.C1(n_33462),
+	.X(n_24300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583112 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.A2(n_76726),
+	.B1(n_24264),
+	.Y(n_24299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g583114 (
+	.A1(n_24041),
+	.A2(n_59958),
+	.B1(n_33461),
+	.C1(n_29379),
+	.X(n_24298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583125 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.Y(n_24287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583126 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.Y(n_24286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583127 (
+	.A(n_29665),
+	.B(n_48516),
+	.Y(n_24285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583131 (
+	.A(n_19033),
+	.B(n_49025),
+	.Y(n_24281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583134 (
+	.A(n_25174),
+	.B(n_25173),
+	.Y(soc_top_u_top_u_core_cs_registers_i_n_7142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583135 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.Y(n_24278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583136 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.Y(n_24277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g583137 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(n_29697),
+	.C1(n_24083),
+	.Y(n_24276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g583139 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(n_29697),
+	.C1(n_24084),
+	.Y(n_24274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583140 (
+	.A(n_24085),
+	.B(n_23192),
+	.Y(n_24273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583141 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.Y(n_24272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583142 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.Y(n_24271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583143 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.Y(n_24270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583144 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[17]),
+	.Y(n_24269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583145 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.Y(n_24268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583146 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.Y(n_24267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583148 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(n_29697),
+	.C1(n_24068),
+	.Y(n_24265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583149 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(n_29697),
+	.C1(n_24067),
+	.Y(n_24264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583150 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(n_29697),
+	.C1(n_24066),
+	.Y(n_24263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583151 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B1(n_29697),
+	.C1(n_24065),
+	.Y(n_24262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583152 (
+	.A1(n_64175),
+	.A2(n_27429),
+	.B1(n_21628),
+	.C1(n_23799),
+	.D1(n_23194),
+	.Y(n_24261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583153 (
+	.A1(n_64175),
+	.A2(n_27428),
+	.B1(n_21601),
+	.C1(n_23797),
+	.D1(n_23193),
+	.Y(n_24260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583154 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B1(n_29697),
+	.C1(n_24064),
+	.Y(n_24259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583155 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B1(n_29697),
+	.C1(n_24063),
+	.Y(n_24258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583156 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(n_29697),
+	.C1(n_24062),
+	.Y(n_24257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583157 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B1(n_29697),
+	.C1(n_24072),
+	.Y(n_24256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583158 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(n_29697),
+	.C1(n_24076),
+	.Y(n_24255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583159 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(n_29697),
+	.C1(n_24077),
+	.Y(n_24254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583160 (
+	.A1(n_64175),
+	.A2(n_27415),
+	.B1(n_21213),
+	.C1(n_23782),
+	.D1(n_23191),
+	.Y(n_24253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583161 (
+	.A1(n_64175),
+	.A2(n_27414),
+	.B1(n_21688),
+	.C1(n_63150),
+	.D1(n_23190),
+	.Y(n_24252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g583162 (
+	.A1(n_64175),
+	.A2(n_27413),
+	.B1(n_21165),
+	.C1(n_23821),
+	.D1(n_23189),
+	.Y(n_24251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583165 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B1(n_29697),
+	.C1(n_24097),
+	.Y(n_24248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583166 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(n_29697),
+	.C1(n_24086),
+	.Y(n_24247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583167 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B1(n_29697),
+	.C1(n_24096),
+	.Y(n_24246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583168 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(n_29697),
+	.C1(n_24095),
+	.Y(n_24245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583169 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B1(n_29697),
+	.C1(n_24094),
+	.Y(n_24244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583170 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(n_29697),
+	.C1(n_24093),
+	.Y(n_24243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583171 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(n_29697),
+	.C1(n_24092),
+	.Y(n_24242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583172 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(n_29697),
+	.C1(n_24091),
+	.Y(n_24241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583173 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B1(n_29697),
+	.C1(n_24090),
+	.Y(n_24240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583174 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(n_29697),
+	.C1(n_24089),
+	.Y(n_24239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583175 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(n_29697),
+	.C1(n_24088),
+	.Y(n_24238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583176 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B1(n_29697),
+	.C1(n_24087),
+	.Y(n_24237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583177 (
+	.A_N(n_25175),
+	.B(n_23953),
+	.Y(n_25352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583178 (
+	.A(n_24169),
+	.B(n_25173),
+	.Y(n_25140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583180 (
+	.A(soc_top_u_top_u_core_csr_addr[6]),
+	.B(n_24168),
+	.Y(n_24291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583181 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.Y(n_25183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583182 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(n_25184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583183 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.Y(n_25181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583192 (
+	.A(n_24224),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583193 (
+	.A(n_24223),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583205 (
+	.A(n_19237),
+	.B(n_51630),
+	.Y(n_24211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583207 (
+	.A(n_48325),
+	.B(n_24013),
+	.Y(n_24209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583209 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30194),
+	.B1(n_21049),
+	.Y(n_27473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583210 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23982),
+	.B1(n_21044),
+	.Y(n_27471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583211 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23978),
+	.B1(n_21041),
+	.Y(n_27470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583212 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30192),
+	.B1(n_21038),
+	.Y(n_27469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583213 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_825),
+	.B1(n_21046),
+	.Y(n_27472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583214 (
+	.A(n_24148),
+	.B(n_24143),
+	.Y(n_24207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583215 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30193),
+	.B1(n_21036),
+	.Y(n_27468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583216 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_170),
+	.B1(n_21033),
+	.Y(n_27467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583217 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23991),
+	.B1(n_21030),
+	.Y(n_27466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583218 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30187),
+	.B1(n_21028),
+	.Y(n_27465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583219 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23984),
+	.B1(n_21026),
+	.Y(n_27464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583220 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_67040),
+	.B1(n_21019),
+	.Y(n_27461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583221 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23975),
+	.B1(n_21017),
+	.Y(n_27460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583222 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30191),
+	.B1(n_21021),
+	.Y(n_27462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583223 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_67039),
+	.B1(n_21023),
+	.Y(n_27463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g583224 (
+	.A(n_24154),
+	.B(n_33674),
+	.Y(n_24206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583225 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23974),
+	.B1(n_21015),
+	.Y(n_27459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583226 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_815),
+	.B1(n_21014),
+	.Y(n_27458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583227 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23967),
+	.B1(n_21006),
+	.Y(n_27456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583228 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23990),
+	.B1(n_21000),
+	.Y(n_27454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583229 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30195),
+	.B1(n_21009),
+	.Y(n_27457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583230 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30196),
+	.B1(n_21002),
+	.Y(n_27455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583231 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_67038),
+	.B1(n_20997),
+	.Y(n_27453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583232 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_823),
+	.B1(n_20993),
+	.Y(n_27452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583233 (
+	.A1(n_25526),
+	.A2(n_29691),
+	.B1(n_20983),
+	.X(n_27449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583234 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30188),
+	.B1(n_20990),
+	.Y(n_27451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583235 (
+	.A1(n_25525),
+	.A2(n_29691),
+	.B1(n_20979),
+	.X(n_27448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583236 (
+	.A1(n_25524),
+	.A2(n_29691),
+	.B1(n_20976),
+	.X(n_27447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583237 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_817),
+	.B1(n_20974),
+	.Y(n_27446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583238 (
+	.A1(n_25527),
+	.A2(n_29691),
+	.B1(n_20987),
+	.X(n_27450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583239 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_23988),
+	.B1(n_21058),
+	.Y(n_27476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583240 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30189),
+	.B1(n_21055),
+	.Y(n_27475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583241 (
+	.A1(FE_DBTN74_n_29691),
+	.A2(n_30190),
+	.B1(n_21051),
+	.Y(n_27474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g583247 (
+	.A1_N(n_24041),
+	.A2_N(n_56674),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.B2(n_56675),
+	.Y(n_24200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583250 (
+	.A1(n_36879),
+	.A2(n_56674),
+	.B1(n_20782),
+	.Y(n_24197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g583253 (
+	.A1(n_44111),
+	.A2(n_56674),
+	.B1(n_20848),
+	.Y(n_24194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583258 (
+	.A1(n_49022),
+	.A2(n_24054),
+	.B1(n_39705),
+	.Y(n_24189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583261 (
+	.A(n_24161),
+	.B(n_48280),
+	.Y(n_24229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g583264 (
+	.A1(n_23325),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B1(n_23323),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C1(n_23941),
+	.Y(n_24224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583265 (
+	.A1(n_23323),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B1(n_24069),
+	.Y(n_24223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583272 (
+	.A(n_56295),
+	.Y(n_24181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583274 (
+	.A(n_30181),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583275 (
+	.A(n_30182),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583276 (
+	.A(n_30183),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583277 (
+	.A(n_30184),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583280 (
+	.A(n_25179),
+	.Y(n_24171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583281 (
+	.A(n_25177),
+	.Y(n_24170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583282 (
+	.A(n_25176),
+	.Y(n_24169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583283 (
+	.A(n_25173),
+	.Y(n_24168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583290 (
+	.A(n_49019),
+	.B(n_67608),
+	.Y(n_24161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583292 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.Y(n_24159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g583297 (
+	.A1(n_44098),
+	.A2(n_50274),
+	.B1(n_22179),
+	.Y(n_24154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583302 (
+	.A(n_47028),
+	.B(n_67608),
+	.Y(n_24149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g583303 (
+	.A1(n_23775),
+	.A2(n_23718),
+	.B1(n_38277),
+	.C1(n_22705),
+	.Y(n_24148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583308 (
+	.A1(n_43930),
+	.A2(n_50274),
+	.B1(n_22180),
+	.Y(n_24143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583312 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.Y(n_24139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583313 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.Y(n_24138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583320 (
+	.A(n_20717),
+	.B(n_36877),
+	.Y(n_24131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583324 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.Y(n_24127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583325 (
+	.A(n_23736),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.Y(n_24126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583327 (
+	.A1(n_22219),
+	.A2(n_27434),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583330 (
+	.A1(n_22219),
+	.A2(n_27440),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583331 (
+	.A1(n_23781),
+	.A2(n_66517),
+	.B1(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583334 (
+	.A1(n_23762),
+	.A2(n_66517),
+	.B1(FE_DBTN120_soc_top_u_top_u_core_instr_rdata_id_14),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583336 (
+	.A1(n_23761),
+	.A2(n_66517),
+	.B1(FE_DBTN119_soc_top_u_top_u_core_instr_rdata_id_12),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583337 (
+	.A1(n_22219),
+	.A2(n_27425),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583339 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.Y(n_25175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583340 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.Y(n_25174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583341 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.Y(n_25179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583342 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.Y(n_25177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583343 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.Y(n_25176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583344 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.Y(n_25173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583353 (
+	.A(n_24071),
+	.Y(n_27477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583355 (
+	.A(n_24113),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583358 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(n_24111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583360 (
+	.A(n_30185),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g583367 (
+	.A1_N(n_51147),
+	.A2_N(n_23327),
+	.B1(n_50488),
+	.B2(n_23631),
+	.Y(n_24101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583371 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B2(n_20712),
+	.C1(n_24002),
+	.Y(n_24097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583372 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B2(n_20712),
+	.C1(n_24003),
+	.Y(n_24096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583373 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B2(n_20712),
+	.C1(n_24004),
+	.Y(n_24095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583374 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B2(n_20712),
+	.C1(n_24005),
+	.Y(n_24094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583375 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B2(n_20712),
+	.C1(n_24008),
+	.Y(n_24093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583376 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B2(n_20712),
+	.C1(n_24014),
+	.Y(n_24092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583377 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B2(n_20712),
+	.C1(n_24015),
+	.Y(n_24091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583378 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B2(n_20712),
+	.C1(n_24020),
+	.Y(n_24090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583379 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B2(n_20712),
+	.C1(n_24027),
+	.Y(n_24089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583380 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B2(n_20712),
+	.C1(n_24028),
+	.Y(n_24088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583381 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B2(n_20712),
+	.C1(n_23947),
+	.Y(n_24087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583382 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B2(n_20712),
+	.C1(n_23945),
+	.Y(n_24086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583383 (
+	.A(n_21320),
+	.B(n_23951),
+	.Y(n_24085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583384 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B2(n_20712),
+	.C1(n_23950),
+	.Y(n_24084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583385 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B2(n_20712),
+	.C1(n_23949),
+	.Y(n_24083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583391 (
+	.A1(n_64175),
+	.A2(n_27421),
+	.B1(n_21418),
+	.C1(n_23786),
+	.Y(n_24077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583392 (
+	.A1(n_64175),
+	.A2(n_27423),
+	.B1(n_21471),
+	.C1(n_23787),
+	.Y(n_24076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583396 (
+	.A1(n_64175),
+	.A2(n_27424),
+	.B1(n_21492),
+	.C1(n_23789),
+	.Y(n_24072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g583397 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(n_19514),
+	.C1(n_25554),
+	.C2(n_29691),
+	.Y(n_24071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g583399 (
+	.A1(n_19207),
+	.A2(n_22233),
+	.A3(n_22219),
+	.B1(n_23897),
+	.C1(n_23543),
+	.Y(n_24069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583400 (
+	.A1(n_64175),
+	.A2(n_27440),
+	.B1(n_23809),
+	.C1(n_21984),
+	.Y(n_24068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583401 (
+	.A1(n_64175),
+	.A2(n_27439),
+	.B1(n_23807),
+	.C1(n_21958),
+	.Y(n_24067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583402 (
+	.A1(n_64175),
+	.A2(n_27435),
+	.B1(n_23803),
+	.C1(n_21831),
+	.Y(n_24066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583403 (
+	.A1(n_64175),
+	.A2(n_27431),
+	.B1(n_23800),
+	.C1(n_21676),
+	.Y(n_24065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583404 (
+	.A1(n_64175),
+	.A2(n_27427),
+	.B1(n_22187),
+	.C1(n_23795),
+	.Y(n_24064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583405 (
+	.A1(n_64175),
+	.A2(n_27426),
+	.B1(n_21551),
+	.C1(n_23794),
+	.Y(n_24063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g583406 (
+	.A1(n_64175),
+	.A2(n_27425),
+	.B1(n_21521),
+	.C1(n_23791),
+	.Y(n_24062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583408 (
+	.A(n_48499),
+	.B(n_39691),
+	.Y(n_24116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583409 (
+	.A1(n_22219),
+	.A2(n_27430),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583410 (
+	.A1(n_22219),
+	.A2(n_27432),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583411 (
+	.A1(n_23780),
+	.A2(n_66517),
+	.B1(n_18850),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583413 (
+	.A1(n_22219),
+	.A2(n_27436),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583414 (
+	.A1(n_23764),
+	.A2(n_66517),
+	.B1(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583415 (
+	.A1(n_23763),
+	.A2(n_66517),
+	.B1(n_18867),
+	.B2(n_23228),
+	.C1(n_23317),
+	.Y(soc_top_u_top_u_core_alu_operand_b_ex[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583416 (
+	.A1(n_22219),
+	.A2(n_27428),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583417 (
+	.A(n_23318),
+	.B(n_23952),
+	.Y(n_24113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583418 (
+	.A1(n_22219),
+	.A2(n_27433),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.C1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583419 (
+	.A1(n_23323),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B1(n_23325),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.C1(n_23896),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583420 (
+	.A1(n_23325),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.B1(n_23323),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.C1(n_23899),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583432 (
+	.A(n_25169),
+	.Y(n_537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583453 (
+	.A1(n_27488),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B2(n_19611),
+	.C1(n_23904),
+	.X(n_24028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583454 (
+	.A1(n_27496),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B2(n_19611),
+	.C1(n_23905),
+	.X(n_24027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583461 (
+	.A1(n_27498),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B2(n_19611),
+	.C1(n_23906),
+	.X(n_24020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583466 (
+	.A1(n_27499),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B2(n_19611),
+	.C1(n_23907),
+	.X(n_24015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583467 (
+	.A1(n_27500),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B2(n_19611),
+	.C1(n_23908),
+	.X(n_24014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583468 (
+	.A1(n_66446),
+	.A2(n_23778),
+	.B1(n_23327),
+	.Y(n_24013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583469 (
+	.A_N(n_23848),
+	.B(n_73273),
+	.Y(n_24012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583473 (
+	.A1(n_27502),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B2(n_19611),
+	.C1(n_23909),
+	.X(n_24008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583475 (
+	.A_N(n_51147),
+	.B(n_23562),
+	.Y(n_24006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583476 (
+	.A1(n_27503),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B2(n_19611),
+	.C1(n_23910),
+	.X(n_24005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583477 (
+	.A1(n_27504),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B2(n_19611),
+	.C1(n_23911),
+	.X(n_24004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583478 (
+	.A1(n_29668),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B2(n_19611),
+	.C1(n_23912),
+	.X(n_24003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583479 (
+	.A1(n_27508),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B2(n_19611),
+	.C1(n_23913),
+	.X(n_24002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583480 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(n_20712),
+	.C1(n_23812),
+	.X(n_24001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583484 (
+	.A1(n_18874),
+	.A2(n_74088),
+	.B1(n_18841),
+	.B2(n_22226),
+	.C1(n_63151),
+	.Y(n_25525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583485 (
+	.A1(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.A2(n_74088),
+	.B1(n_18985),
+	.B2(n_22226),
+	.C1(n_23783),
+	.Y(n_25524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583486 (
+	.A1(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.A2(n_74088),
+	.B1(n_18839),
+	.B2(n_22226),
+	.C1(n_23792),
+	.Y(n_25527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583487 (
+	.A1(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.A2(n_74088),
+	.B1(n_18988),
+	.B2(n_22226),
+	.C1(n_23788),
+	.Y(n_25526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583488 (
+	.A(n_49013),
+	.B(n_54581),
+	.Y(n_24054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583494 (
+	.A1(n_22219),
+	.A2(n_27443),
+	.B1(n_23589),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583496 (
+	.A1(n_22219),
+	.A2(n_27423),
+	.B1(n_23318),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g583500 (
+	.A(n_23890),
+	.B(n_56310),
+	.Y(n_24041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583505 (
+	.A(n_23991),
+	.Y(n_25543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583506 (
+	.A(n_23990),
+	.Y(n_25531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583507 (
+	.A(n_30187),
+	.Y(n_25542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583508 (
+	.A(n_23988),
+	.Y(n_25553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583509 (
+	.A(n_67038),
+	.Y(n_25530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583510 (
+	.A(n_823),
+	.Y(n_25529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583511 (
+	.A(n_30188),
+	.Y(n_25528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583512 (
+	.A(n_23984),
+	.Y(n_25541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583513 (
+	.A(n_30189),
+	.Y(n_25552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583514 (
+	.A(n_23982),
+	.Y(n_25548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583515 (
+	.A(n_67039),
+	.Y(n_25540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583516 (
+	.A(n_30190),
+	.Y(n_25551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583517 (
+	.A(n_30191),
+	.Y(n_25539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583518 (
+	.A(n_23978),
+	.Y(n_25547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583519 (
+	.A(n_67040),
+	.Y(n_25538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583520 (
+	.A(n_30192),
+	.Y(n_25546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583521 (
+	.A(n_23975),
+	.Y(n_25537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583522 (
+	.A(n_23974),
+	.Y(n_25536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583523 (
+	.A(n_817),
+	.Y(n_25523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583524 (
+	.A(n_30193),
+	.Y(n_25545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583525 (
+	.A(n_815),
+	.Y(n_25535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583526 (
+	.A(n_30194),
+	.Y(n_25550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583527 (
+	.A(n_30195),
+	.Y(n_25534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583528 (
+	.A(n_170),
+	.Y(n_25544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583529 (
+	.A(n_23967),
+	.Y(n_25533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583530 (
+	.A(n_30196),
+	.Y(n_25532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583531 (
+	.A(n_825),
+	.Y(n_25549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583532 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.Y(n_23964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583537 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.Y(n_23955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583538 (
+	.A(n_23953),
+	.Y(soc_top_u_top_u_core_csr_addr[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583539 (
+	.A1_N(n_27429),
+	.A2_N(n_22219),
+	.B1(n_18874),
+	.B2(n_23228),
+	.Y(n_23952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g583540 (
+	.A1(n_27484),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B2(n_19611),
+	.C1(n_29682),
+	.Y(n_23951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583541 (
+	.A1(n_27483),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B2(n_19611),
+	.C1(n_23900),
+	.X(n_23950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583542 (
+	.A1(n_27482),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B2(n_19611),
+	.C1(n_23898),
+	.X(n_23949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583544 (
+	.A1(n_27486),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B2(n_19611),
+	.C1(n_23903),
+	.X(n_23947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g583546 (
+	.A1(n_27485),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B2(n_19611),
+	.C1(n_23902),
+	.X(n_23945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g583551 (
+	.A1(n_21573),
+	.A2(n_22406),
+	.A3(n_22219),
+	.B1(n_23759),
+	.B2(n_66517),
+	.Y(n_23941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583552 (
+	.A1(n_64175),
+	.A2(n_27443),
+	.B1(n_19611),
+	.B2(n_19129),
+	.X(n_23940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583554 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.B1(n_23806),
+	.Y(n_23991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583555 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.B1(n_23804),
+	.Y(n_23990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583557 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.B1(n_23824),
+	.Y(n_23988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583559 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[6]),
+	.B1(n_23801),
+	.Y(n_823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583561 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.B1(n_23793),
+	.Y(n_23984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583563 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.B1(n_23790),
+	.Y(n_23982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583567 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.B1(n_23820),
+	.Y(n_23978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583570 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[14]),
+	.B1(n_23827),
+	.Y(n_23975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583571 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[13]),
+	.B1(n_23828),
+	.Y(n_23974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583572 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.B1(n_72813),
+	.Y(n_817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g583574 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.B1(n_23815),
+	.Y(n_815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g583577 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.B1(n_23810),
+	.Y(n_170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583578 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.B1(n_23811),
+	.Y(n_23967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583580 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.B1(n_23805),
+	.Y(n_825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583581 (
+	.A1(n_22219),
+	.A2(n_27420),
+	.B1(n_23171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583584 (
+	.A1(n_22219),
+	.A2(n_27422),
+	.B1(n_23171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583585 (
+	.A1(n_22219),
+	.A2(n_27421),
+	.B1(n_23171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583587 (
+	.A1(n_22219),
+	.A2(n_27419),
+	.B1(n_23171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583589 (
+	.A1(n_22219),
+	.A2(n_27417),
+	.B1(n_23171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.X(soc_top_u_top_u_core_alu_operand_b_ex[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g583591 (
+	.A1_N(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.A2_N(n_23171),
+	.B1(n_23760),
+	.B2(n_66517),
+	.X(n_23953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583615 (
+	.A(n_64175),
+	.B(n_27442),
+	.X(n_23913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583616 (
+	.A(n_64175),
+	.B(n_27441),
+	.X(n_23912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583617 (
+	.A(n_64175),
+	.B(n_27438),
+	.X(n_23911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583618 (
+	.A(n_64175),
+	.B(n_64897),
+	.X(n_23910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583619 (
+	.A(n_64175),
+	.B(n_27436),
+	.X(n_23909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583620 (
+	.A(n_64175),
+	.B(n_27434),
+	.X(n_23908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583621 (
+	.A(n_64175),
+	.B(n_27433),
+	.X(n_23907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583622 (
+	.A(n_64175),
+	.B(n_27432),
+	.X(n_23906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583623 (
+	.A(n_64175),
+	.B(n_27430),
+	.X(n_23905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583624 (
+	.A(n_64175),
+	.B(n_27422),
+	.X(n_23904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583625 (
+	.A(n_64175),
+	.B(n_27420),
+	.X(n_23903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583626 (
+	.A(n_64175),
+	.B(n_27419),
+	.X(n_23902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583628 (
+	.A(n_64175),
+	.B(n_27417),
+	.X(n_23900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g583629 (
+	.A(n_66517),
+	.B_N(n_27416),
+	.Y(n_23899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g583630 (
+	.A(n_64175),
+	.B(n_27416),
+	.X(n_23898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583631 (
+	.A(n_22219),
+	.B(n_27413),
+	.Y(n_23897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g583632 (
+	.A(n_66517),
+	.B_N(n_64176),
+	.Y(n_23896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583633 (
+	.A1(n_27500),
+	.A2(n_18842),
+	.B1(n_23596),
+	.B2(n_23316),
+	.Y(n_23895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583634 (
+	.A1_N(n_18842),
+	.A2_N(n_27509),
+	.B1(n_23315),
+	.B2(n_23627),
+	.Y(n_23894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583638 (
+	.A(n_23707),
+	.B(n_23776),
+	.Y(n_23890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g583641 (
+	.A(n_23705),
+	.B(n_23773),
+	.Y(n_23887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583642 (
+	.A1(n_27479),
+	.A2(n_18842),
+	.B1(n_23601),
+	.B2(n_23316),
+	.Y(n_23886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583644 (
+	.A1(n_27481),
+	.A2(n_18842),
+	.B1(n_23604),
+	.B2(n_23316),
+	.Y(n_23884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583645 (
+	.A1(n_27482),
+	.A2(n_18842),
+	.B1(n_23606),
+	.B2(n_23316),
+	.Y(n_23883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_4 g583648 (
+	.A1(n_27504),
+	.A2(n_18842),
+	.B1(n_23679),
+	.B2(n_23316),
+	.Y(n_23880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g583649 (
+	.A1(n_27503),
+	.A2(n_18842),
+	.B1(n_23677),
+	.B2(n_23316),
+	.Y(n_23879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583650 (
+	.A1(n_27484),
+	.A2(n_18842),
+	.B1(n_23610),
+	.B2(n_23316),
+	.Y(n_23878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g583651 (
+	.A1(n_27501),
+	.A2(n_18842),
+	.B1(n_23673),
+	.B2(n_23316),
+	.Y(n_23877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583653 (
+	.A1(n_27498),
+	.A2(n_18842),
+	.B1(n_23667),
+	.B2(n_23316),
+	.Y(n_23875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583655 (
+	.A1(n_29669),
+	.A2(n_18842),
+	.B1(n_23664),
+	.B2(n_23316),
+	.Y(n_23873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583657 (
+	.A1(n_27495),
+	.A2(n_18842),
+	.B1(n_23654),
+	.B2(n_23316),
+	.Y(n_23871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583659 (
+	.A1(n_27493),
+	.A2(n_18842),
+	.B1(n_23644),
+	.B2(n_23316),
+	.Y(n_23869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583660 (
+	.A1(n_27492),
+	.A2(n_18842),
+	.B1(n_23642),
+	.B2(n_23316),
+	.Y(n_23868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583661 (
+	.A1_N(n_18842),
+	.A2_N(n_27491),
+	.B1(n_23315),
+	.B2(n_23638),
+	.Y(n_23867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583662 (
+	.A1(n_27490),
+	.A2(n_18842),
+	.B1(n_23681),
+	.B2(n_23316),
+	.Y(n_23866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583663 (
+	.A1(n_27489),
+	.A2(n_18842),
+	.B1(n_23626),
+	.B2(n_23316),
+	.Y(n_23865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583664 (
+	.A1(n_27488),
+	.A2(n_18842),
+	.B1(n_23623),
+	.B2(n_23316),
+	.Y(n_23864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583665 (
+	.A1(n_27487),
+	.A2(n_18842),
+	.B1(n_23619),
+	.B2(n_23316),
+	.Y(n_23863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583666 (
+	.A1(n_27486),
+	.A2(n_18842),
+	.B1(n_23616),
+	.B2(n_23316),
+	.Y(n_23862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g583667 (
+	.A1(n_27502),
+	.A2(n_18842),
+	.B1(n_23675),
+	.B2(n_23316),
+	.Y(n_23937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583690 (
+	.A(n_23848),
+	.Y(n_23849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g583704 (
+	.A1_N(n_23315),
+	.A2_N(n_23593),
+	.B1(n_18842),
+	.B2(n_29668),
+	.Y(n_23831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g583705 (
+	.A1_N(n_23315),
+	.A2_N(n_23595),
+	.B1(n_18842),
+	.B2(n_27508),
+	.Y(n_23830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583707 (
+	.A1(n_22222),
+	.A2(n_27491),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.X(n_23828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583708 (
+	.A1(n_22222),
+	.A2(n_27492),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.X(n_23827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583711 (
+	.A1(n_22222),
+	.A2(n_27508),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[30]),
+	.X(n_23824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583714 (
+	.A1(n_27479),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B2(n_19611),
+	.X(n_23821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583715 (
+	.A1(n_22222),
+	.A2(n_27502),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[24]),
+	.X(n_23820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583720 (
+	.A1(n_22222),
+	.A2(n_27490),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[12]),
+	.X(n_23815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g583723 (
+	.A1_N(n_27509),
+	.A2_N(n_20713),
+	.B1(n_20809),
+	.B2(n_19129),
+	.Y(n_23812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583724 (
+	.A1(n_22222),
+	.A2(n_27488),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[10]),
+	.X(n_23811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583725 (
+	.A1(n_22222),
+	.A2(n_27499),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[21]),
+	.X(n_23810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583726 (
+	.A1(n_27506),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B2(n_19611),
+	.X(n_23809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583728 (
+	.A1(n_27505),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B2(n_19611),
+	.X(n_23807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583729 (
+	.A1(n_22222),
+	.A2(n_27498),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[20]),
+	.X(n_23806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583730 (
+	.A1(n_22222),
+	.A2(n_27504),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[26]),
+	.X(n_23805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583731 (
+	.A1(n_22222),
+	.A2(n_27486),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[8]),
+	.X(n_23804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583732 (
+	.A1(n_27501),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B2(n_19611),
+	.X(n_23803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583734 (
+	.A1(n_22222),
+	.A2(n_27484),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.X(n_23801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583735 (
+	.A1(n_29669),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B2(n_19611),
+	.X(n_23800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583736 (
+	.A1(n_27495),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B2(n_19611),
+	.X(n_23799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583738 (
+	.A1(n_27494),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B2(n_19611),
+	.X(n_23797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583740 (
+	.A1(n_27493),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B2(n_19611),
+	.X(n_23795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583741 (
+	.A1(n_27492),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B2(n_19611),
+	.X(n_23794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583742 (
+	.A1(n_22222),
+	.A2(n_27496),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[18]),
+	.X(n_23793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583743 (
+	.A1(n_22222),
+	.A2(n_27482),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.Y(n_23792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583744 (
+	.A1(n_27491),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B2(n_19611),
+	.X(n_23791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g583745 (
+	.A1(n_22222),
+	.A2(n_27503),
+	.B1(n_22225),
+	.B2(soc_top_u_top_u_core_pc_id[25]),
+	.X(n_23790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583746 (
+	.A1(n_27490),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B2(n_19611),
+	.X(n_23789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583747 (
+	.A1(n_22222),
+	.A2(n_27481),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.Y(n_23788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583748 (
+	.A1(n_27489),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B2(n_19611),
+	.X(n_23787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583749 (
+	.A1(n_27487),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B2(n_19611),
+	.X(n_23786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g583752 (
+	.A1(n_22222),
+	.A2(n_27479),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.Y(n_23783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g583753 (
+	.A1(n_27481),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B2(n_19611),
+	.X(n_23782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g583754 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[31]),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.C1(n_23771),
+	.X(n_25554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g583759 (
+	.A1(soc_top_data_rdata[11]),
+	.A2(n_48272),
+	.B1(n_23728),
+	.Y(n_23848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583766 (
+	.A(n_23781),
+	.Y(n_27439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583767 (
+	.A(n_23780),
+	.Y(n_27435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583769 (
+	.A(n_48272),
+	.B(soc_top_data_rdata[13]),
+	.Y(n_23778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583770 (
+	.A(n_38207),
+	.B(soc_top_data_rdata[20]),
+	.Y(n_23777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583771 (
+	.A(n_38207),
+	.B(soc_top_data_rdata[19]),
+	.Y(n_23776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583772 (
+	.A(n_38207),
+	.B(soc_top_data_rdata[18]),
+	.Y(n_23775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g583773 (
+	.A(n_38207),
+	.B(soc_top_data_rdata[17]),
+	.Y(n_23774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g583774 (
+	.A(n_38207),
+	.B(soc_top_data_rdata[16]),
+	.Y(n_23773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g583776 (
+	.A(n_22222),
+	.B(n_27509),
+	.X(n_23771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583783 (
+	.A1(n_25134),
+	.A2(n_23556),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.B2(n_23311),
+	.C1(n_23723),
+	.X(n_27443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583784 (
+	.A1(n_64893),
+	.A2(n_23669),
+	.B1(n_35332),
+	.B2(n_29676),
+	.C1(n_23732),
+	.Y(n_27441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583785 (
+	.A1(n_29689),
+	.A2(n_23692),
+	.B1(n_35323),
+	.B2(n_29676),
+	.C1(n_23721),
+	.Y(n_27440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583786 (
+	.A1(n_25135),
+	.A2(n_23556),
+	.B1(n_23742),
+	.Y(n_23781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583787 (
+	.A1(n_25322),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.C1(n_23720),
+	.X(n_27438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583789 (
+	.A1(n_25319),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.C1(n_23733),
+	.X(n_27436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583790 (
+	.A1(n_25120),
+	.A2(n_23556),
+	.B1(n_23753),
+	.Y(n_23780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583791 (
+	.A1(n_25139),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.C1(n_23722),
+	.X(n_27442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583792 (
+	.A(n_23764),
+	.Y(n_27431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583793 (
+	.A(n_23763),
+	.Y(n_27427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583794 (
+	.A(n_23762),
+	.Y(n_27426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583795 (
+	.A(n_23761),
+	.Y(n_27424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g583796 (
+	.A(n_23760),
+	.Y(n_27418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g583797 (
+	.A(n_23759),
+	.Y(n_27414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g583798 (
+	.A(n_29673),
+	.B(n_23533),
+	.Y(n_27380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583799 (
+	.A1(n_25126),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.C1(n_23696),
+	.X(n_27433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583800 (
+	.A1(n_25125),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.C1(n_23716),
+	.X(n_27432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583801 (
+	.A1(n_25320),
+	.A2(n_23556),
+	.B1(n_23743),
+	.Y(n_23764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583802 (
+	.A1(n_29689),
+	.A2(n_23688),
+	.B1(n_64893),
+	.B2(n_23651),
+	.C1(n_23549),
+	.Y(n_27430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583803 (
+	.A1(n_29689),
+	.A2(n_23693),
+	.B1(n_64893),
+	.B2(n_23648),
+	.C1(n_23548),
+	.Y(n_27429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583804 (
+	.A1(n_29689),
+	.A2(n_23691),
+	.B1(n_64893),
+	.B2(n_23647),
+	.C1(n_23547),
+	.Y(n_27428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583805 (
+	.A1(n_25127),
+	.A2(n_23556),
+	.B1(n_23744),
+	.Y(n_23763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583806 (
+	.A1(n_25130),
+	.A2(n_23556),
+	.B1(n_23745),
+	.Y(n_23762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583807 (
+	.A1(n_29689),
+	.A2(n_23690),
+	.B1(n_18906),
+	.B2(n_29676),
+	.C1(n_23715),
+	.Y(n_27425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583808 (
+	.A1(n_25131),
+	.A2(n_23556),
+	.B1(n_23746),
+	.Y(n_23761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583809 (
+	.A1(n_64893),
+	.A2(n_23637),
+	.B1(n_36100),
+	.B2(n_29676),
+	.C1(n_23731),
+	.Y(n_27423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583810 (
+	.A1(n_29689),
+	.A2(n_23687),
+	.B1(n_64893),
+	.B2(n_23635),
+	.C1(n_23546),
+	.Y(n_27422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583811 (
+	.A1(n_29689),
+	.A2(n_23686),
+	.B1(n_64893),
+	.B2(n_23680),
+	.C1(n_23545),
+	.Y(n_27421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583812 (
+	.A1(n_25122),
+	.A2(n_23556),
+	.B1(n_23747),
+	.X(n_27420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583813 (
+	.A1(n_25178),
+	.A2(n_23556),
+	.B1(n_23748),
+	.X(n_27419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583814 (
+	.A1(n_25391),
+	.A2(n_23556),
+	.B1(n_23749),
+	.Y(n_23760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583815 (
+	.A1(n_25180),
+	.A2(n_23556),
+	.B1(n_23750),
+	.X(n_27417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g583816 (
+	.A1(n_25182),
+	.A2(n_23556),
+	.B1(n_23751),
+	.X(n_27416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583817 (
+	.A1(n_29689),
+	.A2(n_23632),
+	.B1(n_64893),
+	.B2(n_23618),
+	.C1(n_23544),
+	.Y(n_27415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583818 (
+	.A1(n_25132),
+	.A2(n_23556),
+	.B1(n_23752),
+	.Y(n_23759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g583819 (
+	.A1(n_29689),
+	.A2(n_23689),
+	.B1(n_64893),
+	.B2(n_23613),
+	.C1(n_23542),
+	.Y(n_27413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583821 (
+	.A1(n_25137),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.C1(n_23734),
+	.X(n_27434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g583825 (
+	.A1_N(n_23272),
+	.A2_N(n_23674),
+	.B1(n_18917),
+	.B2(n_23272),
+	.Y(n_27505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583826 (
+	.A1(n_23672),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.X(n_27489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583827 (
+	.A0(n_23670),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.S(n_72803),
+	.X(n_27488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583828 (
+	.A0(n_23668),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.S(n_72803),
+	.X(n_27499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583829 (
+	.A1(n_23665),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.X(n_27487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583830 (
+	.A0(n_23663),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.S(n_72803),
+	.X(n_27486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583831 (
+	.A0(n_23662),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.S(n_72803),
+	.X(n_27498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583832 (
+	.A0(n_23658),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.S(n_72803),
+	.X(n_27504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583833 (
+	.A0(n_23655),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.S(n_72803),
+	.X(n_27485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g583834 (
+	.A1_N(n_23272),
+	.A2_N(n_23652),
+	.B1(n_18912),
+	.B2(n_23272),
+	.Y(n_27484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583835 (
+	.A0(n_23676),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.S(n_72803),
+	.X(n_27500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583837 (
+	.A0(n_23646),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.S(n_72803),
+	.X(n_27483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583838 (
+	.A1(n_23641),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.X(n_27482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583839 (
+	.A0(n_23640),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.S(n_72803),
+	.X(n_27496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583840 (
+	.A0(n_23636),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.S(n_72803),
+	.X(n_27503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g583841 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.A2_N(n_72803),
+	.B1(n_72803),
+	.B2(n_23634),
+	.Y(n_27481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g583842 (
+	.A1_N(n_23272),
+	.A2_N(n_23624),
+	.B1(n_18772),
+	.B2(n_23272),
+	.Y(n_27495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583844 (
+	.A1(n_23678),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.X(n_27490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583846 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23660),
+	.Y(n_23753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g583847 (
+	.A1(n_64893),
+	.A2(n_23617),
+	.B1(n_63138),
+	.B2(n_29676),
+	.Y(n_23752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g583848 (
+	.A1(n_64893),
+	.A2(n_23620),
+	.B1(n_18767),
+	.B2(n_29676),
+	.Y(n_23751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583849 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23622),
+	.Y(n_23750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583850 (
+	.A1(n_18912),
+	.A2(n_29676),
+	.B1(n_23713),
+	.Y(n_23749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583851 (
+	.A1(n_18773),
+	.A2(n_29676),
+	.B1(n_23714),
+	.Y(n_23748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g583852 (
+	.A1(n_64893),
+	.A2(n_23597),
+	.B1(n_18903),
+	.B2(n_29676),
+	.Y(n_23747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583853 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23639),
+	.Y(n_23746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583854 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23643),
+	.Y(n_23745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583855 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23645),
+	.Y(n_23744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g583856 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.A2_N(n_23311),
+	.B1(n_64893),
+	.B2(n_23653),
+	.Y(n_23743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g583857 (
+	.A1(n_64893),
+	.A2(n_23666),
+	.B1(n_18917),
+	.B2(n_29676),
+	.Y(n_23742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 g583858 (
+	.A0(n_23621),
+	.A1(n_18770),
+	.S(n_72803),
+	.Y(n_27479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583859 (
+	.A1(n_23594),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.X(n_27491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583860 (
+	.A1(n_23600),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.X(n_27501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583861 (
+	.A1(n_23602),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.X(n_27492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583862 (
+	.A0(n_23659),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.S(n_72803),
+	.X(n_27509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583863 (
+	.A1(n_23608),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.X(n_27493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583864 (
+	.A0(n_23607),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.S(n_72803),
+	.X(n_27508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g583865 (
+	.A1(n_23611),
+	.A2(n_23272),
+	.B1(n_72803),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.X(n_27506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g583866 (
+	.A0(n_23599),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.S(n_72803),
+	.X(n_27502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g583868 (
+	.A1_N(n_23272),
+	.A2_N(n_23614),
+	.B1(n_18771),
+	.B2(n_23272),
+	.Y(n_27494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g583872 (
+	.A(n_23737),
+	.Y(n_23736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583875 (
+	.A1(n_23486),
+	.A2(n_23377),
+	.B1(n_64893),
+	.Y(n_23734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583876 (
+	.A1(n_23381),
+	.A2(n_23493),
+	.B1(n_64893),
+	.Y(n_23733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583877 (
+	.A(n_25138),
+	.B(n_23556),
+	.Y(n_23732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583878 (
+	.A(n_25119),
+	.B(n_23556),
+	.Y(n_23731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583882 (
+	.A(n_23585),
+	.B(n_23264),
+	.C(n_19288),
+	.D(n_20751),
+	.Y(n_23728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g583884 (
+	.A_N(n_27374),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_25443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583888 (
+	.A1(n_23516),
+	.A2(n_23392),
+	.B1(n_23554),
+	.X(n_23723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g583889 (
+	.A1(n_23391),
+	.A2(n_30844),
+	.A3(n_23069),
+	.A4(n_23068),
+	.B1(n_64893),
+	.Y(n_23722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583890 (
+	.A1(n_23507),
+	.A2(n_23444),
+	.B1(n_23554),
+	.Y(n_23721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g583891 (
+	.A1(n_23383),
+	.A2(n_23500),
+	.B1(n_64893),
+	.Y(n_23720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g583892 (
+	.A(n_20807),
+	.B(n_25167),
+	.X(n_23739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g583893 (
+	.A(n_20807),
+	.B(n_25168),
+	.X(n_23738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g583894 (
+	.A(n_76726),
+	.B(n_29691),
+	.Y(n_23737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583897 (
+	.A1(n_23373),
+	.A2(n_23479),
+	.B1(n_23554),
+	.X(n_23716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583898 (
+	.A1(n_23363),
+	.A2(n_23454),
+	.B1(n_23554),
+	.Y(n_23715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583899 (
+	.A1(n_23353),
+	.A2(n_23438),
+	.B1(n_23554),
+	.Y(n_23714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g583900 (
+	.A1(n_23350),
+	.A2(n_23434),
+	.B1(n_23554),
+	.Y(n_23713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583901 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.C1(n_23579),
+	.X(n_23712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g583902 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.C1(n_23578),
+	.X(n_23711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g583905 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.C1(n_23575),
+	.Y(n_23708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g583906 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.C1(n_23574),
+	.Y(n_23707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g583907 (
+	.A(n_23573),
+	.B(n_23330),
+	.X(n_23718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g583908 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.C1(n_23572),
+	.Y(n_23706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g583909 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.C1(n_23571),
+	.Y(n_23705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g583918 (
+	.A1(n_23375),
+	.A2(n_23483),
+	.B1(n_23554),
+	.X(n_23696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583920 (
+	.A(n_23693),
+	.Y(n_25323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583921 (
+	.A(n_23692),
+	.Y(n_25136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583922 (
+	.A(n_23691),
+	.Y(n_25123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583923 (
+	.A(n_23690),
+	.Y(n_25133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583924 (
+	.A(n_23689),
+	.Y(n_25128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583925 (
+	.A(n_23688),
+	.Y(n_25129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583926 (
+	.A(n_23687),
+	.Y(n_25118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583927 (
+	.A(n_23686),
+	.Y(n_25121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g583929 (
+	.A(n_53030),
+	.Y(n_23682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583930 (
+	.A(n_22639),
+	.B(n_22633),
+	.C(n_23226),
+	.D(n_23540),
+	.X(n_23681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583931 (
+	.A(n_23355),
+	.B(n_23541),
+	.Y(n_23680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583932 (
+	.A(n_23149),
+	.B(n_23148),
+	.C(n_23249),
+	.D(n_23522),
+	.X(n_23679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583933 (
+	.A(n_23396),
+	.B(n_23244),
+	.C(n_23128),
+	.D(n_23131),
+	.Y(n_23678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583934 (
+	.A(n_23139),
+	.B(n_23141),
+	.C(n_23248),
+	.D(n_23520),
+	.X(n_23677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583935 (
+	.A(n_23395),
+	.B(n_23243),
+	.C(n_23078),
+	.D(n_23086),
+	.Y(n_23676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583936 (
+	.A(n_23129),
+	.B(n_23130),
+	.C(n_23247),
+	.D(n_23519),
+	.X(n_23675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583937 (
+	.A(n_23503),
+	.B(n_23394),
+	.Y(n_23674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583938 (
+	.A(n_23120),
+	.B(n_23122),
+	.C(n_23245),
+	.D(n_23518),
+	.X(n_23673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583939 (
+	.A(n_23393),
+	.B(n_23240),
+	.C(n_23070),
+	.D(n_23075),
+	.Y(n_23672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583940 (
+	.A(n_23092),
+	.B(n_23098),
+	.C(n_23241),
+	.D(n_23515),
+	.X(n_23671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583941 (
+	.A(n_23389),
+	.B(n_23236),
+	.C(n_23013),
+	.D(n_23019),
+	.Y(n_23670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583942 (
+	.A(n_23390),
+	.B(n_23511),
+	.Y(n_23669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583943 (
+	.A(n_23388),
+	.B(n_23235),
+	.C(n_22958),
+	.D(n_22968),
+	.Y(n_23668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583944 (
+	.A(n_23037),
+	.B(n_23044),
+	.C(n_23237),
+	.D(n_23510),
+	.X(n_23667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583945 (
+	.A(n_23385),
+	.B(n_23504),
+	.Y(n_23666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583946 (
+	.A(n_23384),
+	.B(n_23233),
+	.C(n_22947),
+	.D(n_22957),
+	.Y(n_23665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583947 (
+	.A(n_22982),
+	.B(n_22985),
+	.C(n_23234),
+	.D(n_23499),
+	.X(n_23664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583948 (
+	.A(n_23380),
+	.B(n_23231),
+	.C(n_22909),
+	.D(n_22917),
+	.Y(n_23663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583949 (
+	.A(n_23379),
+	.B(n_23230),
+	.C(n_22846),
+	.D(n_22854),
+	.Y(n_23662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583950 (
+	.A(n_22923),
+	.B(n_22926),
+	.C(n_23232),
+	.D(n_23489),
+	.X(n_23661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583951 (
+	.A(n_23490),
+	.B(n_23378),
+	.Y(n_23660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583952 (
+	.A(n_23387),
+	.B(n_23250),
+	.C(n_22995),
+	.D(n_22889),
+	.Y(n_23659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583953 (
+	.A(n_23376),
+	.B(n_23254),
+	.C(n_22735),
+	.D(n_22756),
+	.Y(n_23658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583955 (
+	.A(n_23450),
+	.B(n_23252),
+	.C(n_22276),
+	.D(n_22790),
+	.Y(n_23656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583956 (
+	.A(n_23374),
+	.B(n_23251),
+	.C(n_22832),
+	.D(n_22842),
+	.Y(n_23655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583957 (
+	.A(n_22864),
+	.B(n_22868),
+	.C(n_23246),
+	.D(n_23480),
+	.X(n_23654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583958 (
+	.A(n_23372),
+	.B(n_23476),
+	.Y(n_23653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583959 (
+	.A(n_23472),
+	.B(n_23371),
+	.Y(n_23652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583960 (
+	.A(n_23370),
+	.B(n_23473),
+	.Y(n_23651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583961 (
+	.A(n_22808),
+	.B(n_22813),
+	.C(n_23253),
+	.D(n_23469),
+	.X(n_23650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583962 (
+	.A(n_23369),
+	.B(n_23255),
+	.C(n_22723),
+	.D(n_22732),
+	.Y(n_23649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583963 (
+	.A(n_23368),
+	.B(n_23468),
+	.Y(n_23648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583964 (
+	.A(n_23367),
+	.B(n_23465),
+	.Y(n_23647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583965 (
+	.A(n_23366),
+	.B(n_23257),
+	.C(n_22728),
+	.D(n_22740),
+	.Y(n_23646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583966 (
+	.A(n_23365),
+	.B(n_23462),
+	.Y(n_23645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583967 (
+	.A(n_22747),
+	.B(n_22748),
+	.C(n_23256),
+	.D(n_23461),
+	.X(n_23644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583968 (
+	.A(n_23458),
+	.B(n_23364),
+	.Y(n_23643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g583969 (
+	.A(n_22715),
+	.B(n_22712),
+	.C(n_23258),
+	.D(n_23455),
+	.X(n_23642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583970 (
+	.A(n_23362),
+	.B(n_23263),
+	.C(n_22668),
+	.D(n_22674),
+	.Y(n_23641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583971 (
+	.A(n_23361),
+	.B(n_23227),
+	.C(n_22610),
+	.D(n_22624),
+	.Y(n_23640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583972 (
+	.A(n_23360),
+	.B(n_23451),
+	.Y(n_23639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g583973 (
+	.A(n_22677),
+	.B(n_22683),
+	.C(n_23268),
+	.D(n_23447),
+	.Y(n_23638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583974 (
+	.A(n_23358),
+	.B(n_23446),
+	.Y(n_23637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583975 (
+	.A(n_23359),
+	.B(n_23223),
+	.C(n_22473),
+	.D(n_22512),
+	.Y(n_23636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583976 (
+	.A(n_23357),
+	.B(n_23534),
+	.Y(n_23635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583977 (
+	.A(n_23356),
+	.B(n_23443),
+	.Y(n_23634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583979 (
+	.A(n_23471),
+	.B(n_23470),
+	.Y(n_23693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583980 (
+	.A(n_23509),
+	.B(n_23508),
+	.Y(n_23692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583981 (
+	.A(n_23467),
+	.B(n_23466),
+	.Y(n_23691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583982 (
+	.A(n_23505),
+	.B(n_23506),
+	.Y(n_25135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583983 (
+	.A(n_23463),
+	.B(n_23464),
+	.Y(n_25127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583984 (
+	.A(n_23513),
+	.B(n_23514),
+	.Y(n_25139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583985 (
+	.A(n_23487),
+	.B(n_23488),
+	.Y(n_25137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583986 (
+	.A(n_23501),
+	.B(n_23502),
+	.Y(n_25322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583987 (
+	.A(n_23459),
+	.B(n_23460),
+	.Y(n_25130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g583988 (
+	.A(n_23512),
+	.B(n_23238),
+	.C(n_23063),
+	.D(n_23062),
+	.Y(n_25138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583989 (
+	.A(n_23457),
+	.B(n_23456),
+	.Y(n_23690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583990 (
+	.A(n_23419),
+	.B(n_23417),
+	.Y(n_23689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583991 (
+	.A(n_23497),
+	.B(n_23498),
+	.Y(n_25321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583992 (
+	.A(n_23452),
+	.B(n_23453),
+	.Y(n_25131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583993 (
+	.A(n_23481),
+	.B(n_23482),
+	.Y(n_25125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583994 (
+	.A(n_23477),
+	.B(n_23478),
+	.Y(n_25320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583995 (
+	.A(n_23448),
+	.B(n_23449),
+	.Y(n_25119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583996 (
+	.A(n_23494),
+	.B(n_23553),
+	.Y(n_25319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583997 (
+	.A(n_23475),
+	.B(n_23474),
+	.Y(n_23688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g583998 (
+	.A(n_23445),
+	.B(n_23495),
+	.Y(n_23687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g583999 (
+	.A(n_23484),
+	.B(n_23485),
+	.Y(n_25126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584000 (
+	.A(n_23491),
+	.B(n_23492),
+	.Y(n_25120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g584001 (
+	.A_N(n_23517),
+	.B_N(n_23242),
+	.C(n_23102),
+	.D(n_23103),
+	.Y(n_25134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584002 (
+	.A(n_23537),
+	.B(n_23538),
+	.Y(n_23686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g584005 (
+	.A(n_23633),
+	.Y(n_25324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g584006 (
+	.A(n_23632),
+	.Y(n_25124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584007 (
+	.A(n_23630),
+	.Y(n_23631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584009 (
+	.A(n_22346),
+	.B(n_22347),
+	.C(n_23205),
+	.D(n_23401),
+	.Y(n_23627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584010 (
+	.A(n_22590),
+	.B(n_22595),
+	.C(n_23224),
+	.D(n_23439),
+	.X(n_23626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584012 (
+	.A(n_23428),
+	.B(n_23351),
+	.Y(n_23624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584013 (
+	.A(n_22547),
+	.B(n_22551),
+	.C(n_23222),
+	.D(n_23433),
+	.X(n_23623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584014 (
+	.A(n_23349),
+	.B(n_23430),
+	.Y(n_23622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584015 (
+	.A(n_30199),
+	.B(n_23405),
+	.Y(n_23621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584016 (
+	.A(n_23347),
+	.B(n_23426),
+	.Y(n_23620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584017 (
+	.A(n_22509),
+	.B(n_22653),
+	.C(n_23218),
+	.D(n_23425),
+	.X(n_23619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584018 (
+	.A(n_23346),
+	.B(n_23422),
+	.Y(n_23618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584019 (
+	.A(n_23345),
+	.B(n_23420),
+	.Y(n_23617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584020 (
+	.A(n_22468),
+	.B(n_22704),
+	.C(n_23217),
+	.D(n_23418),
+	.X(n_23616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584022 (
+	.A(n_23413),
+	.B(n_23343),
+	.Y(n_23614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584023 (
+	.A(n_23342),
+	.B(n_23416),
+	.Y(n_23613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584024 (
+	.A(n_22441),
+	.B(n_22439),
+	.C(n_23221),
+	.D(n_23414),
+	.X(n_23612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584025 (
+	.A(n_23340),
+	.B(n_23208),
+	.C(n_22320),
+	.D(n_22308),
+	.Y(n_23611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584026 (
+	.A(n_22431),
+	.B(n_22430),
+	.C(n_23216),
+	.D(n_23411),
+	.X(n_23610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584027 (
+	.A(n_22416),
+	.B(n_22418),
+	.C(n_23215),
+	.D(n_23410),
+	.X(n_23609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584028 (
+	.A(n_23339),
+	.B(n_23212),
+	.C(n_22374),
+	.D(n_22377),
+	.Y(n_23608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584029 (
+	.A(n_23521),
+	.B(n_23203),
+	.C(n_22240),
+	.D(n_22363),
+	.Y(n_23607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584030 (
+	.A(n_22402),
+	.B(n_22403),
+	.C(n_23213),
+	.D(n_23408),
+	.X(n_23606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584031 (
+	.A(n_23338),
+	.B(n_23407),
+	.Y(n_23605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584032 (
+	.A(n_22385),
+	.B(n_22387),
+	.C(n_23211),
+	.D(n_23406),
+	.X(n_23604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584033 (
+	.A(n_22368),
+	.B(n_22369),
+	.C(n_23210),
+	.D(n_23404),
+	.X(n_23603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584034 (
+	.A(n_23337),
+	.B(n_23206),
+	.C(n_22345),
+	.D(n_22339),
+	.Y(n_23602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584035 (
+	.A(n_22367),
+	.B(n_22365),
+	.C(n_23209),
+	.D(n_23403),
+	.X(n_23601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584036 (
+	.A(n_23336),
+	.B(n_23199),
+	.C(n_22315),
+	.D(n_22327),
+	.Y(n_23600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584037 (
+	.A(n_23341),
+	.B(n_23214),
+	.C(n_22389),
+	.D(n_22372),
+	.Y(n_23599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584038 (
+	.A(n_22355),
+	.B(n_22356),
+	.C(n_23207),
+	.D(n_23402),
+	.X(n_23598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584039 (
+	.A(n_23354),
+	.B(n_23442),
+	.Y(n_23597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g584040 (
+	.A(n_22322),
+	.B(n_22325),
+	.C(n_23202),
+	.D(n_23400),
+	.X(n_23596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584041 (
+	.A(n_22335),
+	.B(n_22336),
+	.C(n_23204),
+	.D(n_23399),
+	.Y(n_23595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584042 (
+	.A(n_23335),
+	.B(n_23198),
+	.C(n_22305),
+	.D(n_22309),
+	.Y(n_23594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584043 (
+	.A(n_22316),
+	.B(n_22317),
+	.C(n_23201),
+	.D(n_23398),
+	.Y(n_23593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584044 (
+	.A(n_22306),
+	.B(n_22307),
+	.C(n_23200),
+	.D(n_23397),
+	.Y(n_23592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584045 (
+	.A(n_22303),
+	.B(n_22304),
+	.C(n_23197),
+	.D(n_23523),
+	.Y(n_23591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584046 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.C1(n_22188),
+	.X(n_23590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g584047 (
+	.A_N(n_23323),
+	.B(n_23228),
+	.C(n_23324),
+	.Y(n_23589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584048 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.A2(n_23169),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.B2(n_23166),
+	.C1(n_23168),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.Y(n_23588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584049 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.A2(n_23169),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.B2(n_23168),
+	.C1(n_23166),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.Y(n_23587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584050 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.A2(n_23169),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.B2(n_23166),
+	.C1(n_23168),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.Y(n_23586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584051 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.A2(n_23169),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.B2(n_23166),
+	.C1(n_23168),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.Y(n_23585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584052 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.A2(n_23166),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.B2(n_23168),
+	.C1(n_23169),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_23584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584053 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.A2(n_23169),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.B2(n_23166),
+	.C1(n_23168),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.Y(n_23583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584054 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.A2(n_23166),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.B2(n_23168),
+	.C1(n_23169),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Y(n_23582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g584055 (
+	.A1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.A2(n_23168),
+	.B1(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.B2(n_23166),
+	.C1(n_23169),
+	.C2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Y(n_23581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584056 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.C1(n_22192),
+	.X(n_23580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584057 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.B1(n_23168),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.C1(n_22205),
+	.X(n_23579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584058 (
+	.A1(n_23167),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.B1(n_23169),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.C1(n_22204),
+	.X(n_23578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584059 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.C1(n_22203),
+	.X(n_23577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584060 (
+	.A1(n_23167),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.B1(n_23168),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.C1(n_22201),
+	.X(n_23576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584061 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.B1(n_23168),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.C1(n_22200),
+	.X(n_23575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584062 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.B1(n_23169),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.C1(n_22199),
+	.X(n_23574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584063 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.B1(n_23169),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.C1(n_22198),
+	.Y(n_23573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584064 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.B1(n_23168),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.C1(n_22197),
+	.X(n_23572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584065 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.C1(n_22196),
+	.X(n_23571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584066 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.C1(n_22191),
+	.X(n_23570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584067 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.C1(n_22195),
+	.X(n_23569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584068 (
+	.A1(n_23166),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.C1(n_22193),
+	.X(n_23568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584069 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.C1(n_22194),
+	.X(n_23567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584070 (
+	.A1(n_22706),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.B1(n_23174),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.C1(n_23331),
+	.X(n_25165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584071 (
+	.A(n_23441),
+	.B(n_23440),
+	.Y(n_25178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584072 (
+	.A(n_23421),
+	.B(n_23536),
+	.Y(n_25132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584073 (
+	.A(n_23429),
+	.B(n_23427),
+	.Y(n_25182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584074 (
+	.A(n_23412),
+	.B(n_23409),
+	.Y(n_23633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584075 (
+	.A(n_23437),
+	.B(n_23435),
+	.Y(n_25391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584076 (
+	.A(n_62405),
+	.B(n_23225),
+	.C(n_22611),
+	.D(n_22612),
+	.Y(n_25122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584077 (
+	.A(n_23432),
+	.B(n_23431),
+	.Y(n_25180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g584078 (
+	.A1(n_23196),
+	.A2(n_23195),
+	.B1_N(n_19239),
+	.Y(n_27374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584079 (
+	.A1(n_22706),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.B1(n_23174),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.C1(n_23334),
+	.X(n_25170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584080 (
+	.A1(n_22706),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_23174),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.C1(n_23333),
+	.X(n_25168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584081 (
+	.A(n_23424),
+	.B(n_23423),
+	.Y(n_23632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584082 (
+	.A1(n_22706),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_23174),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.C1(n_23332),
+	.X(n_25167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g584083 (
+	.A1_N(n_19031),
+	.A2_N(n_69684),
+	.B1(n_38278),
+	.B2(n_23321),
+	.Y(n_23630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g584086 (
+	.A(n_53029),
+	.Y(n_23562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g584089 (
+	.A(n_29689),
+	.Y(n_23556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584090 (
+	.A(n_64893),
+	.Y(n_23554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584091 (
+	.A(n_30204),
+	.B(n_30205),
+	.C(n_22955),
+	.D(n_30206),
+	.X(n_23553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584095 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_23549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584096 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_23548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584097 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_23547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584098 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_23546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584099 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_23545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584100 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_23544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584101 (
+	.A(n_23325),
+	.B(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.Y(n_23543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584102 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_23542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584103 (
+	.A(n_22628),
+	.B(n_22629),
+	.C(n_22627),
+	.D(n_22626),
+	.Y(n_23541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584104 (
+	.A(n_22622),
+	.B(n_22620),
+	.C(n_22631),
+	.D(n_22630),
+	.Y(n_23540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584105 (
+	.A(n_23311),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_23539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584106 (
+	.A(n_22640),
+	.B(n_22638),
+	.C(n_22637),
+	.D(n_22642),
+	.Y(n_23538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584107 (
+	.A(n_22646),
+	.B(n_22644),
+	.C(n_22645),
+	.D(n_22643),
+	.Y(n_23537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584108 (
+	.A(n_22792),
+	.B(n_22484),
+	.C(n_22485),
+	.D(n_22482),
+	.X(n_23536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584110 (
+	.A(n_22648),
+	.B(n_22651),
+	.C(n_22649),
+	.D(n_22650),
+	.Y(n_23534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584111 (
+	.A(n_29697),
+	.B(n_23188),
+	.Y(n_23533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584112 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.C1(n_23186),
+	.Y(n_23532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584113 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.C1(n_23185),
+	.Y(n_23531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584114 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.C1(n_23184),
+	.X(n_23530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584115 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.C1(n_23183),
+	.Y(n_23529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584118 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.C1(n_23180),
+	.X(n_23526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584119 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.C1(n_23179),
+	.X(n_23525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584121 (
+	.A(n_23151),
+	.B(n_23150),
+	.C(n_23153),
+	.D(n_23152),
+	.Y(n_23523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584122 (
+	.A(n_23145),
+	.B(n_23144),
+	.C(n_23147),
+	.D(n_23146),
+	.Y(n_23522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584123 (
+	.A(n_22973),
+	.B(n_23116),
+	.C(n_23026),
+	.D(n_23111),
+	.X(n_23521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584124 (
+	.A(n_23135),
+	.B(n_23133),
+	.C(n_23138),
+	.D(n_23137),
+	.Y(n_23520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584125 (
+	.A(n_23125),
+	.B(n_23123),
+	.C(n_23127),
+	.D(n_23126),
+	.Y(n_23519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584126 (
+	.A(n_23113),
+	.B(n_23114),
+	.C(n_23118),
+	.D(n_23117),
+	.Y(n_23518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584127 (
+	.A(n_23106),
+	.B(n_23109),
+	.C(n_23107),
+	.D(n_23105),
+	.Y(n_23517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584128 (
+	.A(n_23096),
+	.B(n_23094),
+	.C(n_23095),
+	.D(n_23093),
+	.Y(n_23516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584129 (
+	.A(n_23077),
+	.B(n_23083),
+	.C(n_23091),
+	.D(n_23089),
+	.Y(n_23515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584130 (
+	.A(n_23085),
+	.B(n_23088),
+	.C(n_23087),
+	.D(n_23084),
+	.X(n_23514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584131 (
+	.A(n_23079),
+	.B(n_23082),
+	.C(n_23081),
+	.D(n_23080),
+	.X(n_23513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584132 (
+	.A(n_23065),
+	.B(n_23067),
+	.C(n_23064),
+	.D(n_23066),
+	.X(n_23512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584133 (
+	.A(n_23051),
+	.B(n_23054),
+	.C(n_23055),
+	.D(n_23052),
+	.Y(n_23511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584134 (
+	.A(n_23027),
+	.B(n_23025),
+	.C(n_23035),
+	.D(n_23030),
+	.Y(n_23510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584135 (
+	.A(n_23049),
+	.B(n_23047),
+	.C(n_23048),
+	.D(n_23046),
+	.Y(n_23509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584136 (
+	.A(n_23043),
+	.B(n_23042),
+	.C(n_23039),
+	.D(n_23040),
+	.Y(n_23508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584137 (
+	.A(n_23032),
+	.B(n_23029),
+	.C(n_23031),
+	.D(n_23028),
+	.Y(n_23507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584138 (
+	.A(n_23024),
+	.B(n_23022),
+	.C(n_23020),
+	.D(n_23023),
+	.X(n_23506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584139 (
+	.A(n_23018),
+	.B(n_23016),
+	.C(n_23015),
+	.D(n_23014),
+	.X(n_23505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584140 (
+	.A(n_23006),
+	.B(n_23005),
+	.C(n_23009),
+	.D(n_23007),
+	.Y(n_23504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584141 (
+	.A(n_22990),
+	.B(n_22959),
+	.C(n_23045),
+	.D(n_23017),
+	.X(n_23503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584142 (
+	.A(n_23004),
+	.B(n_23002),
+	.C(n_23001),
+	.D(n_23003),
+	.X(n_23502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584143 (
+	.A(n_22999),
+	.B(n_22998),
+	.C(n_23000),
+	.D(n_22997),
+	.X(n_23501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584144 (
+	.A(n_22991),
+	.B(n_22989),
+	.C(n_22987),
+	.D(n_22986),
+	.X(n_23500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584145 (
+	.A(n_22963),
+	.B(n_22967),
+	.C(n_22975),
+	.D(n_22971),
+	.Y(n_23499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584146 (
+	.A(n_22981),
+	.B(n_22979),
+	.C(n_22978),
+	.D(n_22980),
+	.X(n_23498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584147 (
+	.A(n_22972),
+	.B(n_22974),
+	.C(n_22976),
+	.D(n_22970),
+	.X(n_23497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584149 (
+	.A(n_22660),
+	.B(n_22656),
+	.C(n_22658),
+	.D(n_22661),
+	.Y(n_23495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584150 (
+	.A(n_30207),
+	.B(n_22951),
+	.C(n_22950),
+	.D(n_22949),
+	.X(n_23494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584151 (
+	.A(n_22942),
+	.B(n_22944),
+	.C(n_22943),
+	.D(n_22941),
+	.X(n_23493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584152 (
+	.A(n_22940),
+	.B(n_22937),
+	.C(n_22936),
+	.D(n_22939),
+	.X(n_23492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584153 (
+	.A(n_22932),
+	.B(n_22933),
+	.C(n_22935),
+	.D(n_22934),
+	.X(n_23491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584154 (
+	.A(n_22922),
+	.B(n_22925),
+	.C(n_22924),
+	.D(n_22921),
+	.Y(n_23490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584155 (
+	.A(n_22906),
+	.B(n_22911),
+	.C(n_22920),
+	.D(n_22915),
+	.Y(n_23489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584156 (
+	.A(n_22918),
+	.B(n_22913),
+	.C(n_22914),
+	.D(n_30210),
+	.X(n_23488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584157 (
+	.A(n_22910),
+	.B(n_67042),
+	.C(n_22908),
+	.D(n_22912),
+	.X(n_23487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584158 (
+	.A(n_22900),
+	.B(n_22901),
+	.C(n_22897),
+	.D(n_22898),
+	.X(n_23486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584159 (
+	.A(n_22896),
+	.B(n_22892),
+	.C(n_22893),
+	.D(n_22895),
+	.X(n_23485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584160 (
+	.A(n_22890),
+	.B(n_22888),
+	.C(n_22891),
+	.D(n_30212),
+	.X(n_23484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584161 (
+	.A(n_22881),
+	.B(n_22880),
+	.C(n_22879),
+	.D(n_22882),
+	.Y(n_23483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584162 (
+	.A(n_22876),
+	.B(n_30216),
+	.C(n_22875),
+	.D(n_22873),
+	.X(n_23482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584163 (
+	.A(n_22871),
+	.B(n_22869),
+	.C(n_67043),
+	.D(n_30217),
+	.X(n_23481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584164 (
+	.A(n_22850),
+	.B(n_22853),
+	.C(n_22860),
+	.D(n_22855),
+	.Y(n_23480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584165 (
+	.A(n_22859),
+	.B(n_22861),
+	.C(n_22857),
+	.D(n_67044),
+	.Y(n_23479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584166 (
+	.A(n_22852),
+	.B(n_22849),
+	.C(n_22848),
+	.D(n_22851),
+	.X(n_23478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584167 (
+	.A(n_22845),
+	.B(n_22841),
+	.C(n_22844),
+	.D(n_22847),
+	.X(n_23477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584168 (
+	.A(n_22835),
+	.B(n_22836),
+	.C(n_22834),
+	.D(n_22837),
+	.Y(n_23476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584169 (
+	.A(n_22833),
+	.B(n_22829),
+	.C(n_22831),
+	.D(n_22830),
+	.Y(n_23475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584170 (
+	.A(n_22828),
+	.B(n_22825),
+	.C(n_22827),
+	.D(n_22826),
+	.Y(n_23474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584171 (
+	.A(n_22818),
+	.B(n_22819),
+	.C(n_22817),
+	.D(n_22820),
+	.Y(n_23473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584172 (
+	.A(n_22801),
+	.B(n_22775),
+	.C(n_22789),
+	.D(n_22783),
+	.X(n_23472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584173 (
+	.A(n_22815),
+	.B(n_22812),
+	.C(n_22814),
+	.D(n_22811),
+	.Y(n_23471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584174 (
+	.A(n_22806),
+	.B(n_22807),
+	.C(n_22804),
+	.D(n_22805),
+	.Y(n_23470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584175 (
+	.A(n_22791),
+	.B(n_22795),
+	.C(n_22803),
+	.D(n_22800),
+	.Y(n_23469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584176 (
+	.A(n_22793),
+	.B(n_22796),
+	.C(n_22794),
+	.D(n_22797),
+	.Y(n_23468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584177 (
+	.A(n_22787),
+	.B(n_30222),
+	.C(n_22785),
+	.D(n_22782),
+	.Y(n_23467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584178 (
+	.A(n_22780),
+	.B(n_22779),
+	.C(n_22777),
+	.D(n_22778),
+	.Y(n_23466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584179 (
+	.A(n_22769),
+	.B(n_22770),
+	.C(n_22768),
+	.D(n_22771),
+	.Y(n_23465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584180 (
+	.A(n_22767),
+	.B(n_22764),
+	.C(n_22763),
+	.D(n_22766),
+	.X(n_23464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584181 (
+	.A(n_22761),
+	.B(n_22759),
+	.C(n_22758),
+	.D(n_22762),
+	.X(n_23463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584182 (
+	.A(n_22749),
+	.B(n_22752),
+	.C(n_22750),
+	.D(n_22751),
+	.Y(n_23462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584183 (
+	.A(n_22733),
+	.B(n_22730),
+	.C(n_22737),
+	.D(n_22742),
+	.Y(n_23461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584184 (
+	.A(n_22744),
+	.B(n_22746),
+	.C(n_22743),
+	.D(n_22745),
+	.X(n_23460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584185 (
+	.A(n_22738),
+	.B(n_22736),
+	.C(n_22739),
+	.D(n_22734),
+	.X(n_23459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584186 (
+	.A(n_22726),
+	.B(n_22725),
+	.C(n_22722),
+	.D(n_22724),
+	.Y(n_23458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584187 (
+	.A(n_22721),
+	.B(n_22719),
+	.C(n_22720),
+	.D(n_22718),
+	.Y(n_23457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584188 (
+	.A(n_22716),
+	.B(n_22714),
+	.C(n_22717),
+	.D(n_22713),
+	.Y(n_23456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584189 (
+	.A(n_23158),
+	.B(n_23161),
+	.C(n_22707),
+	.D(n_23157),
+	.Y(n_23455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584190 (
+	.A(n_22708),
+	.B(n_23156),
+	.C(n_23155),
+	.D(n_23154),
+	.Y(n_23454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584191 (
+	.A(n_23162),
+	.B(n_23159),
+	.C(n_23165),
+	.D(n_23160),
+	.X(n_23453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584192 (
+	.A(n_22469),
+	.B(n_22702),
+	.C(n_22302),
+	.D(n_22703),
+	.X(n_23452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584193 (
+	.A(n_22696),
+	.B(n_22697),
+	.C(n_22694),
+	.D(n_22692),
+	.Y(n_23451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584194 (
+	.A(n_22525),
+	.B(n_22593),
+	.C(n_22669),
+	.D(n_22553),
+	.X(n_23450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584195 (
+	.A(n_22689),
+	.B(n_22691),
+	.C(n_22690),
+	.D(n_22688),
+	.X(n_23449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584196 (
+	.A(n_22686),
+	.B(n_22685),
+	.C(n_22687),
+	.D(n_22684),
+	.X(n_23448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584197 (
+	.A(n_22667),
+	.B(n_22662),
+	.C(n_22673),
+	.D(n_22670),
+	.Y(n_23447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584198 (
+	.A(n_22671),
+	.B(n_22678),
+	.C(n_22672),
+	.D(n_22676),
+	.Y(n_23446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584199 (
+	.A(n_22666),
+	.B(n_67045),
+	.C(n_22664),
+	.D(n_22665),
+	.Y(n_23445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584201 (
+	.A(n_49019),
+	.B(n_38278),
+	.Y(n_23564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584207 (
+	.A(n_23038),
+	.B(n_23036),
+	.C(n_22291),
+	.D(n_23034),
+	.Y(n_23444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584208 (
+	.A(n_22603),
+	.B(n_22608),
+	.C(n_22615),
+	.D(n_22623),
+	.Y(n_23443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584209 (
+	.A(n_30228),
+	.B(n_22600),
+	.C(n_30229),
+	.D(n_67046),
+	.Y(n_23442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584210 (
+	.A(n_22598),
+	.B(n_30230),
+	.C(n_22596),
+	.D(n_22597),
+	.X(n_23441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584211 (
+	.A(n_30231),
+	.B(n_22592),
+	.C(n_22591),
+	.D(n_22589),
+	.X(n_23440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584212 (
+	.A(n_22577),
+	.B(n_22579),
+	.C(n_22984),
+	.D(n_22584),
+	.Y(n_23439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584213 (
+	.A(n_22583),
+	.B(n_30233),
+	.C(n_30234),
+	.D(n_30232),
+	.Y(n_23438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584214 (
+	.A(n_22576),
+	.B(n_22575),
+	.C(n_22573),
+	.D(n_22571),
+	.X(n_23437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584215 (
+	.A(n_22559),
+	.B(n_22555),
+	.C(n_22537),
+	.D(n_74084),
+	.Y(n_23436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584216 (
+	.A(n_22568),
+	.B(n_23119),
+	.C(n_22567),
+	.D(n_22565),
+	.X(n_23435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584217 (
+	.A(n_22560),
+	.B(n_22558),
+	.C(n_22557),
+	.D(n_22561),
+	.Y(n_23434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584218 (
+	.A(n_22532),
+	.B(n_22534),
+	.C(n_22659),
+	.D(n_22539),
+	.Y(n_23433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584219 (
+	.A(n_22552),
+	.B(n_30240),
+	.C(n_30241),
+	.D(n_22550),
+	.X(n_23432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584220 (
+	.A(n_22548),
+	.B(n_22546),
+	.C(n_22544),
+	.D(n_22543),
+	.X(n_23431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584221 (
+	.A(n_22535),
+	.B(n_22533),
+	.C(n_30242),
+	.D(n_67047),
+	.Y(n_23430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584222 (
+	.A(n_22529),
+	.B(n_30243),
+	.C(n_30244),
+	.D(n_22528),
+	.X(n_23429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584223 (
+	.A(n_22526),
+	.B(n_22516),
+	.C(n_22500),
+	.D(n_22487),
+	.X(n_23428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584224 (
+	.A(n_30245),
+	.B(n_30246),
+	.C(n_30203),
+	.D(n_22522),
+	.X(n_23427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584225 (
+	.A(n_67048),
+	.B(n_22515),
+	.C(n_30248),
+	.D(n_23143),
+	.Y(n_23426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584226 (
+	.A(n_22491),
+	.B(n_22494),
+	.C(n_22503),
+	.D(n_22499),
+	.Y(n_23425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584227 (
+	.A(n_22508),
+	.B(n_22511),
+	.C(n_22510),
+	.D(n_22507),
+	.Y(n_23424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584228 (
+	.A(n_22506),
+	.B(n_22504),
+	.C(n_22501),
+	.D(n_22502),
+	.Y(n_23423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584229 (
+	.A(n_22492),
+	.B(n_22493),
+	.C(n_22490),
+	.D(n_22489),
+	.Y(n_23422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584230 (
+	.A(n_22480),
+	.B(n_22481),
+	.C(n_22479),
+	.D(n_22478),
+	.X(n_23421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584231 (
+	.A(n_22470),
+	.B(n_22472),
+	.C(n_22471),
+	.D(n_22474),
+	.Y(n_23420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584232 (
+	.A(n_22467),
+	.B(n_22464),
+	.C(n_22461),
+	.D(n_22466),
+	.Y(n_23419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584233 (
+	.A(n_22454),
+	.B(n_22578),
+	.C(n_22465),
+	.D(n_22462),
+	.Y(n_23418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584234 (
+	.A(n_22459),
+	.B(n_22458),
+	.C(n_22457),
+	.D(n_22460),
+	.Y(n_23417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584235 (
+	.A(n_22447),
+	.B(n_22448),
+	.C(n_22446),
+	.D(n_22450),
+	.Y(n_23416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584236 (
+	.A(n_30257),
+	.B(n_30254),
+	.C(n_30256),
+	.D(n_30255),
+	.Y(n_23415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584237 (
+	.A(n_22435),
+	.B(n_22773),
+	.C(n_22438),
+	.D(n_22436),
+	.Y(n_23414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584238 (
+	.A(n_22434),
+	.B(n_22432),
+	.C(n_22429),
+	.D(n_22421),
+	.X(n_23413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584239 (
+	.A(n_22417),
+	.B(n_22426),
+	.C(n_22422),
+	.D(n_22419),
+	.Y(n_23412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584240 (
+	.A(n_22424),
+	.B(n_22423),
+	.C(n_22427),
+	.D(n_22425),
+	.Y(n_23411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584241 (
+	.A(n_22411),
+	.B(n_22410),
+	.C(n_22415),
+	.D(n_22414),
+	.Y(n_23410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584242 (
+	.A(n_22407),
+	.B(n_22405),
+	.C(n_22400),
+	.D(n_22413),
+	.Y(n_23409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584243 (
+	.A(n_22394),
+	.B(n_22395),
+	.C(n_22398),
+	.D(n_22399),
+	.Y(n_23408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584244 (
+	.A(n_22380),
+	.B(n_22382),
+	.C(n_22388),
+	.D(n_22383),
+	.Y(n_23407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584245 (
+	.A(n_22378),
+	.B(n_22379),
+	.C(n_22384),
+	.D(n_22381),
+	.Y(n_23406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584246 (
+	.A(n_22497),
+	.B(n_30250),
+	.C(n_22488),
+	.D(n_22483),
+	.Y(n_23405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584247 (
+	.A(n_22371),
+	.B(n_22370),
+	.C(n_22375),
+	.D(n_22373),
+	.Y(n_23404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584248 (
+	.A(n_22361),
+	.B(n_22359),
+	.C(n_22364),
+	.D(n_22362),
+	.Y(n_23403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584249 (
+	.A(n_22351),
+	.B(n_22352),
+	.C(n_22354),
+	.D(n_22353),
+	.Y(n_23402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584250 (
+	.A(n_22342),
+	.B(n_22341),
+	.C(n_22344),
+	.D(n_22343),
+	.Y(n_23401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584251 (
+	.A(n_22337),
+	.B(n_22334),
+	.C(n_22340),
+	.D(n_22338),
+	.Y(n_23400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584252 (
+	.A(n_22330),
+	.B(n_22329),
+	.C(n_22333),
+	.D(n_22331),
+	.Y(n_23399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584253 (
+	.A(n_22323),
+	.B(n_22321),
+	.C(n_22324),
+	.D(n_22326),
+	.Y(n_23398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584254 (
+	.A(n_22311),
+	.B(n_22310),
+	.C(n_22313),
+	.D(n_22312),
+	.Y(n_23397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584255 (
+	.A(n_23142),
+	.B(n_23140),
+	.C(n_23136),
+	.D(n_22301),
+	.X(n_23396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584256 (
+	.A(n_23134),
+	.B(n_23124),
+	.C(n_23121),
+	.D(n_22300),
+	.X(n_23395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584257 (
+	.A(n_23115),
+	.B(n_23090),
+	.C(n_23059),
+	.D(n_22299),
+	.X(n_23394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584258 (
+	.A(n_23104),
+	.B(n_23108),
+	.C(n_23097),
+	.D(n_22298),
+	.X(n_23393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584259 (
+	.A(n_23099),
+	.B(n_23101),
+	.C(n_22296),
+	.D(n_23100),
+	.Y(n_23392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584260 (
+	.A(n_23074),
+	.B(n_23073),
+	.C(n_23076),
+	.D(n_22295),
+	.X(n_23391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584261 (
+	.A(n_23056),
+	.B(n_23057),
+	.C(n_22294),
+	.D(n_23058),
+	.Y(n_23390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584262 (
+	.A(n_23050),
+	.B(n_23053),
+	.C(n_23041),
+	.D(n_22293),
+	.X(n_23389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584263 (
+	.A(n_23033),
+	.B(n_23021),
+	.C(n_23008),
+	.D(n_22292),
+	.X(n_23388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584264 (
+	.A(n_22613),
+	.B(n_22397),
+	.C(n_23132),
+	.D(n_22282),
+	.X(n_23387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584266 (
+	.A(n_23011),
+	.B(n_23010),
+	.C(n_23012),
+	.D(n_22290),
+	.Y(n_23385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584267 (
+	.A(n_22988),
+	.B(n_22996),
+	.C(n_22983),
+	.D(n_22289),
+	.X(n_23384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584268 (
+	.A(n_22993),
+	.B(n_22994),
+	.C(n_22992),
+	.D(n_22288),
+	.X(n_23383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584270 (
+	.A(n_22945),
+	.B(n_22948),
+	.C(n_22946),
+	.D(n_22286),
+	.X(n_23381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584271 (
+	.A(n_22927),
+	.B(n_22930),
+	.C(n_30208),
+	.D(n_30258),
+	.X(n_23380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584272 (
+	.A(n_22903),
+	.B(n_22919),
+	.C(n_22899),
+	.D(n_22283),
+	.X(n_23379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584273 (
+	.A(n_22929),
+	.B(n_22931),
+	.C(n_22284),
+	.D(n_22928),
+	.Y(n_23378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584274 (
+	.A(n_22904),
+	.B(n_74079),
+	.C(n_30259),
+	.D(n_22902),
+	.X(n_23377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584275 (
+	.A(n_22886),
+	.B(n_22843),
+	.C(n_22858),
+	.D(n_22280),
+	.X(n_23376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584276 (
+	.A(n_22884),
+	.B(n_30214),
+	.C(n_22279),
+	.D(n_30213),
+	.Y(n_23375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584277 (
+	.A(n_22874),
+	.B(n_30218),
+	.C(n_22877),
+	.D(n_30260),
+	.X(n_23374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584278 (
+	.A(n_22862),
+	.B(n_30219),
+	.C(n_22277),
+	.D(n_30220),
+	.Y(n_23373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584279 (
+	.A(n_22839),
+	.B(n_22838),
+	.C(n_22840),
+	.D(n_22275),
+	.Y(n_23372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584280 (
+	.A(n_22816),
+	.B(n_22823),
+	.C(n_22809),
+	.D(n_22274),
+	.X(n_23371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584281 (
+	.A(n_22824),
+	.B(n_22822),
+	.C(n_22273),
+	.D(n_22821),
+	.Y(n_23370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584282 (
+	.A(n_22788),
+	.B(n_22810),
+	.C(n_22781),
+	.D(n_22272),
+	.X(n_23369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584283 (
+	.A(n_22799),
+	.B(n_22802),
+	.C(n_22271),
+	.D(n_22798),
+	.Y(n_23368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584284 (
+	.A(n_22774),
+	.B(n_22772),
+	.C(n_22270),
+	.D(n_22776),
+	.Y(n_23367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584285 (
+	.A(n_22754),
+	.B(n_22741),
+	.C(n_30223),
+	.D(n_22269),
+	.X(n_23366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584286 (
+	.A(n_22757),
+	.B(n_22755),
+	.C(n_22753),
+	.D(n_22268),
+	.Y(n_23365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584287 (
+	.A(n_22731),
+	.B(n_22727),
+	.C(n_22267),
+	.D(n_22729),
+	.Y(n_23364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584288 (
+	.A(n_22710),
+	.B(n_22709),
+	.C(n_22266),
+	.D(n_22711),
+	.Y(n_23363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584289 (
+	.A(n_22701),
+	.B(n_22693),
+	.C(n_30202),
+	.D(n_30261),
+	.X(n_23362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584290 (
+	.A(n_22682),
+	.B(n_22675),
+	.C(n_22695),
+	.D(n_22264),
+	.X(n_23361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584291 (
+	.A(n_22699),
+	.B(n_22698),
+	.C(n_22700),
+	.D(n_22263),
+	.Y(n_23360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584292 (
+	.A(n_22657),
+	.B(n_22625),
+	.C(n_22601),
+	.D(n_22262),
+	.X(n_23359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584293 (
+	.A(n_22680),
+	.B(n_22679),
+	.C(n_22261),
+	.D(n_22681),
+	.Y(n_23358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584294 (
+	.A(n_22652),
+	.B(n_22654),
+	.C(n_22260),
+	.D(n_22655),
+	.Y(n_23357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584295 (
+	.A(n_22647),
+	.B(n_30224),
+	.C(n_22259),
+	.D(n_74080),
+	.Y(n_23356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584296 (
+	.A(n_22634),
+	.B(n_22632),
+	.C(n_22257),
+	.D(n_22636),
+	.Y(n_23355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584297 (
+	.A(n_22606),
+	.B(n_74081),
+	.C(n_22256),
+	.D(n_22609),
+	.Y(n_23354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584298 (
+	.A(n_74082),
+	.B(n_74083),
+	.C(n_22588),
+	.D(n_22255),
+	.Y(n_23353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584299 (
+	.A(n_30235),
+	.B(n_30236),
+	.C(n_30262),
+	.D(n_30237),
+	.Y(n_23352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584300 (
+	.A(n_22556),
+	.B(n_22542),
+	.C(n_22569),
+	.D(n_22253),
+	.X(n_23351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584301 (
+	.A(n_22563),
+	.B(n_22562),
+	.C(n_22564),
+	.D(n_22242),
+	.Y(n_23350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584302 (
+	.A(n_74085),
+	.B(n_74086),
+	.C(n_22541),
+	.D(n_22252),
+	.Y(n_23349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584304 (
+	.A(n_22520),
+	.B(n_30247),
+	.C(n_22521),
+	.D(n_22250),
+	.Y(n_23347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584305 (
+	.A(n_22496),
+	.B(n_22495),
+	.C(n_22258),
+	.D(n_22498),
+	.Y(n_23346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584306 (
+	.A(n_22476),
+	.B(n_22475),
+	.C(n_22477),
+	.D(n_22238),
+	.Y(n_23345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584307 (
+	.A(n_22456),
+	.B(n_30251),
+	.C(n_30263),
+	.D(n_30253),
+	.Y(n_23344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584308 (
+	.A(n_22444),
+	.B(n_22452),
+	.C(n_22442),
+	.D(n_22249),
+	.X(n_23343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584309 (
+	.A(n_22453),
+	.B(n_22451),
+	.C(n_22455),
+	.D(n_22248),
+	.Y(n_23342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584310 (
+	.A(n_22428),
+	.B(n_22445),
+	.C(n_22420),
+	.D(n_22246),
+	.X(n_23341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584311 (
+	.A(n_22390),
+	.B(n_22386),
+	.C(n_22412),
+	.D(n_22244),
+	.X(n_23340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584312 (
+	.A(n_22409),
+	.B(n_22404),
+	.C(n_22396),
+	.D(n_22243),
+	.X(n_23339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584313 (
+	.A(n_22392),
+	.B(n_22391),
+	.C(n_22241),
+	.D(n_22393),
+	.Y(n_23338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584314 (
+	.A(n_22366),
+	.B(n_22358),
+	.C(n_22360),
+	.D(n_22239),
+	.X(n_23337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584315 (
+	.A(n_22357),
+	.B(n_22348),
+	.C(n_22332),
+	.D(n_22237),
+	.X(n_23336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584316 (
+	.A(n_22319),
+	.B(n_22318),
+	.C(n_22314),
+	.D(n_22236),
+	.X(n_23335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584317 (
+	.A1(n_23172),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_23173),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.X(n_23334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584318 (
+	.A1(n_23172),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_23173),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.X(n_23333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584319 (
+	.A1(n_23172),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_23173),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.X(n_23332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584320 (
+	.A1(n_23172),
+	.A2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_23173),
+	.B2(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.X(n_23331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g584321 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.Y(n_23330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584322 (
+	.A(n_22245),
+	.B(n_18723),
+	.C(n_18850),
+	.D(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_25419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584324 (
+	.A(n_23324),
+	.Y(n_23325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584325 (
+	.A(n_49013),
+	.Y(n_23321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584327 (
+	.A(n_23318),
+	.Y(n_23317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584328 (
+	.A(n_23316),
+	.Y(n_23315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584330 (
+	.A(n_29676),
+	.Y(n_23311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g584371 (
+	.A(n_72803),
+	.Y(n_23272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584372 (
+	.A(n_21486),
+	.B(n_21554),
+	.C(n_21734),
+	.D(n_21487),
+	.Y(n_23268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584373 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.Y(n_23267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584374 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.Y(n_23266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584375 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.Y(n_23265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584376 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.Y(n_23264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584377 (
+	.A(n_21484),
+	.B(n_21488),
+	.C(n_21928),
+	.D(n_21479),
+	.X(n_23263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584378 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_23262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584379 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.Y(n_23261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584380 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.Y(n_23260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584381 (
+	.A(n_23167),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.Y(n_23259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584382 (
+	.A(n_21546),
+	.B(n_21548),
+	.C(n_21539),
+	.D(n_21541),
+	.Y(n_23258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584383 (
+	.A(n_21553),
+	.B(n_21544),
+	.C(n_21550),
+	.D(n_21536),
+	.X(n_23257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584384 (
+	.A(n_21580),
+	.B(n_21584),
+	.C(n_21574),
+	.D(n_21576),
+	.Y(n_23256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584385 (
+	.A(n_21591),
+	.B(n_22185),
+	.C(n_21582),
+	.D(n_22217),
+	.X(n_23255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584386 (
+	.A(n_22786),
+	.B(n_21642),
+	.C(n_21612),
+	.X(n_23254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584387 (
+	.A(n_21653),
+	.B(n_21657),
+	.C(n_21652),
+	.D(n_21655),
+	.Y(n_23253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584388 (
+	.A(n_22765),
+	.B(n_21534),
+	.C(n_21505),
+	.X(n_23252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584389 (
+	.A(n_21705),
+	.B(n_21965),
+	.C(n_21701),
+	.D(n_21692),
+	.X(n_23251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584390 (
+	.A(n_22570),
+	.B(n_21508),
+	.C(n_21469),
+	.X(n_23250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584391 (
+	.A(n_22160),
+	.B(n_22162),
+	.C(n_22159),
+	.D(n_22161),
+	.Y(n_23249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584392 (
+	.A(n_22145),
+	.B(n_22147),
+	.C(n_22144),
+	.D(n_22146),
+	.Y(n_23248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584393 (
+	.A(n_22131),
+	.B(n_22130),
+	.C(n_22127),
+	.D(n_22128),
+	.Y(n_23247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584394 (
+	.A(n_21735),
+	.B(n_21746),
+	.C(n_21732),
+	.D(n_21742),
+	.Y(n_23246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584395 (
+	.A(n_22113),
+	.B(n_22114),
+	.C(n_22111),
+	.D(n_22112),
+	.Y(n_23245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584396 (
+	.A(n_22116),
+	.B(n_22110),
+	.C(n_22115),
+	.D(n_22107),
+	.X(n_23244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584397 (
+	.A(n_23110),
+	.B(n_22074),
+	.C(n_22072),
+	.X(n_23243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584398 (
+	.A(n_22092),
+	.B(n_22090),
+	.C(n_22091),
+	.D(n_22093),
+	.Y(n_23242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584399 (
+	.A(n_22082),
+	.B(n_22087),
+	.C(n_22080),
+	.D(n_22084),
+	.Y(n_23241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584400 (
+	.A(n_22065),
+	.B(n_22056),
+	.C(n_22063),
+	.D(n_22050),
+	.X(n_23240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584402 (
+	.A(n_23060),
+	.B(n_23061),
+	.Y(n_23238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584403 (
+	.A(n_22007),
+	.B(n_22011),
+	.C(n_22004),
+	.D(n_22010),
+	.Y(n_23237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584404 (
+	.A(n_21990),
+	.B(n_21981),
+	.C(n_21983),
+	.D(n_21978),
+	.X(n_23236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584405 (
+	.A(n_22977),
+	.B(n_21947),
+	.C(n_21945),
+	.X(n_23235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584406 (
+	.A(n_21933),
+	.B(n_21940),
+	.C(n_21931),
+	.D(n_21937),
+	.Y(n_23234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584407 (
+	.A(n_21915),
+	.B(n_21905),
+	.C(n_21913),
+	.D(n_21902),
+	.X(n_23233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584408 (
+	.A(n_21846),
+	.B(n_21851),
+	.C(n_21844),
+	.D(n_21849),
+	.Y(n_23232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584409 (
+	.A(n_30211),
+	.B(n_21796),
+	.C(n_21790),
+	.X(n_23231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584410 (
+	.A(n_22878),
+	.B(n_21724),
+	.C(n_21714),
+	.X(n_23230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584413 (
+	.A(n_56310),
+	.B(n_20810),
+	.Y(n_23327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584414 (
+	.A(n_23171),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_23324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584415 (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.B(n_23170),
+	.Y(n_23323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584418 (
+	.A(n_23171),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(n_23318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g584419 (
+	.A_N(n_23177),
+	.B(soc_top_u_top_u_core_id_stage_i_n_609),
+	.X(n_23316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584423 (
+	.A(n_23229),
+	.Y(n_23228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584424 (
+	.A(n_22037),
+	.B(n_21435),
+	.C(n_21436),
+	.D(n_21425),
+	.X(n_23227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584425 (
+	.A(n_21445),
+	.B(n_21443),
+	.C(n_21438),
+	.D(n_21440),
+	.Y(n_23226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584426 (
+	.A(n_22616),
+	.B(n_22614),
+	.Y(n_23225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584427 (
+	.A(n_21373),
+	.B(n_21380),
+	.C(n_21370),
+	.D(n_21378),
+	.Y(n_23224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584428 (
+	.A(n_22572),
+	.B(n_21301),
+	.C(n_21381),
+	.X(n_23223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584429 (
+	.A(n_21318),
+	.B(n_21322),
+	.C(n_21316),
+	.D(n_21321),
+	.Y(n_23222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584430 (
+	.A(n_21144),
+	.B(n_21159),
+	.C(n_21154),
+	.D(n_21157),
+	.Y(n_23221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584431 (
+	.A(n_22251),
+	.B(n_22519),
+	.Y(n_23220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584433 (
+	.A(n_21247),
+	.B(n_21249),
+	.C(n_21242),
+	.D(n_21397),
+	.Y(n_23218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584434 (
+	.A(n_21195),
+	.B(n_21199),
+	.C(n_21493),
+	.D(n_21197),
+	.Y(n_23217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584435 (
+	.A(n_21138),
+	.B(n_21140),
+	.C(n_21137),
+	.D(n_21139),
+	.Y(n_23216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584436 (
+	.A(n_21119),
+	.B(n_21121),
+	.C(n_21117),
+	.D(n_21120),
+	.Y(n_23215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584437 (
+	.A(n_22401),
+	.B(n_22408),
+	.Y(n_23214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584438 (
+	.A(n_21101),
+	.B(n_21103),
+	.C(n_21100),
+	.D(n_21102),
+	.Y(n_23213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584439 (
+	.A(n_22376),
+	.B(n_21081),
+	.C(n_21076),
+	.X(n_23212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584440 (
+	.A(n_21077),
+	.B(n_21080),
+	.C(n_21075),
+	.D(n_21078),
+	.Y(n_23211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584441 (
+	.A(n_21052),
+	.B(n_21050),
+	.C(n_21047),
+	.D(n_21048),
+	.Y(n_23210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584442 (
+	.A(n_21034),
+	.B(n_21035),
+	.C(n_21031),
+	.D(n_21032),
+	.Y(n_23209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584443 (
+	.A(n_22349),
+	.B(n_22350),
+	.Y(n_23208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584444 (
+	.A(n_21011),
+	.B(n_21013),
+	.C(n_21010),
+	.D(n_21012),
+	.Y(n_23207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584445 (
+	.A(n_20996),
+	.B(n_20984),
+	.C(n_20980),
+	.D(n_20991),
+	.X(n_23206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584446 (
+	.A(n_20986),
+	.B(n_20989),
+	.C(n_20985),
+	.D(n_20988),
+	.Y(n_23205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584447 (
+	.A(n_20963),
+	.B(n_20966),
+	.C(n_20962),
+	.D(n_20965),
+	.Y(n_23204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g584448 (
+	.A(n_22328),
+	.B(n_22173),
+	.C(n_22150),
+	.X(n_23203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584449 (
+	.A(n_20948),
+	.B(n_20951),
+	.C(n_20947),
+	.D(n_20949),
+	.Y(n_23202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584450 (
+	.A(n_20938),
+	.B(n_20936),
+	.C(n_20933),
+	.D(n_20934),
+	.Y(n_23201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584451 (
+	.A(n_20922),
+	.B(n_20923),
+	.C(n_20919),
+	.D(n_20921),
+	.Y(n_23200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584452 (
+	.A(n_22170),
+	.B(n_20916),
+	.C(n_22165),
+	.D(n_20907),
+	.X(n_23199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g584453 (
+	.A(n_20906),
+	.B(n_22167),
+	.C(n_22172),
+	.D(n_22164),
+	.X(n_23198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g584454 (
+	.A(n_20911),
+	.B(n_20910),
+	.C(n_20908),
+	.D(n_20909),
+	.Y(n_23197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g584455 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.C(n_19012),
+	.D(n_20856),
+	.Y(soc_top_u_top_u_core_id_stage_i_n_516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g584456 (
+	.A1(soc_top_u_top_u_core_id_stage_i_rf_ren_a),
+	.A2(soc_top_u_top_u_core_id_stage_i_n_609),
+	.B1(n_23175),
+	.Y(n_23196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g584457 (
+	.A1(soc_top_u_top_u_core_id_stage_i_rf_ren_b),
+	.A2(soc_top_u_top_u_core_id_stage_i_n_1176),
+	.A3(soc_top_u_top_u_core_use_fp_rs2),
+	.B1(n_23176),
+	.Y(n_23195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584458 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(n_29697),
+	.Y(n_23194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584459 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B1(n_29697),
+	.Y(n_23193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584460 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(n_29697),
+	.Y(n_23192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584461 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_29697),
+	.Y(n_23191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584462 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B1(n_29697),
+	.Y(n_23190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g584463 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B1(n_29697),
+	.Y(n_23189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g584464 (
+	.A0(n_19611),
+	.A1(n_22223),
+	.S(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.Y(n_23188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g584465 (
+	.A(n_30201),
+	.B(n_20753),
+	.Y(n_23187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584466 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.X(n_23186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584467 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.X(n_23185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584468 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.X(n_23184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584469 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.X(n_23183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584470 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.X(n_23182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584471 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.X(n_23181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584472 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.X(n_23180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584473 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.X(n_23179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g584474 (
+	.A1(n_22230),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.B1(n_22227),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.X(n_23178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584475 (
+	.A(n_22297),
+	.B(n_66517),
+	.X(n_23229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g584476 (
+	.A(n_23170),
+	.Y(n_23171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584477 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.C1(n_21513),
+	.Y(n_23165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584479 (
+	.A(n_25332),
+	.B(n_25335),
+	.Y(\soc_top_dccm_to_xbar[a_ready] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584481 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.C1(n_21515),
+	.Y(n_23162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584482 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.C1(n_21514),
+	.Y(n_23161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584483 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.C1(n_21516),
+	.Y(n_23160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584484 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.C1(n_21518),
+	.Y(n_23159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584485 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.C1(n_21517),
+	.Y(n_23158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584486 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.C1(n_21520),
+	.Y(n_23157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584487 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.C1(n_21523),
+	.Y(n_23156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584488 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.C1(n_22138),
+	.Y(n_23155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584489 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.C1(n_21525),
+	.Y(n_23154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584490 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.C1(n_22171),
+	.Y(n_23153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584491 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.C1(n_22169),
+	.Y(n_23152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584492 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.C1(n_22168),
+	.Y(n_23151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584493 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.C1(n_22166),
+	.Y(n_23150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584494 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.C1(n_22158),
+	.X(n_23149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584495 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.C1(n_22156),
+	.X(n_23148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584496 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.C1(n_22154),
+	.Y(n_23147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584497 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.C1(n_22153),
+	.Y(n_23146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584498 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.C1(n_22152),
+	.Y(n_23145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584499 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.C1(n_22151),
+	.Y(n_23144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584500 (
+	.A(n_21250),
+	.B(n_21251),
+	.Y(n_23143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584501 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.C1(n_22148),
+	.Y(n_23142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584502 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.C1(n_22143),
+	.X(n_23141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584503 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.C1(n_22141),
+	.Y(n_23140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584504 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.C1(n_22142),
+	.X(n_23139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584505 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.C1(n_22140),
+	.Y(n_23138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584506 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.C1(n_22139),
+	.Y(n_23137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584507 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.C1(n_22132),
+	.Y(n_23136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584508 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.C1(n_22137),
+	.Y(n_23135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584509 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.C1(n_22126),
+	.Y(n_23134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584510 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.C1(n_22134),
+	.Y(n_23133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584511 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.C1(n_22041),
+	.Y(n_23132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584512 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.C1(n_22129),
+	.Y(n_23131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584513 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.C1(n_22125),
+	.X(n_23130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584514 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.C1(n_22124),
+	.X(n_23129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584515 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.C1(n_22120),
+	.Y(n_23128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584516 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.C1(n_22123),
+	.Y(n_23127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584517 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.C1(n_22122),
+	.Y(n_23126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584518 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.C1(n_22121),
+	.Y(n_23125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584519 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.C1(n_22119),
+	.Y(n_23124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584520 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.C1(n_22118),
+	.Y(n_23123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584521 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.C1(n_22109),
+	.X(n_23122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584522 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.C1(n_22102),
+	.Y(n_23121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584523 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.C1(n_22108),
+	.X(n_23120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584524 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.C1(n_21333),
+	.Y(n_23119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584525 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.C1(n_22106),
+	.Y(n_23118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584526 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.C1(n_22105),
+	.Y(n_23117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584527 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.C1(n_22077),
+	.Y(n_23116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584528 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.C1(n_22089),
+	.Y(n_23115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584529 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.C1(n_22104),
+	.Y(n_23114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584530 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.C1(n_22103),
+	.Y(n_23113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584532 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.C1(n_22021),
+	.Y(n_23111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584533 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.C1(n_22086),
+	.Y(n_23110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584534 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.C1(n_22099),
+	.Y(n_23109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584535 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.C1(n_22094),
+	.Y(n_23108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584536 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.C1(n_22098),
+	.Y(n_23107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584537 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.C1(n_22097),
+	.Y(n_23106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584538 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.C1(n_22096),
+	.Y(n_23105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584539 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.C1(n_22083),
+	.Y(n_23104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584540 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.C1(n_22088),
+	.Y(n_23103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584541 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.C1(n_22085),
+	.Y(n_23102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584542 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.C1(n_22081),
+	.Y(n_23101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584543 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.C1(n_22079),
+	.Y(n_23100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584544 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.C1(n_22078),
+	.Y(n_23099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584545 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.C1(n_22076),
+	.X(n_23098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584546 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.C1(n_22068),
+	.Y(n_23097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584547 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.C1(n_22075),
+	.Y(n_23096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584548 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.C1(n_22073),
+	.Y(n_23095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584549 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.C1(n_22071),
+	.Y(n_23094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584550 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.C1(n_22070),
+	.Y(n_23093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584551 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.C1(n_22069),
+	.X(n_23092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584552 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.C1(n_22067),
+	.Y(n_23091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584553 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.C1(n_22048),
+	.Y(n_23090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584554 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.C1(n_22062),
+	.Y(n_23089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584555 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.C1(n_22064),
+	.Y(n_23088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584556 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.C1(n_22061),
+	.Y(n_23087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584557 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.C1(n_22058),
+	.Y(n_23086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584558 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.C1(n_22060),
+	.Y(n_23085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584559 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.C1(n_22059),
+	.Y(n_23084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584560 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.C1(n_22055),
+	.Y(n_23083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584561 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.C1(n_22057),
+	.Y(n_23082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584562 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.C1(n_22054),
+	.Y(n_23081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584563 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.C1(n_22053),
+	.Y(n_23080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584564 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.C1(n_22052),
+	.Y(n_23079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584565 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.C1(n_22038),
+	.Y(n_23078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584566 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.C1(n_22051),
+	.Y(n_23077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584567 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.C1(n_22049),
+	.Y(n_23076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584568 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.C1(n_22046),
+	.Y(n_23075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584569 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.C1(n_22045),
+	.Y(n_23074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584570 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.C1(n_22044),
+	.Y(n_23073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584573 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.C1(n_22034),
+	.Y(n_23070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584574 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.C1(n_22036),
+	.Y(n_23069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584575 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.C1(n_22035),
+	.Y(n_23068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584576 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.C1(n_22032),
+	.Y(n_23067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584577 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.C1(n_22031),
+	.Y(n_23066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584578 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.C1(n_22030),
+	.Y(n_23065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584579 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.C1(n_22029),
+	.Y(n_23064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584580 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.C1(n_22028),
+	.Y(n_23063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584581 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.C1(n_22026),
+	.Y(n_23062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584582 (
+	.A(n_22024),
+	.B(n_22025),
+	.Y(n_23061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g584583 (
+	.A(n_22023),
+	.B(n_22022),
+	.Y(n_23060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584584 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.C1(n_22033),
+	.Y(n_23059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584585 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.C1(n_22020),
+	.Y(n_23058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584586 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.C1(n_22019),
+	.Y(n_23057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584587 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.C1(n_22018),
+	.Y(n_23056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584588 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.C1(n_22017),
+	.Y(n_23055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584589 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.C1(n_22016),
+	.Y(n_23054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584590 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.C1(n_30264),
+	.Y(n_23053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584591 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.C1(n_22015),
+	.Y(n_23052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584592 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.C1(n_22014),
+	.Y(n_23051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584593 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.C1(n_30265),
+	.Y(n_23050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584594 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.C1(n_22008),
+	.Y(n_23049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584595 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.C1(n_22006),
+	.Y(n_23048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584596 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.C1(n_22005),
+	.Y(n_23047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584597 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.B1(n_20153),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.C1(n_22003),
+	.Y(n_23046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584598 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.C1(n_21980),
+	.Y(n_23045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584599 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.C1(n_22001),
+	.X(n_23044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584600 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.C1(n_22002),
+	.Y(n_23043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584601 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.C1(n_22000),
+	.Y(n_23042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584602 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.C1(n_30266),
+	.Y(n_23041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584603 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.C1(n_21999),
+	.Y(n_23040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584604 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.C1(n_21997),
+	.Y(n_23039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584605 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.C1(n_21995),
+	.Y(n_23038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584606 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.C1(n_21996),
+	.X(n_23037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584607 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.C1(n_21993),
+	.Y(n_23036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584608 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.C1(n_21991),
+	.Y(n_23035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584609 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.C1(n_21992),
+	.Y(n_23034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584610 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.C1(n_21994),
+	.Y(n_23033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584611 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.C1(n_21989),
+	.Y(n_23032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584612 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.C1(n_21988),
+	.Y(n_23031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584613 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.C1(n_21987),
+	.Y(n_23030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584614 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.C1(n_21986),
+	.Y(n_23029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584615 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.C1(n_21985),
+	.Y(n_23028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584616 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.C1(n_21982),
+	.Y(n_23027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584617 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.C1(n_21953),
+	.Y(n_23026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584618 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.C1(n_21979),
+	.Y(n_23025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584619 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.C1(n_21977),
+	.Y(n_23024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584620 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.C1(n_21976),
+	.Y(n_23023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584621 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.C1(n_21975),
+	.Y(n_23022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584622 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.C1(n_21973),
+	.Y(n_23021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584623 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.C1(n_21974),
+	.Y(n_23020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584624 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.C1(n_21970),
+	.Y(n_23019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584625 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.C1(n_21972),
+	.Y(n_23018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584626 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.C1(n_21951),
+	.Y(n_23017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584627 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.C1(n_21971),
+	.Y(n_23016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584628 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.C1(n_21969),
+	.Y(n_23015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584629 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.C1(n_21968),
+	.Y(n_23014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584630 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.C1(n_21962),
+	.Y(n_23013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584631 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.C1(n_21967),
+	.Y(n_23012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584632 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.C1(n_21966),
+	.Y(n_23011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584633 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.C1(n_21964),
+	.Y(n_23010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584634 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.C1(n_21963),
+	.Y(n_23009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584635 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.C1(n_21957),
+	.Y(n_23008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584636 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.C1(n_21961),
+	.Y(n_23007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584637 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.C1(n_21960),
+	.Y(n_23006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584638 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.C1(n_21959),
+	.Y(n_23005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584639 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.C1(n_21956),
+	.Y(n_23004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584640 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.C1(n_21955),
+	.Y(n_23003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584641 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.C1(n_21954),
+	.Y(n_23002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584642 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.C1(n_21952),
+	.Y(n_23001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584643 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.C1(n_21950),
+	.Y(n_23000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584644 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.C1(n_30267),
+	.Y(n_22999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584645 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.C1(n_21948),
+	.Y(n_22998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584646 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.C1(n_21946),
+	.Y(n_22997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584647 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.C1(n_21944),
+	.Y(n_22996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584648 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.C1(n_21834),
+	.Y(n_22995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584649 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.C1(n_21943),
+	.Y(n_22994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584650 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.C1(n_21942),
+	.Y(n_22993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584651 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.C1(n_21941),
+	.Y(n_22992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584652 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.C1(n_21939),
+	.Y(n_22991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584653 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.C1(n_21934),
+	.Y(n_22990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584654 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.C1(n_21938),
+	.Y(n_22989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584655 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.C1(n_21936),
+	.Y(n_22988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584656 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.C1(n_21935),
+	.Y(n_22987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584657 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.C1(n_21932),
+	.Y(n_22986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584658 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.C1(n_21930),
+	.X(n_22985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584659 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.C1(n_21355),
+	.Y(n_22984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584660 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.C1(n_21925),
+	.Y(n_22983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584661 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.C1(n_21923),
+	.X(n_22982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584662 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.C1(n_30268),
+	.Y(n_22981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584663 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.C1(n_30269),
+	.Y(n_22980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584664 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.C1(n_21924),
+	.Y(n_22979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584665 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.C1(n_30270),
+	.Y(n_22978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584666 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.C1(n_21920),
+	.Y(n_22977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584667 (
+	.A(n_21921),
+	.B(n_21919),
+	.Y(n_22976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584668 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.C1(n_21917),
+	.Y(n_22975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584669 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.C1(n_30271),
+	.Y(n_22974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584670 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.C1(n_21873),
+	.Y(n_22973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584671 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.C1(n_21916),
+	.Y(n_22972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584672 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.C1(n_21912),
+	.Y(n_22971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584673 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.C1(n_21914),
+	.Y(n_22970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584674 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.C1(n_21911),
+	.Y(n_22969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584675 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.C1(n_21909),
+	.Y(n_22968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584676 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.C1(n_21906),
+	.Y(n_22967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584677 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.C1(n_21908),
+	.Y(n_22966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584678 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.C1(n_21907),
+	.Y(n_22965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584679 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.C1(n_21904),
+	.Y(n_22964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584680 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.C1(n_21901),
+	.Y(n_22963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584681 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.C1(n_21903),
+	.Y(n_22962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584682 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.C1(n_21900),
+	.Y(n_22961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584683 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.C1(n_21899),
+	.Y(n_22960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584684 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.C1(n_21889),
+	.Y(n_22959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584685 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.C1(n_21876),
+	.Y(n_22958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584686 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.C1(n_21892),
+	.Y(n_22957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584688 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.C1(n_30272),
+	.Y(n_22955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584692 (
+	.A(n_21884),
+	.B(n_21883),
+	.Y(n_22951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584693 (
+	.A(n_21882),
+	.B(n_21881),
+	.Y(n_22950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584694 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.C1(n_21879),
+	.Y(n_22949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584695 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.C1(n_30273),
+	.Y(n_22948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584696 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.C1(n_21880),
+	.Y(n_22947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584697 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.C1(n_21875),
+	.Y(n_22946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584698 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.C1(n_30274),
+	.Y(n_22945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584699 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.C1(n_30275),
+	.Y(n_22944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584700 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.C1(n_21871),
+	.Y(n_22943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584701 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.C1(n_21869),
+	.Y(n_22942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584702 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.C1(n_21868),
+	.Y(n_22941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584703 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.C1(n_21862),
+	.Y(n_22940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584704 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.C1(n_21861),
+	.Y(n_22939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584706 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.C1(n_21859),
+	.Y(n_22937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584707 (
+	.A1(n_20443),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.B1(n_20153),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.C1(n_21857),
+	.Y(n_22936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584708 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.C1(n_21856),
+	.Y(n_22935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584709 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.C1(n_21854),
+	.Y(n_22934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584710 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.C1(n_21852),
+	.Y(n_22933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584711 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.C1(n_21850),
+	.Y(n_22932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584712 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.C1(n_21845),
+	.Y(n_22931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584713 (
+	.A(n_21841),
+	.B(n_21848),
+	.Y(n_22930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584714 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.C1(n_21843),
+	.Y(n_22929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584715 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.C1(n_21842),
+	.Y(n_22928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584716 (
+	.A(n_21832),
+	.B(n_21836),
+	.Y(n_22927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584717 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.C1(n_21840),
+	.X(n_22926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584718 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.C1(n_21839),
+	.Y(n_22925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584719 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.C1(n_21838),
+	.Y(n_22924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584720 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.C1(n_21833),
+	.X(n_22923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584721 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.C1(n_21837),
+	.Y(n_22922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584722 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.C1(n_21835),
+	.Y(n_22921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584723 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.C1(n_21828),
+	.Y(n_22920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584724 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.C1(n_21819),
+	.Y(n_22919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584725 (
+	.A(n_21827),
+	.B(n_21829),
+	.Y(n_22918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584726 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.C1(n_21816),
+	.Y(n_22917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584728 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.C1(n_21822),
+	.Y(n_22915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584729 (
+	.A(n_21823),
+	.B(n_21824),
+	.Y(n_22914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584730 (
+	.A(n_21821),
+	.B(n_21820),
+	.Y(n_22913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584731 (
+	.A(n_30276),
+	.B(n_21818),
+	.Y(n_22912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584732 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.C1(n_21813),
+	.Y(n_22911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584733 (
+	.A(n_21814),
+	.B(n_21815),
+	.Y(n_22910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584734 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.C1(n_21808),
+	.Y(n_22909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584735 (
+	.A(n_21812),
+	.B(n_21811),
+	.Y(n_22908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584737 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.C1(n_21805),
+	.Y(n_22906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584739 (
+	.A(n_21801),
+	.B(n_21800),
+	.Y(n_22904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584740 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.C1(n_21802),
+	.Y(n_22903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584741 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.C1(n_21799),
+	.Y(n_22902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584742 (
+	.A(n_21797),
+	.B(n_21798),
+	.Y(n_22901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584743 (
+	.A(n_21794),
+	.B(n_21795),
+	.Y(n_22900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584744 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.C1(n_21772),
+	.Y(n_22899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584745 (
+	.A(n_21793),
+	.B(n_21792),
+	.Y(n_22898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584746 (
+	.A(n_21791),
+	.B(n_21789),
+	.Y(n_22897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584747 (
+	.A(n_21786),
+	.B(n_21787),
+	.Y(n_22896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584748 (
+	.A(n_21784),
+	.B(n_21785),
+	.Y(n_22895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584750 (
+	.A(n_21782),
+	.B(n_21783),
+	.Y(n_22893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584751 (
+	.A(n_21779),
+	.B(n_21780),
+	.Y(n_22892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584752 (
+	.A(n_21777),
+	.B(n_21778),
+	.Y(n_22891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584753 (
+	.A(n_21775),
+	.B(n_21776),
+	.Y(n_22890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584754 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.C1(n_21603),
+	.Y(n_22889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584755 (
+	.A(n_21774),
+	.B(n_21773),
+	.Y(n_22888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584757 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.C1(n_21740),
+	.Y(n_22886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584759 (
+	.A(n_21764),
+	.B(n_21765),
+	.Y(n_22884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584761 (
+	.A(n_21759),
+	.B(n_21760),
+	.Y(n_22882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584762 (
+	.A(n_21758),
+	.B(n_21757),
+	.Y(n_22881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584763 (
+	.A(n_21755),
+	.B(n_21756),
+	.Y(n_22880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584764 (
+	.A(n_21754),
+	.B(n_21753),
+	.Y(n_22879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584765 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.C1(n_21739),
+	.Y(n_22878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584766 (
+	.A(n_21751),
+	.B(n_21749),
+	.Y(n_22877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584767 (
+	.A(n_21748),
+	.B(n_21747),
+	.Y(n_22876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584768 (
+	.A(n_21744),
+	.B(n_21745),
+	.Y(n_22875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584769 (
+	.A(n_21729),
+	.B(n_21736),
+	.Y(n_22874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584770 (
+	.A(n_21741),
+	.B(n_21743),
+	.Y(n_22873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584772 (
+	.A(n_21733),
+	.B(n_21768),
+	.Y(n_22871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584774 (
+	.A(n_21728),
+	.B(n_21727),
+	.Y(n_22869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584775 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.C1(n_21726),
+	.X(n_22868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584779 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.C1(n_21717),
+	.X(n_22864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584781 (
+	.A(n_21716),
+	.B(n_21715),
+	.Y(n_22862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584782 (
+	.A(n_21712),
+	.B(n_21711),
+	.Y(n_22861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584783 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.C1(n_21708),
+	.Y(n_22860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584784 (
+	.A(n_21709),
+	.B(n_21710),
+	.Y(n_22859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584785 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.C1(n_21687),
+	.Y(n_22858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584786 (
+	.A(n_21707),
+	.B(n_21706),
+	.Y(n_22857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584788 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.C1(n_21702),
+	.Y(n_22855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584789 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.C1(n_21697),
+	.Y(n_22854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584790 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.C1(n_21699),
+	.Y(n_22853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584791 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.C1(n_21700),
+	.Y(n_22852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584792 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.C1(n_21698),
+	.Y(n_22851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584793 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.C1(n_21695),
+	.Y(n_22850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584794 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.C1(n_21696),
+	.Y(n_22849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584795 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.C1(n_21694),
+	.Y(n_22848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584796 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.C1(n_21693),
+	.Y(n_22847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584797 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.C1(n_21677),
+	.Y(n_22846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584798 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.C1(n_21691),
+	.Y(n_22845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584799 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.C1(n_21690),
+	.Y(n_22844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584800 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.C1(n_21671),
+	.Y(n_22843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584801 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.C1(n_21684),
+	.Y(n_22842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584802 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.C1(n_21689),
+	.Y(n_22841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584803 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.C1(n_21686),
+	.Y(n_22840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584804 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.C1(n_21685),
+	.Y(n_22839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584805 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.C1(n_21683),
+	.Y(n_22838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584806 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.C1(n_21682),
+	.Y(n_22837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584807 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.C1(n_21681),
+	.Y(n_22836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584808 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.C1(n_21680),
+	.Y(n_22835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584809 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.C1(n_21679),
+	.Y(n_22834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584810 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.C1(n_30278),
+	.Y(n_22833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584811 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.C1(n_21678),
+	.Y(n_22832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584812 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.C1(n_21674),
+	.Y(n_22831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584813 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.C1(n_21673),
+	.Y(n_22830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584814 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.C1(n_30305),
+	.Y(n_22829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584815 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.C1(n_21670),
+	.Y(n_22828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584816 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.C1(n_30279),
+	.Y(n_22827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584817 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.C1(n_21668),
+	.Y(n_22826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584818 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.C1(n_21667),
+	.Y(n_22825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584819 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.C1(n_21664),
+	.Y(n_22824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584820 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.C1(n_21662),
+	.Y(n_22823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584821 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.C1(n_21663),
+	.Y(n_22822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584822 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.C1(n_21661),
+	.Y(n_22821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584823 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.C1(n_21660),
+	.Y(n_22820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584824 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.C1(n_21659),
+	.Y(n_22819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584825 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.C1(n_21658),
+	.Y(n_22818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584826 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.C1(n_21656),
+	.Y(n_22817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584827 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.C1(n_21654),
+	.Y(n_22816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584828 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.C1(n_21651),
+	.Y(n_22815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584829 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.C1(n_22136),
+	.Y(n_22814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584830 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.C1(n_21650),
+	.X(n_22813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584831 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.C1(n_21649),
+	.Y(n_22812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584832 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.C1(n_21647),
+	.Y(n_22811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584833 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.C1(n_21634),
+	.Y(n_22810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584834 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.C1(n_21646),
+	.Y(n_22809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584835 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.C1(n_21644),
+	.X(n_22808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584836 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.C1(n_21645),
+	.Y(n_22807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584837 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.C1(n_21643),
+	.Y(n_22806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584838 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.C1(n_21641),
+	.Y(n_22805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584839 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.C1(n_21640),
+	.Y(n_22804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584840 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.C1(n_21639),
+	.Y(n_22803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584841 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.C1(n_21638),
+	.Y(n_22802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584842 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.C1(n_21633),
+	.Y(n_22801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584843 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.C1(n_21637),
+	.Y(n_22800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584844 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.C1(n_21190),
+	.Y(n_22799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584845 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.C1(n_21636),
+	.Y(n_22798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584846 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.C1(n_21635),
+	.Y(n_22797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584847 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.C1(n_21632),
+	.Y(n_22796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584848 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.C1(n_21631),
+	.Y(n_22795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584849 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.C1(n_21630),
+	.Y(n_22794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584850 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.C1(n_21629),
+	.Y(n_22793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584851 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.C1(n_21830),
+	.Y(n_22792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584852 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.C1(n_21627),
+	.Y(n_22791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584853 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.C1(n_21672),
+	.Y(n_22790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584854 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.C1(n_21626),
+	.Y(n_22789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584855 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.C1(n_21623),
+	.Y(n_22788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584856 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.C1(n_30280),
+	.Y(n_22787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584857 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.C1(n_21600),
+	.Y(n_22786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584858 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.C1(n_21624),
+	.Y(n_22785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584860 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.C1(n_21618),
+	.Y(n_22783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584861 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.C1(n_21620),
+	.Y(n_22782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584862 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.C1(n_21602),
+	.Y(n_22781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584863 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.C1(n_21619),
+	.Y(n_22780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584864 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.C1(n_30281),
+	.Y(n_22779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584865 (
+	.A(n_21616),
+	.B(n_21615),
+	.Y(n_22778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584866 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.C1(n_21614),
+	.Y(n_22777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584867 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.C1(n_21611),
+	.Y(n_22776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584868 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.C1(n_21613),
+	.Y(n_22775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584869 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.C1(n_21610),
+	.Y(n_22774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584870 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.C1(n_22101),
+	.Y(n_22773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584871 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.C1(n_21609),
+	.Y(n_22772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584872 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.C1(n_21607),
+	.Y(n_22771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584873 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.C1(n_21606),
+	.Y(n_22770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584874 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.C1(n_21605),
+	.Y(n_22769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584875 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.C1(n_21604),
+	.Y(n_22768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584876 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.C1(n_21598),
+	.Y(n_22767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584877 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.C1(n_21597),
+	.Y(n_22766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584878 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.C1(n_21593),
+	.Y(n_22765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584879 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.C1(n_21596),
+	.Y(n_22764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584880 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.C1(n_21594),
+	.Y(n_22763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584881 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.C1(n_21592),
+	.Y(n_22762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584882 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.C1(n_21590),
+	.Y(n_22761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584884 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.C1(n_22176),
+	.Y(n_22759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584885 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.C1(n_21586),
+	.Y(n_22758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584886 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.C1(n_21585),
+	.Y(n_22757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584887 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.C1(n_22178),
+	.Y(n_22756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584888 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.C1(n_21583),
+	.Y(n_22755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584889 (
+	.A(n_21577),
+	.B(n_21579),
+	.Y(n_22754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584890 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.C1(n_21581),
+	.Y(n_22753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584891 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.C1(n_21578),
+	.Y(n_22752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584892 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.C1(n_21575),
+	.Y(n_22751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584893 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.C1(n_22175),
+	.Y(n_22750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584894 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.C1(n_22177),
+	.Y(n_22749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584895 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.C1(n_22186),
+	.X(n_22748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584896 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.C1(n_22214),
+	.X(n_22747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584897 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.C1(n_22207),
+	.Y(n_22746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584898 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.C1(n_22215),
+	.Y(n_22745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584899 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.C1(n_22216),
+	.Y(n_22744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584900 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.C1(n_21878),
+	.Y(n_22743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584901 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.C1(n_22218),
+	.Y(n_22742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584902 (
+	.A(n_22206),
+	.B(n_22189),
+	.Y(n_22741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584903 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.C1(n_21570),
+	.Y(n_22740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584904 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.C1(n_21572),
+	.Y(n_22739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584905 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.C1(n_21571),
+	.Y(n_22738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584906 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.C1(n_21569),
+	.Y(n_22737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584907 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.C1(n_21567),
+	.Y(n_22736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584908 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.C1(n_21533),
+	.Y(n_22735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584909 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.C1(n_21568),
+	.Y(n_22734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584910 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.C1(n_21564),
+	.Y(n_22733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584911 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.C1(n_21566),
+	.Y(n_22732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584912 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.C1(n_21565),
+	.Y(n_22731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584913 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.C1(n_21561),
+	.Y(n_22730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584914 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.C1(n_21563),
+	.Y(n_22729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584915 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.C1(n_21560),
+	.Y(n_22728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584916 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.C1(n_21562),
+	.Y(n_22727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584917 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.C1(n_21559),
+	.Y(n_22726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584918 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.C1(n_21558),
+	.Y(n_22725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584919 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.C1(n_21556),
+	.Y(n_22724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584920 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.C1(n_21542),
+	.Y(n_22723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584921 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.C1(n_21555),
+	.Y(n_22722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584922 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.C1(n_21549),
+	.Y(n_22721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584923 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.C1(n_21547),
+	.Y(n_22720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584924 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.C1(n_30282),
+	.Y(n_22719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584925 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.C1(n_21543),
+	.Y(n_22718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584926 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.C1(n_21540),
+	.Y(n_22717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584927 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.C1(n_21538),
+	.Y(n_22716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584928 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.C1(n_21532),
+	.X(n_22715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584929 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.C1(n_21537),
+	.Y(n_22714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584930 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.C1(n_21535),
+	.Y(n_22713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584931 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.C1(n_21529),
+	.X(n_22712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584932 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.C1(n_21531),
+	.Y(n_22711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584933 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.C1(n_21530),
+	.Y(n_22710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584934 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.C1(n_21528),
+	.Y(n_22709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584935 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.C1(n_21526),
+	.Y(n_22708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584936 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.C1(n_21524),
+	.Y(n_22707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g584937 (
+	.A(n_22234),
+	.B_N(n_29688),
+	.Y(n_23177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g584938 (
+	.A(n_19628),
+	.B(n_25413),
+	.Y(n_23176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584939 (
+	.A(n_19612),
+	.B(n_22234),
+	.Y(n_23175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584940 (
+	.A(n_25219),
+	.B(n_22232),
+	.Y(n_23174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584941 (
+	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15),
+	.B(n_22232),
+	.Y(n_23173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584942 (
+	.A(n_25220),
+	.B(n_22232),
+	.Y(n_23172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g584943 (
+	.A(n_66517),
+	.B(n_20805),
+	.C(n_19043),
+	.Y(n_23170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584944 (
+	.A(n_22230),
+	.B(n_19007),
+	.X(n_23169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584945 (
+	.A(n_22229),
+	.B(n_19007),
+	.X(n_23168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584946 (
+	.A(n_22228),
+	.B(n_19007),
+	.X(n_23167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g584947 (
+	.A(n_22227),
+	.B(n_19007),
+	.X(n_23166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g584948 (
+	.A(n_56310),
+	.Y(n_22705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584949 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.C1(n_21191),
+	.X(n_22704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584950 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.C1(n_21870),
+	.Y(n_22703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584951 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.C1(n_21507),
+	.Y(n_22702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584952 (
+	.A(n_21500),
+	.B(n_21504),
+	.Y(n_22701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584953 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.C1(n_21503),
+	.Y(n_22700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584954 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.C1(n_21502),
+	.Y(n_22699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584955 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.C1(n_21501),
+	.Y(n_22698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584956 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.C1(n_21499),
+	.Y(n_22697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584957 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.C1(n_21498),
+	.Y(n_22696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584958 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.C1(n_30283),
+	.Y(n_22695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584959 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.C1(n_21497),
+	.Y(n_22694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584960 (
+	.A(n_21494),
+	.B(n_21496),
+	.Y(n_22693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584961 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.C1(n_21495),
+	.Y(n_22692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584962 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.C1(n_21491),
+	.Y(n_22691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584963 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.C1(n_21522),
+	.Y(n_22690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584964 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.C1(n_21489),
+	.Y(n_22689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584965 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.C1(n_21589),
+	.Y(n_22688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584966 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.C1(n_21648),
+	.Y(n_22687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584967 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.C1(n_21485),
+	.Y(n_22686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584968 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.C1(n_21483),
+	.Y(n_22685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584969 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.C1(n_21482),
+	.Y(n_22684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584970 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.C1(n_21480),
+	.X(n_22683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584971 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.C1(n_30284),
+	.Y(n_22682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584972 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.C1(n_21478),
+	.Y(n_22681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584973 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.C1(n_22163),
+	.Y(n_22680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584974 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.C1(n_21477),
+	.Y(n_22679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584975 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.C1(n_22133),
+	.Y(n_22678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g584976 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.C1(n_21476),
+	.X(n_22677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584977 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.C1(n_21475),
+	.Y(n_22676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584978 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.C1(n_30288),
+	.Y(n_22675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584979 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.C1(n_21472),
+	.Y(n_22674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584980 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.C1(n_21473),
+	.Y(n_22673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584981 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.C1(n_21474),
+	.Y(n_22672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584982 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.C1(n_22155),
+	.Y(n_22671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584983 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.C1(n_21470),
+	.Y(n_22670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584984 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.C1(n_21429),
+	.Y(n_22669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584985 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.C1(n_21465),
+	.Y(n_22668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584986 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.C1(n_21467),
+	.Y(n_22667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584987 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.C1(n_30285),
+	.Y(n_22666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584988 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.C1(n_30286),
+	.Y(n_22665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584989 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.C1(n_30287),
+	.Y(n_22664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584991 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.C1(n_21460),
+	.Y(n_22662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584992 (
+	.A(n_21461),
+	.B(n_21459),
+	.Y(n_22661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584993 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.C1(n_21458),
+	.Y(n_22660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584994 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.C1(n_21290),
+	.Y(n_22659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g584995 (
+	.A(n_21456),
+	.B(n_21608),
+	.Y(n_22658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584996 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.C1(n_21455),
+	.Y(n_22657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584997 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.C1(n_21454),
+	.Y(n_22656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584998 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.C1(n_21452),
+	.Y(n_22655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g584999 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.C1(n_21451),
+	.Y(n_22654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585000 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.C1(n_21238),
+	.X(n_22653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585001 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.C1(n_21450),
+	.Y(n_22652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585002 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.C1(n_21361),
+	.Y(n_22651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585003 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.C1(n_21449),
+	.Y(n_22650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585004 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.C1(n_21448),
+	.Y(n_22649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585005 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.C1(n_21447),
+	.Y(n_22648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585006 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.C1(n_21441),
+	.Y(n_22647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585007 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.C1(n_21444),
+	.Y(n_22646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585008 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.C1(n_21442),
+	.Y(n_22645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585009 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.C1(n_21296),
+	.Y(n_22644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585010 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.C1(n_21439),
+	.Y(n_22643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585011 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.C1(n_21453),
+	.Y(n_22642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585013 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.C1(n_21437),
+	.Y(n_22640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585014 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.C1(n_21432),
+	.X(n_22639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585015 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.C1(n_21433),
+	.Y(n_22638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585016 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.C1(n_21431),
+	.Y(n_22637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585017 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.C1(n_21428),
+	.Y(n_22636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585019 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.C1(n_21929),
+	.Y(n_22634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585020 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.C1(n_21863),
+	.X(n_22633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585021 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.C1(n_21426),
+	.Y(n_22632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585022 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.C1(n_21424),
+	.Y(n_22631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585023 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.C1(n_21163),
+	.Y(n_22630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585024 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.C1(n_22066),
+	.Y(n_22629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585025 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.C1(n_21423),
+	.Y(n_22628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585026 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.C1(n_21421),
+	.Y(n_22627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585027 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.C1(n_21420),
+	.Y(n_22626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585028 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.C1(n_21419),
+	.Y(n_22625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585029 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.C1(n_21417),
+	.Y(n_22624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585030 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.C1(n_30289),
+	.Y(n_22623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585031 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.C1(n_21416),
+	.Y(n_22622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585033 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.C1(n_21410),
+	.Y(n_22620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585037 (
+	.A(n_21405),
+	.B(n_21665),
+	.Y(n_22616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585038 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.C1(n_21377),
+	.Y(n_22615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585039 (
+	.A(n_21403),
+	.B(n_21404),
+	.Y(n_22614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585040 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.C1(n_21149),
+	.Y(n_22613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585041 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.C1(n_21402),
+	.Y(n_22612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585042 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.C1(n_21399),
+	.Y(n_22611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585043 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.C1(n_21383),
+	.Y(n_22610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585044 (
+	.A(n_21395),
+	.B(n_21396),
+	.Y(n_22609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585045 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.C1(n_21853),
+	.Y(n_22608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585047 (
+	.A(n_21392),
+	.B(n_21391),
+	.Y(n_22606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585050 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.C1(n_30291),
+	.Y(n_22603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585052 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.B1(n_19462),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.C1(n_21384),
+	.Y(n_22601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585053 (
+	.A(n_21343),
+	.B(n_22095),
+	.Y(n_22600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585055 (
+	.A(n_21372),
+	.B(n_21371),
+	.Y(n_22598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585056 (
+	.A(n_21400),
+	.B(n_21369),
+	.Y(n_22597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585057 (
+	.A(n_21368),
+	.B(n_21367),
+	.Y(n_22596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585058 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.C1(n_21364),
+	.X(n_22595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585060 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.C1(n_21376),
+	.Y(n_22593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585061 (
+	.A(n_21365),
+	.B(n_21666),
+	.Y(n_22592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585062 (
+	.A(n_21362),
+	.B(n_21363),
+	.Y(n_22591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585063 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.C1(n_21359),
+	.X(n_22590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585064 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.C1(n_30292),
+	.Y(n_22589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585065 (
+	.A(n_21866),
+	.B(n_21898),
+	.Y(n_22588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585069 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.C1(n_21557),
+	.Y(n_22584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585070 (
+	.A(n_21351),
+	.B(n_21350),
+	.Y(n_22583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585074 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.C1(n_21345),
+	.Y(n_22579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585075 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.C1(n_21177),
+	.Y(n_22578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585076 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.C1(n_21341),
+	.Y(n_22577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585077 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.C1(n_21342),
+	.Y(n_22576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585078 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.C1(n_21053),
+	.Y(n_22575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585080 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.C1(n_21339),
+	.Y(n_22573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585081 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.C1(n_21325),
+	.Y(n_22572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585082 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.C1(n_21338),
+	.Y(n_22571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585083 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.C1(n_21273),
+	.Y(n_22570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585084 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.C1(n_21331),
+	.Y(n_22569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585085 (
+	.A1(n_19674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.C1(n_21336),
+	.Y(n_22568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585086 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.C1(n_21334),
+	.Y(n_22567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585088 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.C1(n_21332),
+	.Y(n_22565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585089 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.C1(n_21330),
+	.Y(n_22564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585090 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.C1(n_21328),
+	.Y(n_22563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585091 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.C1(n_21327),
+	.Y(n_22562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585092 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.C1(n_21326),
+	.Y(n_22561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585093 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.C1(n_21324),
+	.Y(n_22560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585094 (
+	.A(n_29674),
+	.B(n_66520),
+	.Y(n_22559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585095 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.C1(n_21323),
+	.Y(n_22558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585096 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.C1(n_22027),
+	.Y(n_22557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585097 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.C1(n_21309),
+	.Y(n_22556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585098 (
+	.A(n_67050),
+	.B(n_21311),
+	.Y(n_22555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585100 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.C1(n_20929),
+	.Y(n_22553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585101 (
+	.A(n_21313),
+	.B(n_21312),
+	.Y(n_22552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585102 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.C1(n_21306),
+	.X(n_22551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585103 (
+	.A(n_21082),
+	.B(n_21310),
+	.Y(n_22550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585105 (
+	.A(n_21304),
+	.B(n_21703),
+	.Y(n_22548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585106 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.C1(n_21299),
+	.X(n_22547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585107 (
+	.A(n_21303),
+	.B(n_21302),
+	.Y(n_22546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585109 (
+	.A(n_21298),
+	.B(n_21300),
+	.Y(n_22544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585110 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.C1(n_30295),
+	.Y(n_22543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585111 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.C1(n_21293),
+	.Y(n_22542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585112 (
+	.A(n_21289),
+	.B(n_21291),
+	.Y(n_22541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585114 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.C1(n_21283),
+	.Y(n_22539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585116 (
+	.A(n_21279),
+	.B(n_30297),
+	.Y(n_22537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585118 (
+	.A(n_21281),
+	.B(n_21280),
+	.Y(n_22535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585119 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.C1(n_21274),
+	.Y(n_22534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585120 (
+	.A(n_21278),
+	.B(n_21277),
+	.Y(n_22533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585121 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.C1(n_21257),
+	.Y(n_22532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585124 (
+	.A(n_22117),
+	.B(n_21271),
+	.Y(n_22529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585125 (
+	.A(n_21269),
+	.B(n_21268),
+	.Y(n_22528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585127 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.C1(n_21263),
+	.Y(n_22526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585128 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.C1(n_21752),
+	.Y(n_22525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585131 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.C1(n_30298),
+	.Y(n_22522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585132 (
+	.A(n_21258),
+	.B(n_21259),
+	.Y(n_22521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585133 (
+	.A(n_21256),
+	.B(n_22047),
+	.Y(n_22520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585134 (
+	.A(n_21252),
+	.B(n_21254),
+	.Y(n_22519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585137 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.C1(n_21240),
+	.Y(n_22516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585138 (
+	.A(n_21122),
+	.B(n_21246),
+	.Y(n_22515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585141 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.C1(n_21255),
+	.Y(n_22512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585142 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.C1(n_21239),
+	.Y(n_22511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585143 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.C1(n_21237),
+	.Y(n_22510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585144 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.C1(n_21233),
+	.X(n_22509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585145 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.C1(n_21235),
+	.Y(n_22508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585146 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.C1(n_21234),
+	.Y(n_22507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585147 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.C1(n_21232),
+	.Y(n_22506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585149 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.C1(n_21230),
+	.Y(n_22504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585150 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.C1(n_21228),
+	.Y(n_22503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585151 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.C1(n_21229),
+	.Y(n_22502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585152 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.C1(n_21227),
+	.Y(n_22501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585153 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.C1(n_21317),
+	.Y(n_22500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585154 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.C1(n_21224),
+	.Y(n_22499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585155 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.C1(n_21225),
+	.Y(n_22498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g585156 (
+	.A(n_21226),
+	.B(n_21222),
+	.X(n_22497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585157 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.C1(n_21223),
+	.Y(n_22496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585158 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.C1(n_21221),
+	.Y(n_22495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585159 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.C1(n_21218),
+	.Y(n_22494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585160 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.C1(n_21220),
+	.Y(n_22493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585161 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.C1(n_21219),
+	.Y(n_22492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585162 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.C1(n_21214),
+	.Y(n_22491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585163 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.C1(n_21217),
+	.Y(n_22490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585164 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.C1(n_21215),
+	.Y(n_22489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g585165 (
+	.A(n_21216),
+	.B(n_21212),
+	.X(n_22488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585166 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.C1(n_21205),
+	.Y(n_22487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585168 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.C1(n_21210),
+	.Y(n_22485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585169 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.C1(n_20913),
+	.Y(n_22484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585170 (
+	.A(n_30299),
+	.B(n_29675),
+	.Y(n_22483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585171 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.C1(n_21208),
+	.Y(n_22482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585172 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.C1(n_21207),
+	.Y(n_22481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585173 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.C1(n_21206),
+	.Y(n_22480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585174 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.C1(n_21204),
+	.Y(n_22479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585175 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.C1(n_20995),
+	.Y(n_22478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585176 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.C1(n_21200),
+	.Y(n_22477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585177 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.C1(n_21198),
+	.Y(n_22476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585178 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.C1(n_21196),
+	.Y(n_22475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585179 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.C1(n_21599),
+	.Y(n_22474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585180 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.C1(n_21202),
+	.Y(n_22473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585181 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.C1(n_21194),
+	.Y(n_22472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585182 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.C1(n_21193),
+	.Y(n_22471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585183 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.C1(n_21192),
+	.Y(n_22470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585184 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.C1(n_21510),
+	.Y(n_22469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585185 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.C1(n_21750),
+	.X(n_22468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585186 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.C1(n_22190),
+	.Y(n_22467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585187 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.C1(n_21188),
+	.Y(n_22466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585188 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.C1(n_21185),
+	.Y(n_22465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585189 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.C1(n_21187),
+	.Y(n_22464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585191 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.C1(n_21181),
+	.Y(n_22462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585192 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.C1(n_21039),
+	.Y(n_22461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585193 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.C1(n_21184),
+	.Y(n_22460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585194 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.C1(n_21182),
+	.Y(n_22459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585195 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.C1(n_21180),
+	.Y(n_22458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585196 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.C1(n_21179),
+	.Y(n_22457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585197 (
+	.A(n_30300),
+	.B(n_21175),
+	.Y(n_22456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585198 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.C1(n_21176),
+	.Y(n_22455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585199 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.C1(n_21171),
+	.Y(n_22454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585200 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.C1(n_21174),
+	.Y(n_22453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585201 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.C1(n_22135),
+	.Y(n_22452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585202 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.C1(n_21172),
+	.Y(n_22451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585203 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.C1(n_21170),
+	.Y(n_22450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585205 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.C1(n_21169),
+	.Y(n_22448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585206 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.C1(n_21167),
+	.Y(n_22447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585207 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.C1(n_21166),
+	.Y(n_22446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585208 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.C1(n_22149),
+	.Y(n_22445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585209 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.C1(n_21155),
+	.Y(n_22444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585211 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.C1(n_21151),
+	.Y(n_22442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585212 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.C1(n_21153),
+	.X(n_22441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585214 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.C1(n_21152),
+	.X(n_22439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585215 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.C1(n_21147),
+	.Y(n_22438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585217 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.C1(n_21145),
+	.Y(n_22436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585218 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.C1(n_21143),
+	.Y(n_22435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585219 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.C1(n_21141),
+	.Y(n_22434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585221 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.C1(n_21135),
+	.Y(n_22432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585222 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.C1(n_21134),
+	.X(n_22431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585223 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.C1(n_21133),
+	.X(n_22430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585224 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.C1(n_21130),
+	.Y(n_22429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585225 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.C1(n_21136),
+	.Y(n_22428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585226 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.C1(n_21132),
+	.Y(n_22427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585227 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.C1(n_30304),
+	.Y(n_22426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585228 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.C1(n_21131),
+	.Y(n_22425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585229 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.C1(n_21128),
+	.Y(n_22424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585230 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.C1(n_21127),
+	.Y(n_22423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585231 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.C1(n_21125),
+	.Y(n_22422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585232 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.C1(n_21123),
+	.Y(n_22421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585233 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.C1(n_21115),
+	.Y(n_22420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585234 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.C1(n_21118),
+	.Y(n_22419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585235 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.C1(n_21114),
+	.X(n_22418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585236 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.C1(n_21111),
+	.Y(n_22417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585237 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.C1(n_21113),
+	.X(n_22416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585238 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.C1(n_21112),
+	.Y(n_22415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585239 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.C1(n_21110),
+	.Y(n_22414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585240 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.C1(n_21109),
+	.Y(n_22413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585241 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.C1(n_21116),
+	.Y(n_22412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585242 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.C1(n_21108),
+	.Y(n_22411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585243 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.C1(n_21107),
+	.Y(n_22410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585244 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.C1(n_21104),
+	.Y(n_22409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585245 (
+	.A(n_21106),
+	.B(n_21099),
+	.Y(n_22408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585246 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.C1(n_21105),
+	.Y(n_22407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585247 (
+	.A1(n_19127),
+	.A2(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B1(n_22233),
+	.Y(n_22406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585248 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.C1(n_21096),
+	.Y(n_22405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585249 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.C1(n_21094),
+	.Y(n_22404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585250 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.C1(n_21097),
+	.X(n_22403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585251 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.C1(n_21095),
+	.X(n_22402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585252 (
+	.A(n_21090),
+	.B(n_21086),
+	.Y(n_22401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585253 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.C1(n_21092),
+	.Y(n_22400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585254 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.C1(n_21093),
+	.Y(n_22399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585255 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.C1(n_21091),
+	.Y(n_22398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585256 (
+	.A1(n_20044),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.C1(n_20945),
+	.Y(n_22397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585257 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.C1(n_21089),
+	.Y(n_22396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585258 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.C1(n_21088),
+	.Y(n_22395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585259 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.C1(n_21087),
+	.Y(n_22394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585260 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.C1(n_21085),
+	.Y(n_22393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585261 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.C1(n_21083),
+	.Y(n_22392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585262 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.C1(n_21079),
+	.Y(n_22391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585263 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.C1(n_21084),
+	.Y(n_22390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585264 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.C1(n_21066),
+	.Y(n_22389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585265 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.C1(n_21074),
+	.Y(n_22388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585266 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.C1(n_21073),
+	.X(n_22387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585267 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.C1(n_21037),
+	.Y(n_22386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585268 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.C1(n_21072),
+	.X(n_22385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585269 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.C1(n_21069),
+	.Y(n_22384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585270 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.C1(n_21071),
+	.Y(n_22383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585271 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.C1(n_21070),
+	.Y(n_22382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585272 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.C1(n_21068),
+	.Y(n_22381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585273 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.C1(n_21065),
+	.Y(n_22380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585274 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.C1(n_21064),
+	.Y(n_22379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585275 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.C1(n_21063),
+	.Y(n_22378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585276 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.C1(n_21062),
+	.Y(n_22377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585277 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.C1(n_21067),
+	.Y(n_22376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585278 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.C1(n_21060),
+	.Y(n_22375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585279 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.C1(n_21057),
+	.Y(n_22374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585280 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.C1(n_21059),
+	.Y(n_22373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585281 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.C1(n_21061),
+	.Y(n_22372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585282 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.C1(n_21056),
+	.Y(n_22371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585283 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.C1(n_21054),
+	.Y(n_22370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585284 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.C1(n_21045),
+	.X(n_22369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585285 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.C1(n_21042),
+	.X(n_22368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585286 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.C1(n_21029),
+	.X(n_22367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585287 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.C1(n_21024),
+	.Y(n_22366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585288 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.C1(n_21027),
+	.X(n_22365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585289 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.C1(n_21025),
+	.Y(n_22364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585290 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.C1(n_21043),
+	.Y(n_22363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585291 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.C1(n_21022),
+	.Y(n_22362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585292 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.C1(n_21020),
+	.Y(n_22361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585293 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.C1(n_21016),
+	.Y(n_22360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585294 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.C1(n_21018),
+	.Y(n_22359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585295 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.C1(n_21004),
+	.Y(n_22358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585296 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.C1(n_20994),
+	.Y(n_22357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585297 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.C1(n_21008),
+	.X(n_22356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585298 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.C1(n_21005),
+	.X(n_22355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585299 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.C1(n_21003),
+	.Y(n_22354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585300 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.C1(n_21001),
+	.Y(n_22353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585301 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.C1(n_20999),
+	.Y(n_22352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585302 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.C1(n_20998),
+	.Y(n_22351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585303 (
+	.A(n_21007),
+	.B(n_20981),
+	.Y(n_22350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585304 (
+	.A(n_20967),
+	.B(n_20953),
+	.Y(n_22349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585305 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.C1(n_20970),
+	.Y(n_22348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585306 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.C1(n_20982),
+	.X(n_22347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585307 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.C1(n_20978),
+	.X(n_22346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585308 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.C1(n_20972),
+	.Y(n_22345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585309 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.C1(n_20975),
+	.Y(n_22344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585310 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.C1(n_20973),
+	.Y(n_22343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585311 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.C1(n_20971),
+	.Y(n_22342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585312 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.C1(n_20969),
+	.Y(n_22341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585313 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.C1(n_20968),
+	.Y(n_22340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585314 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.C1(n_20961),
+	.Y(n_22339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585315 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.C1(n_20964),
+	.Y(n_22338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585316 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.C1(n_20959),
+	.Y(n_22337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585317 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.C1(n_20960),
+	.X(n_22336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585318 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.C1(n_20958),
+	.X(n_22335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585319 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.C1(n_20955),
+	.Y(n_22334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585320 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.C1(n_20957),
+	.Y(n_22333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585321 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.C1(n_20956),
+	.Y(n_22332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585322 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.C1(n_20954),
+	.Y(n_22331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585323 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.C1(n_20952),
+	.Y(n_22330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585324 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.C1(n_20950),
+	.Y(n_22329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585325 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.C1(n_20944),
+	.Y(n_22328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585326 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.C1(n_20935),
+	.Y(n_22327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585327 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.C1(n_20946),
+	.Y(n_22326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585328 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.C1(n_20942),
+	.X(n_22325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585329 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.C1(n_20943),
+	.Y(n_22324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585330 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.C1(n_20940),
+	.Y(n_22323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585331 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.C1(n_20937),
+	.X(n_22322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585332 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.C1(n_20939),
+	.Y(n_22321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585333 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.C1(n_20914),
+	.Y(n_22320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585334 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.C1(n_20941),
+	.Y(n_22319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585335 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.C1(n_20932),
+	.Y(n_22318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585336 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.C1(n_20931),
+	.X(n_22317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585337 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.C1(n_20930),
+	.X(n_22316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585338 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.C1(n_20917),
+	.Y(n_22315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585339 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.C1(n_20927),
+	.Y(n_22314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585340 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.C1(n_20928),
+	.Y(n_22313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585341 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.C1(n_20926),
+	.Y(n_22312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585342 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.C1(n_20925),
+	.Y(n_22311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585343 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.C1(n_20924),
+	.Y(n_22310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585344 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.C1(n_20920),
+	.Y(n_22309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585345 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.C1(n_22157),
+	.Y(n_22308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585346 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.C1(n_20918),
+	.X(n_22307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585347 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.C1(n_20915),
+	.X(n_22306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585348 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.C1(n_20912),
+	.Y(n_22305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585349 (
+	.A1(n_19930),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.C1(n_20905),
+	.X(n_22304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585350 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.C1(n_22174),
+	.X(n_22303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g585351 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.C1(n_21511),
+	.Y(n_22302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585352 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.A2(n_19462),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.B2(n_20276),
+	.C1(n_19930),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.Y(n_22301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585353 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.Y(n_22300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585354 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.Y(n_22299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585355 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.A2(n_19735),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.B2(n_19568),
+	.C1(n_19653),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.Y(n_22298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585356 (
+	.A(n_19050),
+	.B(n_22233),
+	.Y(n_22297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585357 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.Y(n_22296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585358 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.A2(n_19573),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.B2(n_29401),
+	.C1(n_19570),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.Y(n_22295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585359 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.A2(n_20441),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Y(n_22294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585360 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.Y(n_22293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585361 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.A2(n_19636),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.B2(n_20642),
+	.C1(n_20276),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.Y(n_22292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585362 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.Y(n_22291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585363 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.A2(n_20441),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Y(n_22290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585364 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.A2(n_19735),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.B2(n_19568),
+	.C1(n_19653),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.Y(n_22289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585365 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.Y(n_22288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585366 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.Y(n_22287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585367 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.Y(n_22286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585369 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.Y(n_22284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585370 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.Y(n_22283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585371 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.A2(n_19568),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.B2(n_19653),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.Y(n_22282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585373 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.Y(n_22280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585374 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.B1(n_21769),
+	.Y(n_22279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585376 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.B1(n_21723),
+	.Y(n_22277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585377 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.A2(n_20642),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.B2(n_19635),
+	.C1(n_20276),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.Y(n_22276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585378 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.A2(n_20399),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.B2(n_20483),
+	.C1(n_20304),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.Y(n_22275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585379 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.Y(n_22274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585380 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.B2(n_20443),
+	.C1(n_20069),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.Y(n_22273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585381 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.Y(n_22272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585382 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.A2(n_20441),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Y(n_22271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585383 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.A2(n_20298),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.B2(n_19294),
+	.C1(n_20441),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Y(n_22270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585384 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.B1(n_21595),
+	.Y(n_22269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585385 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.Y(n_22268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585386 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.A2(n_20396),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.B2(n_20069),
+	.C1(n_20443),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.Y(n_22267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585387 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Y(n_22266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585389 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.Y(n_22264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585390 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.B2(n_20298),
+	.C1(n_19294),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Y(n_22263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585391 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.A2(n_20276),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.B2(n_19837),
+	.C1(n_19636),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.Y(n_22262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585392 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Y(n_22261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585393 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Y(n_22260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585394 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.A2(n_19247),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.B2(n_20276),
+	.C1(n_19837),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.Y(n_22259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585395 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.A2(n_29393),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.C1(n_20441),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.Y(n_22258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585396 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.A2(n_29393),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.B2(n_19674),
+	.C1(n_20441),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Y(n_22257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585397 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Y(n_22256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585398 (
+	.A1(n_19395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.B1(n_21358),
+	.Y(n_22255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585400 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.B2(n_19568),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.Y(n_22253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585401 (
+	.A1(n_19395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.B1(n_21294),
+	.Y(n_22252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g585402 (
+	.A(n_20849),
+	.B(n_20880),
+	.C(n_20780),
+	.Y(n_22251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g585403 (
+	.A1(n_19395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.B1(n_21260),
+	.Y(n_22250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585404 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.Y(n_22249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585405 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.A2(n_29393),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.B2(n_19674),
+	.C1(n_20441),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.Y(n_22248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585407 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.A2(n_20642),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.B2(n_19635),
+	.C1(n_20276),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.Y(n_22246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g585408 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.B(n_25159),
+	.C_N(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.D_N(soc_top_u_top_u_core_csr_op[0]),
+	.Y(n_22245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585409 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.A2(n_20276),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.B2(n_19636),
+	.C1(n_19930),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.Y(n_22244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585410 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.A2(n_19247),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.B2(n_20642),
+	.C1(n_19837),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.Y(n_22243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585411 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.A2(n_20304),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.B2(n_29397),
+	.C1(n_19395),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.Y(n_22242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585412 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.A2(n_19608),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.B2(n_29393),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Y(n_22241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585413 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.A2(n_20642),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.B2(n_19635),
+	.C1(n_20276),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.Y(n_22240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585414 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.A2(n_19462),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.B2(n_19930),
+	.C1(n_20276),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.Y(n_22239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585415 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.A2(n_29393),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.B2(n_19674),
+	.C1(n_20441),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.Y(n_22238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585416 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.B2(n_19735),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.Y(n_22237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585417 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.A2(n_19653),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.B2(n_19568),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.Y(n_22236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g585418 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.C(n_22232),
+	.Y(n_22706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g585421 (
+	.A(n_22226),
+	.Y(n_22225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g585422 (
+	.A(n_22223),
+	.Y(n_22224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g585423 (
+	.A(n_66517),
+	.Y(n_22219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585424 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.X(n_22218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585425 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.Y(n_22217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585426 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.X(n_22216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g585427 (
+	.A_N(n_25339),
+	.B(n_20808),
+	.X(n_27236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g585428 (
+	.A(n_20720),
+	.B_N(n_20808),
+	.Y(n_27239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g585429 (
+	.A(n_20808),
+	.B(n_25339),
+	.Y(n_27235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585431 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.X(n_22215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585432 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.X(n_22214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g585435 (
+	.A(n_20725),
+	.B(n_19253),
+	.C(n_19254),
+	.X(n_22211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g585438 (
+	.A(n_20729),
+	.B(n_19268),
+	.C(n_19252),
+	.X(n_22208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585439 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.X(n_22207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585440 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.X(n_22206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g585441 (
+	.A1(n_25193),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ),
+	.A3(FE_DBTN74_n_29691),
+	.B1(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_25440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585442 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [31]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.C1(n_20737),
+	.X(n_22205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585443 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [23]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.C1(n_20735),
+	.X(n_22204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585444 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [15]),
+	.B1(n_19006),
+	.B2(\soc_top_plic_resp[d_data] [15]),
+	.C1(n_20750),
+	.X(n_22203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585446 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [21]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.C1(n_20730),
+	.X(n_22201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585447 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [20]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.C1(n_20727),
+	.X(n_22200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585448 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [19]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.C1(n_20754),
+	.X(n_22199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585449 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [18]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.C1(n_20728),
+	.X(n_22198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585450 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [17]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.C1(n_20734),
+	.X(n_22197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585451 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [16]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.C1(n_20743),
+	.X(n_22196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585452 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [30]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.C1(n_20744),
+	.X(n_22195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585453 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [29]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.C1(n_20739),
+	.X(n_22194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585454 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [27]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.C1(n_20731),
+	.X(n_22193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585455 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [25]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.C1(n_20736),
+	.X(n_22192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585456 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [24]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.C1(n_20746),
+	.X(n_22191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585457 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.A2_N(n_29399),
+	.B1(n_18940),
+	.B2(n_19358),
+	.Y(n_22190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585458 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.X(n_22189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g585459 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [22]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.C1(n_20756),
+	.X(n_22188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585460 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B2(n_20712),
+	.X(n_22187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585461 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.X(n_22186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585462 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.Y(n_22185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585464 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.A2(n_19184),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.B2(n_19106),
+	.C1(n_19234),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.Y(n_22183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585465 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.A2(n_19234),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.B2(n_19184),
+	.C1(n_19106),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_22182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585466 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.A2(n_56675),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.B2(n_19155),
+	.C1(n_19181),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.Y(n_22181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585467 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.A2(n_56675),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.B2(n_19155),
+	.C1(n_19181),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_22180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g585468 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.A2(n_56675),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.B2(n_19155),
+	.C1(n_19181),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_22179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585469 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.X(n_22178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585470 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.X(n_22177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585471 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.X(n_22176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g585472 (
+	.A(n_19273),
+	.B(n_19133),
+	.C(n_19132),
+	.D(n_19134),
+	.Y(n_25450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585473 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.X(n_22175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585474 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.X(n_22174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585475 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.Y(n_22173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585476 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.Y(n_22172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585477 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.X(n_22171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585478 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.Y(n_22170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585479 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.X(n_22169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585480 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.X(n_22168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585481 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Y(n_22167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585482 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.X(n_22166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585483 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.Y(n_22165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585484 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Y(n_22164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585485 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.X(n_22163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585486 (
+	.A1(n_20366),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.Y(n_22162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585487 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.Y(n_22161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585488 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.Y(n_22160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585489 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.Y(n_22159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585490 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.X(n_22158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585491 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.X(n_22157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585492 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.X(n_22156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585493 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.X(n_22155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585494 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.X(n_22154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585495 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.X(n_22153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585496 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.X(n_22152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585497 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.X(n_22151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585498 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.B1(n_19462),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.Y(n_22150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585499 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.X(n_22149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585500 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.X(n_22148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585501 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.Y(n_22147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585502 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.Y(n_22146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585503 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.Y(n_22145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585504 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.Y(n_22144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585505 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.X(n_22143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585506 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.X(n_22142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585507 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.X(n_22141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585508 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.X(n_22140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585509 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.X(n_22139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585510 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.X(n_22138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585511 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.X(n_22137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585512 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.X(n_22136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585513 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.X(n_22135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585514 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.X(n_22134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585515 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.X(n_22133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585516 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.X(n_22132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585517 (
+	.A1(n_19248),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.Y(n_22131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585518 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.Y(n_22130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585519 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.X(n_22129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585520 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.Y(n_22128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585521 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.Y(n_22127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585522 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.X(n_22126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585523 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.X(n_22125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585524 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.X(n_22124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585525 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.X(n_22123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585526 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.X(n_22122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585527 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.X(n_22121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585528 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.X(n_22120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585529 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.A2_N(n_20642),
+	.B1(n_18969),
+	.B2(n_19758),
+	.Y(n_22119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585530 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.X(n_22118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585531 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.X(n_22117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585532 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.Y(n_22116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585533 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.Y(n_22115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585534 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.Y(n_22114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585535 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.Y(n_22113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585536 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.Y(n_22112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585537 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.Y(n_22111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585538 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Y(n_22110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585539 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.X(n_22109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585540 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.X(n_22108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585541 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Y(n_22107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585542 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.X(n_22106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585543 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.X(n_22105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585544 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.B1(n_19653),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.X(n_22104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585545 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.X(n_22103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585546 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.A2_N(n_19247),
+	.B1(n_18784),
+	.B2(n_19991),
+	.Y(n_22102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585547 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.X(n_22101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585548 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.X(n_22100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585549 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.X(n_22099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585550 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.A2(n_19573),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.B2(n_19291),
+	.X(n_22098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585551 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.X(n_22097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585552 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.A2(n_19608),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.X(n_22096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585553 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.X(n_22095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585554 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.X(n_22094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585555 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.Y(n_22093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585556 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.Y(n_22092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585557 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.Y(n_22091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585558 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.Y(n_22090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585559 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.X(n_22089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585560 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.X(n_22088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585561 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.Y(n_22087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585562 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.X(n_22086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585563 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.A2(n_19628),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.X(n_22085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585564 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.Y(n_22084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585565 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.X(n_22083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585566 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.Y(n_22082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585567 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.X(n_22081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585568 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.Y(n_22080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585569 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.X(n_22079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585570 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.X(n_22078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585571 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.X(n_22077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585572 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.X(n_22076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585573 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.X(n_22075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585574 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.Y(n_22074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585575 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.X(n_22073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585576 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.Y(n_22072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585577 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.X(n_22071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585578 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.X(n_22070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585579 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.X(n_22069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585580 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.X(n_22068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585581 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.X(n_22067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585582 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.X(n_22066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585583 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.Y(n_22065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585584 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.X(n_22064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585585 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.Y(n_22063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585586 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.X(n_22062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585587 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.X(n_22061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585588 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.X(n_22060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585589 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.X(n_22059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585590 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.X(n_22058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585591 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.X(n_22057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585592 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.Y(n_22056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585593 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.X(n_22055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585594 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.X(n_22054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585595 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.X(n_22053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585596 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.X(n_22052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585597 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.X(n_22051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585598 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.Y(n_22050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585599 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.X(n_22049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585600 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.X(n_22048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585601 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.X(n_22047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585602 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.X(n_22046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585603 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.X(n_22045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585604 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.X(n_22044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585605 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.Y(n_22043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585606 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Y(n_22042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585607 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.X(n_22041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585608 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.Y(n_22040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585609 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.Y(n_22039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585610 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.X(n_22038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585611 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.Y(n_22037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585612 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.X(n_22036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585613 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.X(n_22035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585614 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.X(n_22034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585615 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.X(n_22033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585616 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.X(n_22032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585617 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.X(n_22031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585618 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.X(n_22030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585619 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.X(n_22029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585620 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.X(n_22028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585621 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.X(n_22027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585622 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.X(n_22026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585623 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.Y(n_22025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585624 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.Y(n_22024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585625 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.Y(n_22023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585626 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.Y(n_22022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585627 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.X(n_22021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585628 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.X(n_22020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585629 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.X(n_22019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585630 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.X(n_22018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585631 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.X(n_22017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585632 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.X(n_22016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585633 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.X(n_22015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585634 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.X(n_22014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585637 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.Y(n_22011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585638 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.Y(n_22010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585640 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.X(n_22008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585641 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.Y(n_22007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585642 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.X(n_22006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585643 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.X(n_22005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585644 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.Y(n_22004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585645 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.X(n_22003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585646 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.X(n_22002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585647 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.X(n_22001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585648 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.X(n_22000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585649 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.X(n_21999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585651 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.X(n_21997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585652 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.X(n_21996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585653 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.X(n_21995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585654 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.A2_N(FE_DBTN78_n_29681),
+	.B1(n_18828),
+	.B2(n_19569),
+	.Y(n_21994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585655 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.X(n_21993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585656 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.X(n_21992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585657 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.X(n_21991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585658 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.Y(n_21990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585659 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.X(n_21989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585660 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.X(n_21988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585661 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.X(n_21987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585662 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.X(n_21986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585663 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.X(n_21985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585664 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B2(n_20712),
+	.X(n_21984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585665 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Y(n_21983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585666 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.X(n_21982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585667 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.Y(n_21981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585668 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.X(n_21980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585669 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.X(n_21979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585670 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.Y(n_21978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585671 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.X(n_21977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585672 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.X(n_21976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585673 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.X(n_21975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585674 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.X(n_21974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585675 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.A2_N(n_19247),
+	.B1(n_18824),
+	.B2(n_19758),
+	.Y(n_21973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585676 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.X(n_21972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585677 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.X(n_21971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585678 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.X(n_21970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585679 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.X(n_21969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585680 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.X(n_21968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585681 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.X(n_21967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585682 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.X(n_21966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585683 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.Y(n_21965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585684 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.X(n_21964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585685 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.X(n_21963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585686 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.X(n_21962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585687 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.X(n_21961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585688 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.X(n_21960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585689 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.X(n_21959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585690 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B2(n_20712),
+	.X(n_21958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585691 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.A2_N(n_19653),
+	.B1(n_18803),
+	.B2(n_19928),
+	.Y(n_21957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585692 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.A2_N(n_29399),
+	.B1(n_18798),
+	.B2(n_19358),
+	.Y(n_21956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585693 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.A2_N(n_19291),
+	.B1(n_18981),
+	.B2(n_19572),
+	.Y(n_21955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585694 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.A2_N(n_29401),
+	.B1(n_18800),
+	.B2(n_20207),
+	.Y(n_21954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585695 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.X(n_21953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585696 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.A2_N(n_19608),
+	.B1(n_18983),
+	.B2(n_61229),
+	.Y(n_21952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585697 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.X(n_21951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585698 (
+	.A(n_20897),
+	.B(n_20879),
+	.Y(n_21950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585700 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.A2_N(n_20399),
+	.B1(n_18823),
+	.B2(n_19292),
+	.Y(n_21948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585701 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Y(n_21947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585702 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.A2_N(n_19628),
+	.B1(n_18924),
+	.B2(n_20397),
+	.Y(n_21946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585703 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.Y(n_21945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585704 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.X(n_21944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585705 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.X(n_21943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585706 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.X(n_21942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585707 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.X(n_21941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585708 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.Y(n_21940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585709 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.X(n_21939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585710 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.X(n_21938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585711 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.Y(n_21937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585712 (
+	.A1(n_19930),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.X(n_21936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585713 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.X(n_21935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585714 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.X(n_21934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585715 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.Y(n_21933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585716 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.X(n_21932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585717 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.Y(n_21931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585718 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.X(n_21930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585719 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.X(n_21929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585720 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.Y(n_21928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585723 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.X(n_21925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585724 (
+	.A(n_20870),
+	.B(n_20871),
+	.Y(n_21924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585725 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.X(n_21923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585727 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.X(n_21921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585728 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.A2_N(n_19788),
+	.B1(n_18814),
+	.B2(n_19816),
+	.Y(n_21920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585729 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.X(n_21919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585731 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.X(n_21917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585732 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.A2_N(n_20399),
+	.B1(n_18929),
+	.B2(n_19292),
+	.Y(n_21916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585733 (
+	.A1(n_20366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Y(n_21915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585734 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.A2_N(n_19628),
+	.B1(n_18793),
+	.B2(n_20397),
+	.Y(n_21914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585735 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.Y(n_21913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585736 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.X(n_21912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585737 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.A2_N(n_29401),
+	.B1(n_18982),
+	.B2(n_61229),
+	.Y(n_21911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585738 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.X(n_21910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585739 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.X(n_21909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585740 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.X(n_21908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585741 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.A2_N(n_20399),
+	.B1(n_18959),
+	.B2(n_19641),
+	.Y(n_21907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585742 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.X(n_21906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585743 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.Y(n_21905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585744 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.A2_N(n_29399),
+	.B1(n_18805),
+	.B2(n_19358),
+	.Y(n_21904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585745 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.A2_N(n_19674),
+	.B1(n_18925),
+	.B2(n_19292),
+	.Y(n_21903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585746 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.Y(n_21902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585747 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.X(n_21901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585748 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.X(n_21900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585749 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.X(n_21899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585750 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.B1(n_20551),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.X(n_21898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585754 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.X(n_21894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585756 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.X(n_21892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585758 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.X(n_21890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585759 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.X(n_21889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585761 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.X(n_21887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585762 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.X(n_21886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585764 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.X(n_21884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585765 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.X(n_21883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585766 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.X(n_21882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585767 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.X(n_21881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585768 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.X(n_21880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585769 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.A2_N(n_19628),
+	.B1(n_18822),
+	.B2(n_20397),
+	.Y(n_21879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585770 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.X(n_21878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585772 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.X(n_21876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585773 (
+	.A(n_20765),
+	.B(n_20862),
+	.Y(n_21875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585775 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.X(n_21873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585777 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.A2_N(n_19291),
+	.B1(n_18821),
+	.B2(n_19572),
+	.Y(n_21871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585778 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.X(n_21870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585779 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.A2_N(n_19570),
+	.B1(n_18799),
+	.B2(n_20492),
+	.Y(n_21869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585780 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.A2_N(n_19608),
+	.B1(n_18935),
+	.B2(n_20440),
+	.Y(n_21868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585781 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.X(n_21867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585782 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.X(n_21866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585785 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.X(n_21863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585786 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.X(n_21862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585787 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.X(n_21861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585788 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_21860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585789 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.X(n_21859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585791 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.X(n_21857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585792 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.X(n_21856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585793 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.X(n_21855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585794 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.X(n_21854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585795 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.A2_N(n_20708),
+	.B1(n_18963),
+	.B2(n_19434),
+	.Y(n_21853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585796 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.X(n_21852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585797 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.Y(n_21851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585798 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.X(n_21850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585799 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.Y(n_21849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585800 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.X(n_21848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585801 (
+	.A1(n_19674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.X(n_21847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585802 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.Y(n_21846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585803 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.X(n_21845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585804 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.Y(n_21844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585805 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.X(n_21843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585806 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.X(n_21842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585807 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.X(n_21841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585808 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.X(n_21840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585809 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.X(n_21839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585810 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.X(n_21838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585811 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.X(n_21837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585812 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.X(n_21836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585813 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.X(n_21835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585814 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.X(n_21834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585815 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.X(n_21833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585816 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.X(n_21832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585817 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B2(n_20712),
+	.X(n_21831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585818 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.X(n_21830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585819 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.A2_N(n_20182),
+	.B1(n_18958),
+	.B2(n_20673),
+	.Y(n_21829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585820 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.X(n_21828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585821 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.A2_N(n_20304),
+	.B1(n_18827),
+	.B2(n_20553),
+	.Y(n_21827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585822 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.A2_N(n_29399),
+	.B1(n_18826),
+	.B2(n_19358),
+	.Y(n_21826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585824 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.A2_N(n_20483),
+	.B1(n_18933),
+	.B2(n_19641),
+	.Y(n_21824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585825 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.A2_N(n_19608),
+	.B1(n_18789),
+	.B2(n_61229),
+	.Y(n_21823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585826 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.X(n_21822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585827 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.A2_N(n_29401),
+	.B1(n_18966),
+	.B2(n_20207),
+	.Y(n_21821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585828 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.A2_N(n_20441),
+	.B1(n_18951),
+	.B2(n_20462),
+	.Y(n_21820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585829 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.A2_N(n_19488),
+	.B1(n_18787),
+	.B2(n_20520),
+	.Y(n_21819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585830 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.A2_N(n_29394),
+	.B1(n_18946),
+	.B2(n_20402),
+	.Y(n_21818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585832 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.X(n_21816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585833 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.A2_N(n_29395),
+	.B1(n_18948),
+	.B2(n_19639),
+	.Y(n_21815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585834 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.A2_N(n_29397),
+	.B1(n_18833),
+	.B2(n_19400),
+	.Y(n_21814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585835 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.X(n_21813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585836 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.A2_N(n_29393),
+	.B1(n_18953),
+	.B2(n_20097),
+	.Y(n_21812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585837 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.A2_N(n_19674),
+	.B1(n_18939),
+	.B2(n_20299),
+	.Y(n_21811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585838 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.A2_N(n_20399),
+	.B1(n_18965),
+	.B2(n_19292),
+	.Y(n_21810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585840 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.X(n_21808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585841 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.A2_N(n_20399),
+	.B1(n_18792),
+	.B2(n_19292),
+	.Y(n_21807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585843 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.X(n_21805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585845 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.X(n_21803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585846 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.A2_N(n_20044),
+	.B1(n_18920),
+	.B2(n_19872),
+	.Y(n_21802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585847 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.X(n_21801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585848 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.X(n_21800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585849 (
+	.A(n_20855),
+	.B(n_20831),
+	.Y(n_21799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585850 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.X(n_21798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585851 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.X(n_21797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585852 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.Y(n_21796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585853 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.X(n_21795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585854 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.X(n_21794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585855 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.X(n_21793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585856 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.X(n_21792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585857 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.X(n_21791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585858 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.Y(n_21790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585859 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.X(n_21789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585860 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.X(n_21788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585861 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.A2_N(n_20182),
+	.B1(n_18919),
+	.B2(n_20673),
+	.Y(n_21787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585862 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.A2_N(n_20304),
+	.B1(n_18973),
+	.B2(n_20553),
+	.Y(n_21786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585863 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.A2_N(n_29399),
+	.B1(n_18921),
+	.B2(n_19358),
+	.Y(n_21785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585864 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.X(n_21784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585865 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.A2_N(n_29401),
+	.B1(n_18794),
+	.B2(n_20207),
+	.Y(n_21783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585866 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.A2_N(n_19608),
+	.B1(n_18779),
+	.B2(n_61229),
+	.Y(n_21782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585868 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.A2_N(n_20483),
+	.B1(n_18984),
+	.B2(n_19641),
+	.Y(n_21780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585869 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.A2_N(n_20441),
+	.B1(n_18782),
+	.B2(n_20480),
+	.Y(n_21779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585870 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.A2_N(n_29395),
+	.B1(n_18942),
+	.B2(n_19639),
+	.Y(n_21778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585871 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.A2_N(n_19433),
+	.B1(n_18829),
+	.B2(n_20403),
+	.Y(n_21777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585872 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.X(n_21776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585873 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.A2_N(n_29397),
+	.B1(n_18834),
+	.B2(n_19400),
+	.Y(n_21775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585874 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.A2_N(n_29393),
+	.B1(n_18970),
+	.B2(n_20097),
+	.Y(n_21774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585875 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.A2_N(n_20399),
+	.B1(n_18978),
+	.B2(n_19292),
+	.Y(n_21773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585876 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.A2_N(n_20708),
+	.B1(n_18960),
+	.B2(n_20625),
+	.Y(n_21772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585877 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.A2_N(n_19674),
+	.B1(n_18952),
+	.B2(n_20299),
+	.Y(n_21771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585879 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.A2_N(n_29396),
+	.B1(n_18778),
+	.B2(n_19644),
+	.Y(n_21769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585880 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.X(n_21768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585881 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.A2_N(n_20441),
+	.B1(n_18955),
+	.B2(n_20462),
+	.Y(n_21767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585883 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.X(n_21765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585884 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.X(n_21764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585885 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.X(n_21763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585888 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.X(n_21760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585889 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.A2_N(n_29399),
+	.B1(n_18775),
+	.B2(n_19358),
+	.Y(n_21759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585890 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.X(n_21758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585891 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.X(n_21757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585892 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.X(n_21756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585893 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.X(n_21755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585894 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.A2_N(n_29393),
+	.B1(n_18831),
+	.B2(n_19675),
+	.Y(n_21754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585895 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.X(n_21753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585896 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.X(n_21752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585897 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.X(n_21751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585898 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.X(n_21750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585899 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.X(n_21749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585900 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.X(n_21748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585901 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.X(n_21747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585902 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.Y(n_21746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585903 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.X(n_21745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585904 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.X(n_21744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585905 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.A2_N(n_29401),
+	.B1(n_18977),
+	.B2(n_20207),
+	.Y(n_21743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585906 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.Y(n_21742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585907 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.X(n_21741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585908 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.X(n_21740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585909 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.X(n_21739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585911 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.A2_N(n_20441),
+	.B1(n_18928),
+	.B2(n_20462),
+	.Y(n_21737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585912 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.X(n_21736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585913 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.Y(n_21735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585914 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.Y(n_21734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585915 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.X(n_21733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585916 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.Y(n_21732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585917 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.A2_N(n_19433),
+	.B1(n_18979),
+	.B2(n_20403),
+	.Y(n_21731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585919 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.X(n_21729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585920 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.A2_N(n_29393),
+	.B1(n_18791),
+	.B2(n_20097),
+	.Y(n_21728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585921 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.X(n_21727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585922 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.X(n_21726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585924 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.Y(n_21724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585925 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.A2_N(n_20441),
+	.B1(n_18825),
+	.B2(n_19675),
+	.Y(n_21723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585927 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.X(n_21721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585930 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.X(n_21718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585931 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.X(n_21717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585932 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.X(n_21716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585933 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.X(n_21715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585934 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.Y(n_21714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585935 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.X(n_21713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585936 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.X(n_21712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585937 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.X(n_21711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585938 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.X(n_21710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585939 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.X(n_21709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585940 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.X(n_21708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585941 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.X(n_21707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585942 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.X(n_21706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585943 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.Y(n_21705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585945 (
+	.A1(n_19674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.X(n_21703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585946 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.X(n_21702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585947 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.Y(n_21701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585948 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.X(n_21700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585949 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.X(n_21699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585950 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.X(n_21698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585951 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.X(n_21697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585952 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.X(n_21696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585953 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.X(n_21695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585954 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.X(n_21694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585955 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.X(n_21693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585956 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.Y(n_21692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585957 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.X(n_21691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585958 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.X(n_21690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585959 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.X(n_21689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585960 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B2(n_20712),
+	.X(n_21688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585961 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.X(n_21687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585962 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.X(n_21686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585963 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.X(n_21685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585964 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.X(n_21684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585965 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.X(n_21683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585966 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.X(n_21682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585967 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.X(n_21681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585968 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.X(n_21680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585969 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.X(n_21679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585970 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.B1(n_19462),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.X(n_21678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585971 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.X(n_21677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g585972 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B2(n_20712),
+	.X(n_21676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585974 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.A2_N(n_19291),
+	.B1(n_18809),
+	.B2(n_19572),
+	.Y(n_21674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585975 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.A2_N(n_19608),
+	.B1(n_18774),
+	.B2(n_61229),
+	.Y(n_21673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585976 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.X(n_21672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585977 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.X(n_21671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g585978 (
+	.A(n_20757),
+	.B(n_20843),
+	.Y(n_21670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585980 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.A2_N(n_20399),
+	.B1(n_18788),
+	.B2(n_19292),
+	.Y(n_21668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585981 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.A2_N(n_19628),
+	.B1(n_18785),
+	.B2(n_20397),
+	.Y(n_21667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585982 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.X(n_21666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585983 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.Y(n_21665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585984 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.X(n_21664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585985 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.A2_N(n_29394),
+	.B1(n_18926),
+	.B2(n_20402),
+	.Y(n_21663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585986 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.X(n_21662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g585987 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.A2_N(n_29401),
+	.B1(n_18810),
+	.B2(n_20398),
+	.Y(n_21661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585988 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.X(n_21660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585989 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.X(n_21659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585990 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.X(n_21658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585991 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.Y(n_21657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585992 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.X(n_21656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585993 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.Y(n_21655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585994 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.X(n_21654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585995 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.Y(n_21653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g585996 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.Y(n_21652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585997 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.X(n_21651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585998 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.X(n_21650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g585999 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.X(n_21649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586000 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.X(n_21648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586001 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.X(n_21647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586002 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.X(n_21646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586003 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.X(n_21645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586004 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.X(n_21644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586005 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.X(n_21643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586006 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.Y(n_21642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586007 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.X(n_21641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586008 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.X(n_21640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586009 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.X(n_21639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586010 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.X(n_21638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586011 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.X(n_21637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586012 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.X(n_21636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586013 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.X(n_21635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586014 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.X(n_21634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586015 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.X(n_21633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586016 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.X(n_21632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586017 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.X(n_21631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586018 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.X(n_21630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586019 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.X(n_21629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586020 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B2(n_20712),
+	.X(n_21628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586021 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.X(n_21627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586022 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.X(n_21626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586024 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.A2_N(n_19291),
+	.B1(n_18927),
+	.B2(n_19572),
+	.Y(n_21624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586025 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.X(n_21623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586027 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.X(n_21621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586028 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.A2_N(n_19608),
+	.B1(n_18944),
+	.B2(n_61229),
+	.Y(n_21620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586029 (
+	.A(n_20796),
+	.B(n_20841),
+	.Y(n_21619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586030 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.X(n_21618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586032 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.X(n_21616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586033 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.X(n_21615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586034 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.A2_N(n_19628),
+	.B1(n_18962),
+	.B2(n_20397),
+	.Y(n_21614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586035 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.X(n_21613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586036 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.Y(n_21612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586037 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.X(n_21611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586038 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.X(n_21610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586039 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.X(n_21609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586040 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.X(n_21608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586041 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.X(n_21607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586042 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.X(n_21606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586043 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.X(n_21605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586044 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.X(n_21604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586045 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.X(n_21603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586046 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.X(n_21602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586047 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B2(n_20712),
+	.X(n_21601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586048 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.X(n_21600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586049 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.X(n_21599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586050 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.X(n_21598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586051 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.X(n_21597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586052 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.X(n_21596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586053 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.A2_N(n_19653),
+	.B1(n_18918),
+	.B2(n_19569),
+	.Y(n_21595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586054 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.X(n_21594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586055 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.X(n_21593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586056 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.X(n_21592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586057 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Y(n_21591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586058 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.X(n_21590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586059 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.X(n_21589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586060 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.X(n_21588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586062 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.X(n_21586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586063 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.X(n_21585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586064 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.Y(n_21584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586065 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.X(n_21583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586066 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.Y(n_21582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586067 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.X(n_21581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586068 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.Y(n_21580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586069 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.X(n_21579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586070 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.X(n_21578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586071 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.X(n_21577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586072 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.Y(n_21576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586073 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.X(n_21575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586074 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.Y(n_21574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g586075 (
+	.A1(n_19629),
+	.A2(n_19187),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.X(n_25335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g586076 (
+	.A(n_19277),
+	.B(n_19276),
+	.C(n_19149),
+	.Y(n_22234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586077 (
+	.A(n_31094),
+	.B(n_29391),
+	.Y(n_25413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586078 (
+	.A(n_20804),
+	.B_N(n_19043),
+	.Y(n_21573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586079 (
+	.A(n_20805),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[0]),
+	.Y(n_22233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586080 (
+	.A(n_27376),
+	.B(soc_top_system_rst_ni),
+	.Y(n_22232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g586082 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_29690),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_22230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g586083 (
+	.A(n_29690),
+	.B(n_18997),
+	.X(n_22229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g586084 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15),
+	.B(n_29690),
+	.X(n_22228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g586085 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_29690),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_22227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g586086 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.B(n_20805),
+	.C(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.Y(n_22226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586087 (
+	.A(n_20809),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.Y(n_22223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g586088 (
+	.A(n_18996),
+	.B(n_20805),
+	.X(n_22222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g586089 (
+	.A1(n_18762),
+	.A2(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.B1_N(n_20805),
+	.X(n_22221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586091 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.X(n_21572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586092 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.X(n_21571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586093 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.X(n_21570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586094 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.X(n_21569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586095 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.X(n_21568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586096 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.X(n_21567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586097 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.X(n_21566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586098 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.X(n_21565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586099 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.X(n_21564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586100 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.X(n_21563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586101 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.X(n_21562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586102 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.X(n_21561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586103 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.X(n_21560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586104 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.X(n_21559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586105 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.X(n_21558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586106 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.X(n_21557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586107 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.X(n_21556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586108 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.X(n_21555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586109 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.Y(n_21554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586110 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.Y(n_21553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586111 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_21552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586112 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B2(n_20712),
+	.X(n_21551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586113 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.Y(n_21550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586114 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.A2_N(n_20182),
+	.B1(n_18818),
+	.B2(n_20673),
+	.Y(n_21549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586115 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.Y(n_21548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586116 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.X(n_21547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586117 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.Y(n_21546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586119 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.Y(n_21544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586120 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.A2_N(n_19608),
+	.B1(n_18812),
+	.B2(n_61229),
+	.Y(n_21543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586121 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.X(n_21542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586122 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.Y(n_21541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586123 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.A2_N(n_19433),
+	.B1(n_18790),
+	.B2(n_20408),
+	.Y(n_21540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586124 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.Y(n_21539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586125 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.A2_N(n_29394),
+	.B1(n_18956),
+	.B2(n_20402),
+	.Y(n_21538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586126 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.A2_N(n_20399),
+	.B1(n_18804),
+	.B2(n_19292),
+	.Y(n_21537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586127 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.Y(n_21536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586128 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.A2_N(n_19628),
+	.B1(n_18783),
+	.B2(n_20397),
+	.Y(n_21535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586129 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.Y(n_21534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586130 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.X(n_21533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586131 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.X(n_21532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586132 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.X(n_21531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586133 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.X(n_21530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586134 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.X(n_21529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586135 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.A2_N(n_20304),
+	.B1(n_18972),
+	.B2(n_20553),
+	.Y(n_21528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586137 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.X(n_21526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586138 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.X(n_21525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586139 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.X(n_21524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586140 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.X(n_21523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586141 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.X(n_21522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586142 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B2(n_20712),
+	.X(n_21521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586143 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.X(n_21520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586145 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.X(n_21518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586146 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.X(n_21517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586147 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.X(n_21516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586148 (
+	.A1(n_20483),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.X(n_21515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586149 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.X(n_21514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586150 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.X(n_21513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586152 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.X(n_21511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586153 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.X(n_21510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586154 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.X(n_21509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586155 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.Y(n_21508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586156 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.X(n_21507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586157 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_21506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586158 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.B1(n_19462),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.Y(n_21505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586159 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.X(n_21504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586160 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.X(n_21503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586161 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.X(n_21502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586162 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.X(n_21501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586163 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.X(n_21500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586164 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.X(n_21499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586165 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.X(n_21498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586166 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.X(n_21497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586167 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.X(n_21496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586168 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.X(n_21495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586169 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.X(n_21494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586170 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.Y(n_21493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586171 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B2(n_20712),
+	.X(n_21492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586172 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.X(n_21491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586174 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.X(n_21489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586175 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.Y(n_21488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586176 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Y(n_21487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586177 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.Y(n_21486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586178 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.X(n_21485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586179 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.Y(n_21484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586180 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.X(n_21483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586181 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.X(n_21482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586183 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.X(n_21480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586184 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.Y(n_21479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586185 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.X(n_21478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586186 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.X(n_21477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586187 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.X(n_21476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586188 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.X(n_21475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586189 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.X(n_21474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586190 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.X(n_21473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586191 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.X(n_21472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586192 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B2(n_20712),
+	.X(n_21471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586193 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.X(n_21470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586194 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.Y(n_21469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586196 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.X(n_21467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586198 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.X(n_21465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586201 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.X(n_21462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586202 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.X(n_21461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586203 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.X(n_21460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586204 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.X(n_21459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586205 (
+	.A(n_20834),
+	.B(n_20833),
+	.Y(n_21458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586207 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.X(n_21456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586208 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.A2_N(n_20301),
+	.B1(n_18982),
+	.B2(n_19569),
+	.Y(n_21455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586209 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.A2_N(n_19628),
+	.B1(n_18949),
+	.B2(n_20397),
+	.Y(n_21454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586210 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.X(n_21453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586211 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.X(n_21452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586212 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.A2_N(n_29401),
+	.B1(n_18802),
+	.B2(n_61229),
+	.Y(n_21451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586213 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.A2_N(n_20298),
+	.B1(n_18930),
+	.B2(n_19292),
+	.Y(n_21450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586214 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.A2_N(n_20153),
+	.B1(n_18776),
+	.B2(n_20207),
+	.Y(n_21449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586215 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.A2_N(n_19433),
+	.B1(n_18811),
+	.B2(n_20408),
+	.Y(n_21448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586216 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.X(n_21447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586218 (
+	.A1(n_19248),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.Y(n_21445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586219 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.X(n_21444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586220 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.Y(n_21443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586221 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.X(n_21442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586222 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.A2_N(n_19653),
+	.B1(n_18923),
+	.B2(n_19569),
+	.Y(n_21441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586223 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.Y(n_21440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586224 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.X(n_21439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586225 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.Y(n_21438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586226 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.X(n_21437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586227 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Y(n_21436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586228 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.Y(n_21435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586230 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.X(n_21433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586231 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.X(n_21432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586232 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.X(n_21431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586233 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.X(n_21430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586234 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.X(n_21429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586235 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.X(n_21428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586237 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.X(n_21426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586238 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.Y(n_21425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586239 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.X(n_21424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586240 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.X(n_21423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586241 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.X(n_21422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586242 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.X(n_21421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586243 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.X(n_21420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586244 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.X(n_21419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586245 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B2(n_20712),
+	.X(n_21418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586246 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.X(n_21417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586247 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.X(n_21416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586248 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.X(n_21415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586252 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.X(n_21411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586253 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.X(n_21410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586255 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.X(n_21408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586257 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.X(n_21406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586258 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.Y(n_21405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586259 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.Y(n_21404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586260 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.Y(n_21403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586261 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.X(n_21402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586262 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.Y(n_21401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586263 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.X(n_21400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586264 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.X(n_21399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586266 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.Y(n_21397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586267 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.X(n_21396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586268 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.X(n_21395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586270 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.X(n_21393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586271 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.X(n_21392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586272 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.X(n_21391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586274 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.X(n_21389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586275 (
+	.A1(n_20399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.X(n_21388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586277 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.X(n_21386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586279 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.X(n_21384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586280 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.X(n_21383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g586281 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B2(n_20712),
+	.Y(n_21382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586282 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.Y(n_21381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586283 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.Y(n_21380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586285 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.Y(n_21378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586286 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.A2_N(n_19488),
+	.B1(n_18932),
+	.B2(n_20021),
+	.Y(n_21377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586287 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.X(n_21376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586288 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.X(n_21375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586290 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.Y(n_21373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586291 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.X(n_21372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586292 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.X(n_21371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586293 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.Y(n_21370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586294 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.X(n_21369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586295 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.X(n_21368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586296 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.X(n_21367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586298 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.X(n_21365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586299 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.X(n_21364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586300 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.X(n_21363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586301 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.X(n_21362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586302 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.A2_N(n_29399),
+	.B1(n_18967),
+	.B2(n_19358),
+	.Y(n_21361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586304 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.X(n_21359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586305 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.A2_N(n_29397),
+	.B1(n_18836),
+	.B2(n_19644),
+	.Y(n_21358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586306 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.X(n_21357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586308 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.X(n_21355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586309 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.X(n_21354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586312 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.X(n_21351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586313 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.X(n_21350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586314 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.X(n_21349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586317 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.X(n_21346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586318 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.X(n_21345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586319 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.A2_N(n_19488),
+	.B1(n_18922),
+	.B2(n_20520),
+	.Y(n_21344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586320 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.X(n_21343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586321 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.X(n_21342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586322 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.X(n_21341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586324 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.X(n_21339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586325 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.X(n_21338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586327 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.B1(n_20182),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.X(n_21336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586328 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.A2_N(n_19462),
+	.B1(n_18830),
+	.B2(n_19928),
+	.Y(n_21335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586329 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.X(n_21334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586330 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.X(n_21333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586331 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.X(n_21332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586332 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.X(n_21331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586333 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.X(n_21330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586334 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.A2_N(n_19247),
+	.B1(n_18795),
+	.B2(n_29683),
+	.Y(n_21329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586335 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.X(n_21328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586336 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.X(n_21327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586337 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.X(n_21326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586338 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.X(n_21325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586339 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.X(n_21324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586340 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.X(n_21323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586341 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.Y(n_21322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586342 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.Y(n_21321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g586343 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B2(n_20712),
+	.Y(n_21320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586345 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.Y(n_21318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586346 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.X(n_21317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586347 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.Y(n_21316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586348 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.X(n_21315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586350 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.X(n_21313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586351 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.X(n_21312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586352 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.A2_N(FE_DBTN78_n_29681),
+	.B1(n_18807),
+	.B2(n_20374),
+	.Y(n_21311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586353 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.X(n_21310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586354 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.X(n_21309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586356 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.X(n_21307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586357 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.X(n_21306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586359 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.B1(n_20182),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.X(n_21304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586360 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.B1(n_20650),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.X(n_21303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586361 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.X(n_21302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586362 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.Y(n_21301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586363 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.X(n_21300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586364 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.X(n_21299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586365 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.X(n_21298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586366 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.A2_N(n_20044),
+	.B1(n_18938),
+	.B2(n_19434),
+	.Y(n_21297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586367 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.X(n_21296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586369 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.A2_N(n_29397),
+	.B1(n_18801),
+	.B2(n_19644),
+	.Y(n_21294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586370 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.X(n_21293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586372 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.X(n_21291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586373 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.X(n_21290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586374 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.X(n_21289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586376 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.X(n_21287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586378 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.X(n_21285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586380 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.X(n_21283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586382 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.A2_N(n_20209),
+	.B1(n_18918),
+	.B2(n_61229),
+	.Y(n_21281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586383 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.X(n_21280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586384 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.A2_N(n_20626),
+	.B1(n_18975),
+	.B2(n_20341),
+	.Y(n_21279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586385 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.X(n_21278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586386 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.X(n_21277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586388 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.X(n_21275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586389 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.X(n_21274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586390 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.X(n_21273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586392 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.X(n_21271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586393 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_21270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586394 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.X(n_21269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586395 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.X(n_21268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586396 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.X(n_21267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586398 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.X(n_21265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586399 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.X(n_21264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586400 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.X(n_21263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586401 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.X(n_21262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586403 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.A2_N(n_29397),
+	.B1(n_18945),
+	.B2(n_19644),
+	.Y(n_21260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586404 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.B1(n_20551),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.X(n_21259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586405 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.X(n_21258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586406 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.X(n_21257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586407 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.X(n_21256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586408 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.X(n_21255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586409 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.B1(n_20800),
+	.Y(n_21254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586410 (
+	.A1(n_20441),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.X(n_21253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586411 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.B1(n_20799),
+	.Y(n_21252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586412 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.X(n_21251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586413 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.X(n_21250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586414 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.Y(n_21249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586416 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.Y(n_21247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586417 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.X(n_21246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586419 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.B1(n_20399),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.X(n_21244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586421 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.Y(n_21242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586422 (
+	.A1(n_18815),
+	.A2(n_19758),
+	.B1(n_18976),
+	.B2(n_20627),
+	.X(n_21241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586423 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.X(n_21240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586424 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.A2_N(n_29399),
+	.B1(n_18936),
+	.B2(n_19358),
+	.Y(n_21239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586425 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.X(n_21238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586426 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.X(n_21237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586427 (
+	.A1(n_18961),
+	.A2(n_29402),
+	.B1(n_18950),
+	.B2(n_19928),
+	.X(n_21236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586428 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.A2_N(n_20153),
+	.B1(n_18964),
+	.B2(n_20207),
+	.Y(n_21235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586429 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.A2_N(n_19608),
+	.B1(n_18954),
+	.B2(n_61229),
+	.Y(n_21234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586430 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.X(n_21233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586431 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.X(n_21232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586432 (
+	.A1(n_18943),
+	.A2(n_19516),
+	.B1(n_18813),
+	.B2(n_29683),
+	.X(n_21231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586433 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.A2_N(n_19433),
+	.B1(n_18817),
+	.B2(n_20408),
+	.Y(n_21230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586434 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.A2_N(n_20399),
+	.B1(n_18837),
+	.B2(n_19292),
+	.Y(n_21229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586435 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.X(n_21228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586436 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.X(n_21227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586437 (
+	.A1(n_19329),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.B1(n_20899),
+	.Y(n_21226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586438 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.X(n_21225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586439 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.X(n_21224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586440 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.X(n_21223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586441 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.B1(n_20767),
+	.Y(n_21222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586442 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.B1(n_20443),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.X(n_21221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586443 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.X(n_21220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586444 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.X(n_21219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586445 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.B1(n_19653),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.X(n_21218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586446 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.X(n_21217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586447 (
+	.A1(n_20366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.B1(n_20762),
+	.Y(n_21216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586448 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.X(n_21215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586449 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.X(n_21214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586450 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B2(n_20712),
+	.X(n_21213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586451 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.B1(n_20773),
+	.Y(n_21212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586453 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.X(n_21210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g586454 (
+	.A1(n_19435),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.B1(n_20798),
+	.Y(n_21209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586455 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.X(n_21208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586456 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.X(n_21207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586457 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.X(n_21206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586458 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.X(n_21205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586459 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.X(n_21204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586461 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.A2_N(n_20626),
+	.B1(n_18959),
+	.B2(n_20709),
+	.Y(n_21202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586463 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.X(n_21200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586464 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.Y(n_21199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586465 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.X(n_21198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586466 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.Y(n_21197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586467 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.X(n_21196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586468 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.Y(n_21195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586469 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.X(n_21194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586470 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.X(n_21193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586471 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.X(n_21192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586472 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.X(n_21191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586473 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.X(n_21190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586475 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.X(n_21188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586476 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.A2_N(n_29396),
+	.B1(n_18796),
+	.B2(n_20207),
+	.Y(n_21187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586478 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.X(n_21185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586479 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.A2_N(n_19433),
+	.B1(n_18797),
+	.B2(n_20408),
+	.Y(n_21184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586480 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.A2_N(n_19488),
+	.B1(n_18957),
+	.B2(n_20520),
+	.Y(n_21183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586481 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.X(n_21182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586482 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.X(n_21181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586483 (
+	.A1(n_20298),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.B1(n_19294),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.X(n_21180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586484 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.X(n_21179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586485 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.X(n_21178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586486 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.X(n_21177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586487 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.B1(n_20476),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.X(n_21176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586488 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.A2_N(n_20044),
+	.B1(n_18971),
+	.B2(n_19872),
+	.Y(n_21175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586489 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.X(n_21174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586491 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.X(n_21172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586492 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.X(n_21171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586493 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.X(n_21170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586494 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.X(n_21169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586495 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.A2_N(n_19645),
+	.B1(n_18786),
+	.B2(n_19434),
+	.Y(n_21168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586496 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.X(n_21167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586497 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.X(n_21166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g586498 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.A2(n_19515),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B2(n_20712),
+	.X(n_21165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586500 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.X(n_21163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586501 (
+	.A(n_20788),
+	.B(n_20787),
+	.Y(n_21162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586504 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.Y(n_21159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586506 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.Y(n_21157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586508 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.X(n_21155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586509 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.Y(n_21154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586510 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.X(n_21153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586511 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.X(n_21152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586512 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.X(n_21151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586513 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.A2_N(n_19462),
+	.B1(n_18835),
+	.B2(n_20273),
+	.Y(n_21150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586514 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.X(n_21149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586516 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.X(n_21147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586517 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.A2_N(n_19247),
+	.B1(n_18819),
+	.B2(n_29683),
+	.Y(n_21146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586518 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.X(n_21145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586519 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.Y(n_21144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586520 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.X(n_21143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586522 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.X(n_21141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586523 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.Y(n_21140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586524 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.Y(n_21139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586525 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.Y(n_21138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586526 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.Y(n_21137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586527 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.X(n_21136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586528 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.X(n_21135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586529 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.X(n_21134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586530 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.X(n_21133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586531 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.X(n_21132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586532 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.X(n_21131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586533 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.X(n_21130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586535 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.X(n_21128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586536 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.X(n_21127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586537 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_21126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586538 (
+	.A1(n_29400),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.X(n_21125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586540 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.X(n_21123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586541 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.X(n_21122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586542 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.Y(n_21121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586543 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.Y(n_21120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586544 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.Y(n_21119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586545 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.A2_N(n_19608),
+	.B1(n_18820),
+	.B2(n_61229),
+	.Y(n_21118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586546 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.Y(n_21117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586547 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.X(n_21116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586548 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.X(n_21115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586549 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.X(n_21114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586550 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.X(n_21113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586551 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.X(n_21112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586552 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.A2_N(n_20441),
+	.B1(n_18931),
+	.B2(n_20480),
+	.Y(n_21111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586553 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.X(n_21110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586554 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.A2_N(n_19433),
+	.B1(n_18816),
+	.B2(n_20403),
+	.Y(n_21109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586555 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.X(n_21108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586556 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.X(n_21107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586557 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.Y(n_21106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586558 (
+	.A(n_20893),
+	.B(n_20772),
+	.Y(n_21105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586559 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.X(n_21104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586560 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.Y(n_21103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586561 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.Y(n_21102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586562 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.Y(n_21101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586563 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.Y(n_21100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586564 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.Y(n_21099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586566 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.X(n_21097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586567 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.A2_N(n_20298),
+	.B1(n_18968),
+	.B2(n_19675),
+	.Y(n_21096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586568 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.X(n_21095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586569 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.X(n_21094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586570 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.X(n_21093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586571 (
+	.A1_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.A2_N(n_19628),
+	.B1(n_18934),
+	.B2(n_20397),
+	.Y(n_21092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586572 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.X(n_21091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586573 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.Y(n_21090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586574 (
+	.A1(n_19635),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.X(n_21089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586575 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.X(n_21088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586576 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.X(n_21087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586577 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.Y(n_21086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586578 (
+	.A1(n_29400),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.X(n_21085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586579 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.X(n_21084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586580 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.X(n_21083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586581 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.X(n_21082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586582 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.Y(n_21081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586583 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.Y(n_21080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586584 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.B1(n_20551),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.X(n_21079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586585 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.Y(n_21078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586586 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.Y(n_21077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586587 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Y(n_21076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586588 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.Y(n_21075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586589 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.X(n_21074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586590 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.X(n_21073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586591 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.X(n_21072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586592 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.X(n_21071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586593 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.X(n_21070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586594 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.X(n_21069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586595 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.X(n_21068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586596 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.X(n_21067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586597 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.X(n_21066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586598 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.X(n_21065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586599 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.X(n_21064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586600 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.X(n_21063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586601 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.X(n_21062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g586602 (
+	.A1_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.A2_N(n_20708),
+	.B1(n_18799),
+	.B2(n_19434),
+	.Y(n_21061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586603 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.X(n_21060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586604 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.X(n_21059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586605 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.A2(n_20711),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_21058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586606 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.X(n_21057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586607 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.X(n_21056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586608 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_21055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586609 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.X(n_21054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586610 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.X(n_21053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586611 (
+	.A1(n_19435),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.Y(n_21052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586612 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_21051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586613 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.Y(n_21050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586614 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_21049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586615 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.Y(n_21048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586616 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.Y(n_21047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586617 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_21046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586618 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.X(n_21045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586619 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_21044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586620 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.X(n_21043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586621 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.X(n_21042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586622 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_21041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586624 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.X(n_21039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586625 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_21038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586626 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.X(n_21037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586627 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_21036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586628 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.Y(n_21035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586629 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.Y(n_21034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586630 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_21033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586631 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.Y(n_21032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586632 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.Y(n_21031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586633 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_21030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586634 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.X(n_21029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586635 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_21028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586636 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.X(n_21027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586637 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_21026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586638 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.X(n_21025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586639 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.X(n_21024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586640 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.Y(n_21023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586641 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.X(n_21022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586642 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_21021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586643 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.X(n_21020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586644 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_21019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586645 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.X(n_21018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586646 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_21017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586647 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.X(n_21016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586648 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_21015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586649 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.Y(n_21014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586650 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.Y(n_21013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586651 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.Y(n_21012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586652 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.Y(n_21011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586653 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.Y(n_21010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586654 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_21009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586655 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.X(n_21008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586656 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.Y(n_21007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586657 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.Y(n_21006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586658 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.X(n_21005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586659 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.X(n_21004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586660 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.X(n_21003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586661 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_21002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586662 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.X(n_21001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586663 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.Y(n_21000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586664 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.X(n_20999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586665 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.X(n_20998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586666 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_20997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586667 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.Y(n_20996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586668 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.X(n_20995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586669 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.X(n_20994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586670 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_20993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586671 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.B1(n_19181),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_20992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586672 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.B1(n_19813),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.Y(n_20991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586673 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.Y(n_20990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586674 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.Y(n_20989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586675 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.Y(n_20988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586676 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.X(n_20987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586677 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.Y(n_20986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586678 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.Y(n_20985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586679 (
+	.A1(n_20521),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.Y(n_20984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586680 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.X(n_20983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586681 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.X(n_20982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586682 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Y(n_20981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586683 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.B1(n_19637),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.Y(n_20980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586684 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.X(n_20979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586685 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.A2(n_19247),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.X(n_20978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586686 (
+	.A1(n_20182),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.B1(n_29392),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.X(n_20977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586687 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.X(n_20976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586688 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.X(n_20975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586689 (
+	.A1(n_20711),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.B1(n_19514),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.Y(n_20974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586690 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.X(n_20973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586691 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.X(n_20972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586692 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.A2(n_19488),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.X(n_20971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586693 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.X(n_20970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586694 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.X(n_20969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586695 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.X(n_20968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586696 (
+	.A1(n_19645),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.Y(n_20967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586697 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.Y(n_20966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586698 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.Y(n_20965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586699 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.X(n_20964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586700 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.Y(n_20963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586701 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.Y(n_20962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586702 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.B1(n_19901),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.X(n_20961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586703 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.X(n_20960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586704 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.X(n_20959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586705 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.X(n_20958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586706 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.X(n_20957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586707 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.X(n_20956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586708 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.X(n_20955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586709 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.X(n_20954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586710 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.Y(n_20953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586711 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.X(n_20952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586712 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.Y(n_20951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586713 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.X(n_20950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586714 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.Y(n_20949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586715 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.Y(n_20948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586716 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Y(n_20947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586717 (
+	.A1(n_29684),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.X(n_20946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586718 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.X(n_20945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586719 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.X(n_20944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586720 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.X(n_20943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586721 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.X(n_20942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586722 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.X(n_20941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586723 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.X(n_20940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586724 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.X(n_20939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586725 (
+	.A1(n_19435),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.Y(n_20938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586726 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.X(n_20937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586727 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.B1(n_19871),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.Y(n_20936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586728 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.X(n_20935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586729 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.Y(n_20934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586730 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.Y(n_20933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586731 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.X(n_20932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586732 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.X(n_20931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586733 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.X(n_20930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586734 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.X(n_20929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586735 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.X(n_20928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586736 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.X(n_20927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586737 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.X(n_20926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586738 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.X(n_20925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586739 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.X(n_20924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586740 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.Y(n_20923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586741 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.B1(n_19435),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.Y(n_20922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586742 (
+	.A1(n_20708),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.Y(n_20921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586743 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.B1(n_19248),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.X(n_20920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586744 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.Y(n_20919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586745 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.X(n_20918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586746 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.X(n_20917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586747 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.Y(n_20916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586748 (
+	.A1(n_19612),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.X(n_20915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586749 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.X(n_20914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586750 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.X(n_20913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586751 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.X(n_20912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586752 (
+	.A1(n_19248),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.B1(n_19329),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.Y(n_20911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586753 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.B1(n_29684),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.Y(n_20910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586754 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.Y(n_20909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586755 (
+	.A1(n_20301),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.Y(n_20908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586756 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.B1(n_20276),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.Y(n_20907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g586757 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.Y(n_20906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g586758 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.X(n_20905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586763 (
+	.A(n_19542),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.Y(n_20899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586765 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.Y(n_20897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586769 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.Y(n_20893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586771 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.Y(n_20891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586782 (
+	.A(n_19735),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.Y(n_20880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586783 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.Y(n_20879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586791 (
+	.A(n_29401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.Y(n_20871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586792 (
+	.A(n_20209),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.Y(n_20870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586800 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.Y(n_20862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g586806 (
+	.A_N(n_25157),
+	.B(n_19116),
+	.Y(n_20856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586807 (
+	.A(n_19291),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.Y(n_20855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586808 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.Y(n_20854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586811 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.Y(n_20851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586813 (
+	.A(n_19568),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Y(n_20849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586814 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.Y(n_20848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586819 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.Y(n_20843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586821 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.Y(n_20841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586823 (
+	.A(n_19627),
+	.B_N(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.Y(n_20839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586828 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.Y(n_20834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586829 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.Y(n_20833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586831 (
+	.A(n_19573),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.Y(n_20831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g586853 (
+	.A(n_20804),
+	.Y(n_20805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586857 (
+	.A(n_19789),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Y(n_20800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586858 (
+	.A(n_19489),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.Y(n_20799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586859 (
+	.A(n_20709),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.Y(n_20798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586861 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.Y(n_20796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586869 (
+	.A(n_19329),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.Y(n_20788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586870 (
+	.A(n_29684),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.Y(n_20787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586875 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.Y(n_20782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586877 (
+	.A(n_19653),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.Y(n_20780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586884 (
+	.A(n_20300),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.Y(n_20773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586885 (
+	.A(n_20401),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.Y(n_20772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586890 (
+	.A(n_20021),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.Y(n_20767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586892 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.Y(n_20765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g586895 (
+	.A(n_29681),
+	.B_N(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.Y(n_20762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g586898 (
+	.A_N(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B(n_20711),
+	.Y(n_27445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g586901 (
+	.A(n_29394),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.Y(n_20757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586903 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [22]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [22]),
+	.C1(n_24681),
+	.X(n_20756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g586904 (
+	.A_N(n_25471),
+	.B(n_25186),
+	.Y(n_25449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586905 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [3]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [3]),
+	.C1(n_24681),
+	.Y(n_20755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586906 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [19]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [19]),
+	.C1(n_24681),
+	.X(n_20754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g586907 (
+	.A(n_19285),
+	.B(n_19202),
+	.C(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_20753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586908 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [13]),
+	.B1(n_19006),
+	.B2(\soc_top_plic_resp[d_data] [13]),
+	.C1(n_19282),
+	.Y(n_20752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586909 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [11]),
+	.B1(n_19006),
+	.B2(\soc_top_plic_resp[d_data] [11]),
+	.C1(n_19281),
+	.Y(n_20751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586910 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [15]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.C1(n_19279),
+	.X(n_20750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586911 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [10]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.C1(n_19280),
+	.Y(n_20749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586912 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [14]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [14]),
+	.C1(n_19284),
+	.Y(n_20748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586913 (
+	.A1(n_19010),
+	.A2(\soc_top_pwm_to_xbar[d_data] [9]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [9]),
+	.C1(n_19278),
+	.Y(n_20747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586914 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [24]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [24]),
+	.C1(n_24681),
+	.X(n_20746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586915 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [12]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [12]),
+	.C1(n_19266),
+	.Y(n_20745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586916 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [30]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [30]),
+	.C1(n_24681),
+	.X(n_20744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586917 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [16]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [16]),
+	.C1(n_24681),
+	.X(n_20743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g586919 (
+	.A(n_19144),
+	.B(n_19009),
+	.C(n_19004),
+	.D(n_19001),
+	.Y(n_20741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586920 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [6]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [6]),
+	.C1(n_24681),
+	.Y(n_20740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586921 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [29]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [29]),
+	.C1(n_24681),
+	.X(n_20739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586922 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [7]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [7]),
+	.C1(n_24681),
+	.Y(n_20738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586923 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [31]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [31]),
+	.C1(n_24681),
+	.X(n_20737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586924 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [25]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [25]),
+	.C1(n_24681),
+	.X(n_20736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586925 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [23]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [23]),
+	.C1(n_24681),
+	.X(n_20735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586926 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [17]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [17]),
+	.C1(n_24681),
+	.X(n_20734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586927 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [4]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [4]),
+	.C1(n_24681),
+	.Y(n_20733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586928 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [5]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [5]),
+	.C1(n_24681),
+	.Y(n_20732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586929 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [27]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [27]),
+	.C1(n_24681),
+	.X(n_20731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586930 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [21]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [21]),
+	.C1(n_24681),
+	.X(n_20730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586931 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [0]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [0]),
+	.C1(n_24681),
+	.Y(n_20729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586932 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [18]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [18]),
+	.C1(n_24681),
+	.X(n_20728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g586933 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [20]),
+	.B1(n_19005),
+	.B2(\soc_top_spi_to_xbar[d_data] [20]),
+	.C1(n_24681),
+	.X(n_20727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586934 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [1]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [1]),
+	.C1(n_24681),
+	.Y(n_20726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586935 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [2]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [2]),
+	.C1(n_24681),
+	.Y(n_20725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586936 (
+	.A1(n_19011),
+	.A2(\soc_top_uart_to_xbar[d_data] [8]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [8]),
+	.C1(n_24681),
+	.Y(n_20724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586937 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [26]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [26]),
+	.C1(n_24681),
+	.Y(n_20723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g586938 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [28]),
+	.B1(n_19003),
+	.B2(\soc_top_timer_to_xbar[d_data] [28]),
+	.C1(n_24681),
+	.Y(n_20722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g586939 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.A2(n_19238),
+	.B1(n_56674),
+	.Y(n_20810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g586940 (
+	.A1(n_25141),
+	.A2(n_19171),
+	.B1(n_25142),
+	.Y(n_20809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g586941 (
+	.A_N(n_19187),
+	.B(n_19629),
+	.Y(n_27376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g586942 (
+	.A1(n_19146),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B1_N(n_25283),
+	.Y(n_20808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g586943 (
+	.A(n_19039),
+	.B(n_25466),
+	.Y(n_20807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g586945 (
+	.A(n_19649),
+	.B(n_25414),
+	.C(n_19182),
+	.Y(n_20804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g586950 (
+	.A(n_20709),
+	.Y(n_20708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587006 (
+	.A(n_20673),
+	.Y(n_20650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g587028 (
+	.A(n_20642),
+	.Y(n_20627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587029 (
+	.A(n_20625),
+	.Y(n_20626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587075 (
+	.A(n_20552),
+	.Y(n_20553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587130 (
+	.A(n_20521),
+	.Y(n_20520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587167 (
+	.A(n_20492),
+	.Y(n_20483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g587168 (
+	.A(n_20480),
+	.Y(n_20476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587188 (
+	.A(n_20462),
+	.Y(n_20443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587206 (
+	.A(n_20440),
+	.Y(n_20441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587238 (
+	.A(n_19647),
+	.Y(n_20408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g587239 (
+	.A(n_20404),
+	.Y(n_20403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587243 (
+	.A(n_20402),
+	.Y(n_20401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587245 (
+	.A(n_20398),
+	.Y(n_20399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587246 (
+	.A(n_20397),
+	.Y(n_20396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587268 (
+	.A(n_20366),
+	.Y(n_20374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587300 (
+	.A(n_19645),
+	.Y(n_20341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587339 (
+	.A(n_19644),
+	.Y(n_20304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587340 (
+	.A(n_20300),
+	.Y(n_20301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587341 (
+	.A(n_20299),
+	.Y(n_20298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g587355 (
+	.A(n_20276),
+	.Y(n_20273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587429 (
+	.A(n_20207),
+	.Y(n_20209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g587453 (
+	.A(n_19642),
+	.Y(n_20182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587471 (
+	.A(n_19641),
+	.Y(n_20153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g587536 (
+	.A(n_19639),
+	.Y(n_20099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587542 (
+	.A(n_20097),
+	.Y(n_20069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g587587 (
+	.A(n_20044),
+	.Y(n_20045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587613 (
+	.A(n_19637),
+	.Y(n_20021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587642 (
+	.A(n_19636),
+	.Y(n_19991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g587693 (
+	.A(n_19930),
+	.Y(n_19928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587757 (
+	.A(n_19872),
+	.Y(n_19871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g587789 (
+	.A(n_29683),
+	.Y(n_19837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g587810 (
+	.A(n_19813),
+	.Y(n_19816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g587837 (
+	.A(n_19788),
+	.Y(n_19789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587867 (
+	.A(n_19635),
+	.Y(n_19758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g587948 (
+	.A(n_19674),
+	.Y(n_19675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g587970 (
+	.A_N(n_19033),
+	.B(n_19238),
+	.Y(n_19651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g587971 (
+	.A(n_19182),
+	.B_N(n_30842),
+	.Y(n_25470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g587973 (
+	.A(n_19110),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.C(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_19649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g587974 (
+	.A(n_29686),
+	.B_N(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_25595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g587976 (
+	.A(n_19182),
+	.B(n_30842),
+	.Y(n_25471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g587977 (
+	.A_N(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(n_19171),
+	.Y(n_25193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g587978 (
+	.A(n_19186),
+	.B(n_25420),
+	.Y(n_20720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g587979 (
+	.A(n_25162),
+	.B(n_25158),
+	.X(n_25157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g587981 (
+	.A(n_25161),
+	.B(n_25160),
+	.X(n_25159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g587982 (
+	.A_N(n_19186),
+	.B(n_25420),
+	.Y(n_25339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g587984 (
+	.A(n_18844),
+	.B(n_19238),
+	.Y(n_20717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g587987 (
+	.A(n_19241),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_20713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_4 g587988 (
+	.A(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.B(n_18757),
+	.C(n_25345),
+	.X(n_20712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g587989 (
+	.A(n_25141),
+	.B(n_25142),
+	.Y(n_20711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g587990 (
+	.A_N(n_29700),
+	.B(n_19097),
+	.Y(n_20709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g587992 (
+	.A(n_66117),
+	.B(n_19017),
+	.Y(n_20673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g587994 (
+	.A(n_19094),
+	.B(n_19180),
+	.Y(n_20642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g587995 (
+	.A(n_19030),
+	.B(n_66119),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(n_20625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g587997 (
+	.A(n_18990),
+	.B(n_19222),
+	.Y(n_20552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g587998 (
+	.A(n_18990),
+	.B(n_19222),
+	.Y(n_20551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g587999 (
+	.A(n_19029),
+	.B(n_19180),
+	.Y(n_20521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588000 (
+	.A(n_19233),
+	.B(n_61227),
+	.Y(n_20492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588001 (
+	.A(n_19221),
+	.B(n_61227),
+	.Y(n_20480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588002 (
+	.A_N(n_19222),
+	.B(n_61227),
+	.Y(n_20462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g588003 (
+	.A_N(n_18723),
+	.B(n_19013),
+	.C(n_19116),
+	.Y(n_20440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g588004 (
+	.A(n_18990),
+	.B(n_19157),
+	.Y(n_19647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588005 (
+	.A(n_18990),
+	.B(n_19157),
+	.Y(n_20404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588006 (
+	.A_N(n_19232),
+	.B(n_19017),
+	.Y(n_20402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588008 (
+	.A(n_19233),
+	.B(n_19013),
+	.Y(n_20398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588009 (
+	.A_N(n_19176),
+	.B(n_19013),
+	.Y(n_20397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588010 (
+	.A(n_19016),
+	.B(n_19164),
+	.Y(n_20366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588011 (
+	.A(n_19096),
+	.B(n_19180),
+	.Y(n_19645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588012 (
+	.A(n_19221),
+	.B(n_19104),
+	.Y(n_19644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588013 (
+	.A_N(n_29700),
+	.B(n_19095),
+	.Y(n_20300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g588014 (
+	.A(n_18723),
+	.B(n_73740),
+	.C(n_19013),
+	.Y(n_20299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g588015 (
+	.A(n_19016),
+	.B(n_19180),
+	.Y(n_20276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588017 (
+	.A(n_66117),
+	.B(n_61227),
+	.Y(n_20207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588020 (
+	.A(n_66117),
+	.B(n_19104),
+	.Y(n_19642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g588021 (
+	.A(n_19013),
+	.B(n_73740),
+	.C(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_19641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588023 (
+	.A(n_19177),
+	.B(n_19017),
+	.Y(n_19639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588026 (
+	.A(n_19096),
+	.B(n_19164),
+	.Y(n_20044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588027 (
+	.A(n_19094),
+	.B(n_19168),
+	.Y(n_19637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588028 (
+	.A(n_19016),
+	.B(n_19166),
+	.Y(n_19636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588029 (
+	.A(n_19094),
+	.B(n_19164),
+	.Y(n_19964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588030 (
+	.A(n_29411),
+	.B(n_19014),
+	.X(n_19930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g588031 (
+	.A(n_19029),
+	.B(n_19168),
+	.Y(n_19901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588032 (
+	.A(n_19163),
+	.B(n_19030),
+	.X(n_19873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588033 (
+	.A_N(n_19168),
+	.B(n_19097),
+	.Y(n_19872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588035 (
+	.A(n_19167),
+	.B(n_19097),
+	.X(n_19813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588036 (
+	.A(n_19096),
+	.B(n_19172),
+	.Y(n_19788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g588037 (
+	.A(n_19094),
+	.B(n_19166),
+	.Y(n_19635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588038 (
+	.A(n_29411),
+	.B(n_19030),
+	.X(n_19735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588041 (
+	.A(n_19027),
+	.B(n_19157),
+	.Y(n_19674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588042 (
+	.A(n_19167),
+	.B(n_19030),
+	.X(n_19653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588046 (
+	.A(n_19627),
+	.Y(n_19628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g588063 (
+	.A(n_19250),
+	.Y(n_19608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588083 (
+	.A(n_19572),
+	.Y(n_19573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588099 (
+	.A(n_61229),
+	.Y(n_19570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588100 (
+	.A(n_19569),
+	.Y(n_19568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588126 (
+	.A(n_19248),
+	.Y(n_19542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588152 (
+	.A(n_19247),
+	.Y(n_19516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588153 (
+	.A(n_19515),
+	.Y(n_19514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588178 (
+	.A(n_19488),
+	.Y(n_19489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588196 (
+	.A(n_29402),
+	.Y(n_19462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g588221 (
+	.A(n_19435),
+	.Y(n_19434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588232 (
+	.A(n_19244),
+	.Y(n_19433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g588242 (
+	.A(n_19400),
+	.Y(n_19395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588314 (
+	.A(n_19358),
+	.Y(n_19350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588369 (
+	.A(n_19292),
+	.Y(n_19294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g588370 (
+	.A(n_19243),
+	.Y(n_19291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588371 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [1]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.Y(n_19290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588372 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [3]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.Y(n_19289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588373 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [11]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.Y(n_19288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588374 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [9]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.Y(n_19287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588376 (
+	.A(n_19201),
+	.B(n_18758),
+	.Y(n_19285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588377 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [14]),
+	.B1(n_24681),
+	.X(n_19284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g588378 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [12]),
+	.B1(n_24681),
+	.Y(n_19283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588379 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [13]),
+	.B1(n_24681),
+	.X(n_19282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588380 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [11]),
+	.B1(n_24681),
+	.X(n_19281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588381 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [10]),
+	.B1(n_24681),
+	.X(n_19280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588382 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [15]),
+	.B1(n_24681),
+	.X(n_19279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g588383 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [9]),
+	.B1(n_24681),
+	.X(n_19278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588384 (
+	.A(n_19137),
+	.B(n_19136),
+	.Y(n_19277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588385 (
+	.A(n_19138),
+	.B(n_19135),
+	.Y(n_19276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588386 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [28]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.Y(n_19275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588387 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [8]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.Y(n_19274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g588388 (
+	.A(n_25490),
+	.B(n_19198),
+	.X(soc_top_u_top_u_core_cs_registers_i_n_6024_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g588389 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.C(n_19139),
+	.Y(n_19273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588390 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [14]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.Y(n_19272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588392 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [6]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.Y(n_19270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588393 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [7]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.Y(n_19269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588394 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [0]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.Y(n_19268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588395 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [7]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [7]),
+	.Y(n_19267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g588396 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [12]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.X(n_19266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588397 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [4]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [4]),
+	.Y(n_19265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588398 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [4]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.Y(n_19264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588399 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [5]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.Y(n_19263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588400 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [5]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [5]),
+	.Y(n_19262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588401 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [8]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [8]),
+	.Y(n_19261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588402 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [6]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [6]),
+	.Y(n_19260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588403 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [13]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.Y(n_19259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588404 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [3]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [3]),
+	.Y(n_19258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588405 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [1]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [1]),
+	.Y(n_19257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588406 (
+	.A1(n_19003),
+	.A2(\soc_top_timer_to_xbar[d_data] [10]),
+	.B1(n_19006),
+	.B2(\soc_top_plic_resp[d_data] [10]),
+	.Y(n_19256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588407 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [26]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.Y(n_19255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588408 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [2]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [2]),
+	.Y(n_19254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588409 (
+	.A1(n_19006),
+	.A2(\soc_top_plic_resp[d_data] [2]),
+	.B1(n_19002),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.Y(n_19253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g588410 (
+	.A1(n_19005),
+	.A2(\soc_top_spi_to_xbar[d_data] [0]),
+	.B1(n_19010),
+	.B2(\soc_top_pwm_to_xbar[d_data] [0]),
+	.Y(n_19252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g588411 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.A2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.B1(n_19239),
+	.Y(n_19631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g588413 (
+	.A1(n_18987),
+	.A2(soc_top_dccm_adapter_data_mem_u_reqfifo_n_266),
+	.A3(soc_top_dccm_adapter_data_mem_u_reqfifo_n_267),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.X(n_25332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588414 (
+	.A(n_19148),
+	.B(n_19153),
+	.Y(n_19629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g588415 (
+	.A_N(io_oeb[26]),
+	.B(\soc_top_dccm_to_xbar[d_valid] ),
+	.C(n_25452),
+	.D(n_27377),
+	.Y(n_25466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588416 (
+	.A(n_19225),
+	.B(n_19013),
+	.Y(n_19627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588417 (
+	.A(n_19029),
+	.B(n_19229),
+	.Y(n_19612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588418 (
+	.A(n_19171),
+	.B(n_19178),
+	.Y(n_19611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588420 (
+	.A(n_61227),
+	.B(n_19225),
+	.Y(n_19250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588422 (
+	.A_N(n_19103),
+	.B(n_19225),
+	.Y(n_19572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588424 (
+	.A_N(n_19215),
+	.B(n_19097),
+	.Y(n_19569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588425 (
+	.A(n_19094),
+	.B(n_19215),
+	.Y(n_19248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588426 (
+	.A(n_19094),
+	.B(n_19229),
+	.Y(n_19247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_2 g588427 (
+	.A1(n_18757),
+	.A2(n_18900),
+	.B1(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.C1(n_19108),
+	.X(n_19515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588428 (
+	.A(n_19096),
+	.B(n_19229),
+	.Y(n_19488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588431 (
+	.A(n_19216),
+	.B(n_19030),
+	.X(n_19435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588432 (
+	.A_N(n_19157),
+	.B(n_19104),
+	.Y(n_19244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588433 (
+	.A(n_19162),
+	.B(n_19017),
+	.Y(n_19400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588434 (
+	.A(n_61228),
+	.B(n_19017),
+	.Y(n_19358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588435 (
+	.A(n_19216),
+	.B(n_19014),
+	.X(n_19329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588436 (
+	.A(n_19162),
+	.B(n_61227),
+	.Y(n_19292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588437 (
+	.A(n_19225),
+	.B(n_19017),
+	.Y(n_19243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588439 (
+	.A(n_19241),
+	.Y(n_25344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g588441 (
+	.A(n_19236),
+	.Y(n_19237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588442 (
+	.A(n_19232),
+	.Y(n_19233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588449 (
+	.A(n_19222),
+	.Y(n_19221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588453 (
+	.A(n_19215),
+	.Y(n_19216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g588458 (
+	.A(n_19051),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.Y(n_19208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588459 (
+	.A_N(n_19127),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.Y(n_19207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588464 (
+	.A(n_19111),
+	.B(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_19202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g588465 (
+	.A(n_18764),
+	.B(n_889),
+	.C(n_1044),
+	.Y(n_19201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588466 (
+	.A(n_18771),
+	.B(n_19051),
+	.Y(n_19200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g588468 (
+	.A(soc_top_u_top_u_core_csr_op[0]),
+	.B_N(n_25111),
+	.Y(n_19198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588470 (
+	.A(n_25414),
+	.B(n_30842),
+	.Y(n_25469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g588471 (
+	.A(n_19051),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_19196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588472 (
+	.A(n_19106),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_19195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588473 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(n_25186),
+	.Y(n_27233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588480 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_25113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588481 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_25345),
+	.Y(n_19241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588482 (
+	.A(n_19108),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_25343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588483 (
+	.A_N(n_25112),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_25160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588484 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_25276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588485 (
+	.A_N(n_25191),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_25158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588486 (
+	.A(n_19110),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.Y(n_25415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588487 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_25308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588488 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.B(n_19120),
+	.Y(n_19239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588489 (
+	.A(n_19107),
+	.B(n_18750),
+	.Y(n_19238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588490 (
+	.A(n_19107),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_19236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588491 (
+	.A(n_19034),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Y(n_19235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g588492 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.B_N(n_19034),
+	.Y(n_19234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588493 (
+	.A(n_18723),
+	.B(n_29416),
+	.Y(n_19232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588495 (
+	.A(n_66119),
+	.B(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.Y(n_19229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588496 (
+	.A(n_19116),
+	.B(n_18723),
+	.X(n_19225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588497 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_25304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588498 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B(n_19116),
+	.Y(n_19222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g588500 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.Y(n_19215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588508 (
+	.A(n_19178),
+	.Y(n_25141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588509 (
+	.A(n_19176),
+	.Y(n_19177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588512 (
+	.A(n_29411),
+	.Y(n_19172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588514 (
+	.A(n_27444),
+	.Y(n_19171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588517 (
+	.A(n_19167),
+	.Y(n_19166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588518 (
+	.A(n_19163),
+	.Y(n_19164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588523 (
+	.A(n_19154),
+	.Y(n_19155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g588524 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_19153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588525 (
+	.A(FE_DBTN79_n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_19152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g588528 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(n_18867),
+	.X(n_19149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g588529 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_19148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g588531 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B1_N(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_19146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g588532 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.B1_N(n_25284),
+	.X(n_19145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g588533 (
+	.A1(n_24703),
+	.A2(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.B1(n_19011),
+	.Y(n_19144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g588538 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.B(n_27375),
+	.C(n_66518),
+	.Y(n_25441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g588540 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.X(n_19139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g588541 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.A2_N(n_18874),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B2(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.Y(n_19138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g588542 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.A2_N(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B2(n_18874),
+	.Y(n_19137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g588543 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.A2_N(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B2(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.Y(n_19136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g588544 (
+	.A1_N(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.A2_N(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B1(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B2(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.Y(n_19135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g588545 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.Y(n_19134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g588546 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.Y(n_19133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g588547 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.Y(n_19132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g588548 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.X(n_19187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g588549 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(n_18900),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g588550 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B1(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.Y(n_19186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g588551 (
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.A2(n_18974),
+	.B1(n_25454),
+	.Y(n_27234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588552 (
+	.A_N(n_25144),
+	.B(FE_DBTN74_n_29691),
+	.Y(n_25142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g588554 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.B(n_18750),
+	.C(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.X(n_19184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g588555 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(n_18937),
+	.C(n_18760),
+	.Y(n_19182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588556 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(n_19105),
+	.Y(n_19181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g588557 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.C(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.Y(n_19180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g588558 (
+	.A(n_49022),
+	.B(n_19033),
+	.X(n_19179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g588559 (
+	.A(n_29691),
+	.B(n_26383),
+	.Y(n_19178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588560 (
+	.A(n_18723),
+	.B(n_66118),
+	.Y(n_19176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588563 (
+	.A(n_66044),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_27444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g588564 (
+	.A_N(n_19044),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(n_19168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g588565 (
+	.A(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B(n_18867),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.X(n_19167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588566 (
+	.A(n_19034),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_19165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g588567 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.C(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.X(n_19163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588568 (
+	.A(n_18723),
+	.B(n_73740),
+	.X(n_19162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588569 (
+	.A(n_66118),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_19157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588571 (
+	.A(n_19034),
+	.B(n_19032),
+	.Y(n_19154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588586 (
+	.A(n_19110),
+	.Y(n_25186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588587 (
+	.A(n_25345),
+	.Y(n_19108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588588 (
+	.A(n_19106),
+	.Y(n_19105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588589 (
+	.A(n_19103),
+	.Y(n_19104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588590 (
+	.A(n_19096),
+	.Y(n_19097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588596 (
+	.A(n_19095),
+	.Y(n_19094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g588640 (
+	.A(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B(soc_top_u_top_u_core_instr_valid_id),
+	.X(n_311795_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588641 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.Y(n_19050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588643 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Y(n_25444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588647 (
+	.A(soc_top_u_top_u_core_lsu_type[0]),
+	.B(soc_top_u_top_u_core_lsu_type[1]),
+	.Y(soc_top_u_top_u_core_load_store_unit_i_n_861_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g588648 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588649 (
+	.A(n_18764),
+	.B(n_889),
+	.Y(n_25278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588650 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.Y(n_19129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588652 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_25219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588653 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.B(soc_top_u_top_u_core_instr_is_compressed_id),
+	.Y(n_19127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588655 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_25220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588656 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.B(n_18867),
+	.Y(n_19044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g588658 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(n_25191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588659 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588660 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.B(n_1044),
+	.Y(n_25367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588661 (
+	.A(n_18974),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.Y(n_19120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g588662 (
+	.A(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.B(soc_top_u_top_u_core_id_stage_i_mult_en_dec),
+	.X(n_27232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588663 (
+	.A(n_18980),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_25414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588664 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(n_19116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588665 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B(n_18758),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g588666 (
+	.A(soc_top_u_top_u_core_instr_valid_id),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_25420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588667 (
+	.A(n_18757),
+	.B(n_18900),
+	.Y(n_25342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588668 (
+	.A(n_18764),
+	.B(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_19111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g588669 (
+	.A(n_18760),
+	.B(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.X(n_19110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588670 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Y(n_19109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588671 (
+	.A(n_107),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_25345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g588673 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_19106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588674 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.B(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.Y(n_19103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g588675 (
+	.A_N(n_18874),
+	.B(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.Y(n_19096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588676 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.B(n_18874),
+	.X(n_19095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g588678 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
+	.Y(n_19051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588684 (
+	.A(n_19032),
+	.Y(n_19031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588685 (
+	.A(n_19030),
+	.Y(n_19029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588696 (
+	.A(n_18990),
+	.Y(n_19017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588697 (
+	.A(n_19016),
+	.Y(n_19014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588699 (
+	.A(n_19012),
+	.Y(n_19013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588700 (
+	.A(n_19010),
+	.Y(n_19009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588701 (
+	.A(n_48346),
+	.Y(n_19007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588702 (
+	.A(n_19005),
+	.Y(n_19004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588703 (
+	.A(n_19002),
+	.Y(n_19001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588706 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B(n_117),
+	.Y(n_18998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588707 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_18997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588708 (
+	.A(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.Y(n_18996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588710 (
+	.A(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[2]),
+	.B(soc_top_u_top_u_core_id_stage_i_imm_b_mux_sel_dec[1]),
+	.Y(n_19043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588711 (
+	.A(n_18723),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_18994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588713 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_25161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g588714 (
+	.A(soc_top_u_top_u_core_csr_op[0]),
+	.B_N(soc_top_u_top_u_core_csr_op[1]),
+	.X(n_25111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588715 (
+	.A(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.B(soc_top_u_top_u_core_fp_rm_dynamic),
+	.Y(n_25284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588716 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_19041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588717 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_rspfifo_n_498),
+	.B(soc_top_dccm_adapter_data_mem_rspfifo_wvalid),
+	.Y(n_19039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588718 (
+	.A_N(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_25112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588720 (
+	.A_N(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_25144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588721 (
+	.A(FE_DBTN118_soc_top_u_top_u_core_instr_rdata_alu_id_27),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_25162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588723 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_26383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g588724 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_25283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g588725 (
+	.A(soc_top_u_top_u_core_csr_op[1]),
+	.B(soc_top_u_top_u_core_csr_op[0]),
+	.X(n_25490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g588726 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588728 (
+	.A(n_18750),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_19034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g588729 (
+	.A(n_50586),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_19033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g588730 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_19032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g588731 (
+	.A(FE_DBTN117_soc_top_u_top_u_core_instr_rdata_alu_id_19),
+	.B(n_18874),
+	.X(n_19030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588732 (
+	.A_N(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.B(n_18845),
+	.Y(n_19027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588733 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_18990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g588734 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.Y(n_19016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g588735 (
+	.A_N(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.B(n_18845),
+	.Y(n_19012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g588736 (
+	.A_N(soc_top_main_swith_host_lsu_n_48),
+	.B(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.X(n_19011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g588737 (
+	.A_N(soc_top_main_swith_host_lsu_n_1343),
+	.B(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.X(n_19010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g588739 (
+	.A_N(n_29648),
+	.B(\soc_top_plic_resp[d_opcode] [0]),
+	.X(n_19006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g588740 (
+	.A_N(soc_top_main_swith_host_lsu_n_1347),
+	.B(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.X(n_19005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g588741 (
+	.A_N(soc_top_main_swith_host_lsu_n_47),
+	.B(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.X(n_19003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g588742 (
+	.A_N(n_29649),
+	.B(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.X(n_19002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588744 (
+	.A(soc_top_u_top_u_core_pc_id[3]),
+	.Y(n_18988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588745 (
+	.A(soc_top_dccm_adapter_data_mem_u_reqfifo_n_85),
+	.Y(n_18987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588747 (
+	.A(soc_top_u_top_u_core_pc_id[1]),
+	.Y(n_18985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588748 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.Y(n_18984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588749 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.Y(n_18983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588750 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.Y(n_18982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588751 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.Y(n_18981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588752 (
+	.A(n_66518),
+	.Y(n_18980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588753 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.Y(n_18979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588754 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.Y(n_18978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588755 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.Y(n_18977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588756 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.Y(n_18976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588757 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Y(n_18975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588758 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.Y(n_18974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588759 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.Y(n_18973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588760 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.Y(n_18972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588761 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.Y(n_18971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588762 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.Y(n_18970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588763 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.Y(n_18969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588764 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.Y(n_18968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588765 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.Y(n_18967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588766 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.Y(n_18966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588767 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Y(n_18965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588768 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.Y(n_18964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588769 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.Y(n_18963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588770 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.Y(n_18962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588771 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.Y(n_18961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588772 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.Y(n_18960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588773 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.Y(n_18959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588774 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.Y(n_18958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588775 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.Y(n_18957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588776 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.Y(n_18956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588777 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.Y(n_18955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588778 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.Y(n_18954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588779 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.Y(n_18953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588780 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.Y(n_18952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588781 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.Y(n_18951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588782 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.Y(n_18950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588783 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.Y(n_18949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588784 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.Y(n_18948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588786 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.Y(n_18946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588787 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.Y(n_18945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588788 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.Y(n_18944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588789 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.Y(n_18943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588790 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.Y(n_18942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588791 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.Y(n_18941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588792 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.Y(n_18940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588793 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.Y(n_18939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588794 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.Y(n_18938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588795 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.Y(n_18937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588796 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.Y(n_18936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588797 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Y(n_18935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588798 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.Y(n_18934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588799 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.Y(n_18933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588800 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.Y(n_18932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588801 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.Y(n_18931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588802 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Y(n_18930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588803 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.Y(n_18929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588804 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.Y(n_18928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588805 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.Y(n_18927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588806 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.Y(n_18926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588807 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Y(n_18925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588808 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.Y(n_18924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588809 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.Y(n_18923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588810 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.Y(n_18922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588811 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.Y(n_18921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588812 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Y(n_18920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588813 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.Y(n_18919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588814 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.Y(n_18918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588815 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.Y(n_18917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588820 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.Y(n_18912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588826 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_18906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588829 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_18903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588831 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588832 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_18900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588858 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.Y(n_18874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588870 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.Y(n_18867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588874 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(n_18858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588880 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(n_18850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588887 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.Y(n_18845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588888 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_18844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g588890 (
+	.A(soc_top_u_top_u_core_id_stage_i_n_609),
+	.Y(n_18842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588891 (
+	.A(soc_top_u_top_u_core_pc_id[2]),
+	.Y(n_18841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588893 (
+	.A(soc_top_u_top_u_core_pc_id[4]),
+	.Y(n_18839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588895 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.Y(n_18837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588896 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.Y(n_18836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588897 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.Y(n_18835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588898 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.Y(n_18834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588899 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.Y(n_18833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588901 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Y(n_18831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588902 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.Y(n_18830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588903 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.Y(n_18829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588904 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.Y(n_18828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588905 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.Y(n_18827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588906 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.Y(n_18826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588907 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Y(n_18825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588908 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.Y(n_18824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588909 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.Y(n_18823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588910 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.Y(n_18822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588911 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.Y(n_18821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588912 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.Y(n_18820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588913 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.Y(n_18819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588914 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Y(n_18818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588915 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.Y(n_18817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588916 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.Y(n_18816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588917 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.Y(n_18815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588918 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Y(n_18814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588919 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.Y(n_18813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588920 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.Y(n_18812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588921 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.Y(n_18811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588922 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.Y(n_18810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588923 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.Y(n_18809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588925 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Y(n_18807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588926 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Y(n_18806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588927 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.Y(n_18805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588928 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.Y(n_18804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588929 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.Y(n_18803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588930 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.Y(n_18802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588931 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.Y(n_18801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588932 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.Y(n_18800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588933 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.Y(n_18799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588934 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.Y(n_18798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588935 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.Y(n_18797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588936 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.Y(n_18796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588937 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.Y(n_18795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588938 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.Y(n_18794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588939 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.Y(n_18793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588940 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.Y(n_18792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588941 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.Y(n_18791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588942 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.Y(n_18790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588943 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.Y(n_18789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588944 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.Y(n_18788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588945 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.Y(n_18787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588946 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.Y(n_18786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588947 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.Y(n_18785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588948 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.Y(n_18784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588949 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.Y(n_18783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588950 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.Y(n_18782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588951 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.Y(n_18781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588952 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.Y(n_18780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588953 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.Y(n_18779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588954 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.Y(n_18778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588955 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588956 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.Y(n_18776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588957 (
+	.A(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Y(n_18775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588958 (
+	.A(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.Y(n_18774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588959 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.Y(n_18773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588960 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_18772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588961 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_18771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g588962 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.Y(n_18770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588965 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_18767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588966 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.Y(n_1044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588968 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.Y(n_18764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588970 (
+	.A(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.Y(n_18762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588972 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.Y(n_18760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588974 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_18758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588975 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.Y(n_18757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g588982 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Y(n_18750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g588997 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_18723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g589010 (
+	.A(n_25329),
+	.B(n_25330),
+	.X(n_25455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g589011 (
+	.A(soc_top_intr_timer),
+	.B(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.Y(n_25330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g589012 (
+	.A(soc_top_intr_req),
+	.B(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.Y(n_25329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g532439 (
+	.A(n_74883),
+	.B(n_57657),
+	.C(n_66903),
+	.D(n_18712),
+	.X(n_18720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g532446 (
+	.A1(n_18638),
+	.A2(n_73737),
+	.A3(n_47624),
+	.B1(FE_DBTN72_n_31641),
+	.Y(n_18712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g532527 (
+	.A(n_18670),
+	.Y(n_18671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g532544 (
+	.A(n_58626),
+	.B(n_44490),
+	.Y(n_18653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532563 (
+	.A(n_56843),
+	.B(n_31641),
+	.Y(n_18672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532564 (
+	.A(n_18556),
+	.B(n_52578),
+	.Y(n_18670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g532570 (
+	.A(n_66966),
+	.Y(n_18638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g532576 (
+	.A1(n_69981),
+	.A2(n_66451),
+	.B1(n_18081),
+	.Y(n_18631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g532603 (
+	.A1(n_74584),
+	.A2(n_32902),
+	.B1(n_18087),
+	.X(n_18617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g532660 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_49776),
+	.B1_N(n_69981),
+	.Y(n_18557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532661 (
+	.A(n_29715),
+	.B(n_31641),
+	.Y(n_18556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532701 (
+	.A(n_50760),
+	.B(n_48670),
+	.Y(n_18520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532710 (
+	.A(n_56841),
+	.B(n_18354),
+	.Y(n_18513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532713 (
+	.A(n_38357),
+	.B(n_51826),
+	.Y(n_18510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532732 (
+	.A(n_48665),
+	.B(n_56842),
+	.Y(n_18491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g532733 (
+	.A1(n_17726),
+	.A2(n_18370),
+	.B1(n_18341),
+	.Y(n_18490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532763 (
+	.A(n_54783),
+	.B(n_70562),
+	.Y(n_18459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g532785 (
+	.A_N(n_54783),
+	.B(n_44490),
+	.Y(n_18438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g532788 (
+	.A(n_47728),
+	.B(n_18361),
+	.Y(n_18479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g532832 (
+	.A1_N(n_50139),
+	.A2_N(n_51845),
+	.B1(n_17679),
+	.B2(n_56033),
+	.Y(n_18389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g532838 (
+	.A1(n_17586),
+	.A2(n_43072),
+	.B1(FE_DBTN72_n_31641),
+	.Y(n_18384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532839 (
+	.A(n_18246),
+	.B(n_38435),
+	.Y(n_26723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532852 (
+	.A(n_74926),
+	.B(n_57726),
+	.Y(n_18428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532871 (
+	.A(n_54393),
+	.B(n_17712),
+	.Y(n_18354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532872 (
+	.A(n_38435),
+	.B(n_17890),
+	.Y(n_18353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532881 (
+	.A(n_51619),
+	.B(n_49449),
+	.Y(n_18344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532884 (
+	.A(n_56033),
+	.B(n_66409),
+	.Y(n_18341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532892 (
+	.A(n_31684),
+	.B(n_44490),
+	.Y(n_18333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g532894 (
+	.A(FE_DBTN67_n_44490),
+	.B(n_51619),
+	.Y(n_18370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g532897 (
+	.A(n_43071),
+	.B(n_55315),
+	.Y(n_18361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g532964 (
+	.A1(n_58975),
+	.A2(n_67774),
+	.B1(n_29449),
+	.Y(n_18249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g532969 (
+	.A1(n_66120),
+	.A2(n_38438),
+	.B1(n_54172),
+	.Y(n_18246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g532970 (
+	.A(n_54393),
+	.B(n_49449),
+	.Y(n_18245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532995 (
+	.A(n_49732),
+	.B(n_17890),
+	.Y(n_18237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g532999 (
+	.A(n_55990),
+	.B(n_72075),
+	.Y(n_18233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533012 (
+	.A(n_55994),
+	.B(n_44490),
+	.Y(n_18242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g533029 (
+	.A(n_54393),
+	.Y(n_18197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533075 (
+	.A(n_70089),
+	.B(n_17828),
+	.Y(n_18155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533084 (
+	.A(n_17844),
+	.B(n_31266),
+	.Y(n_18146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533095 (
+	.A(n_44633),
+	.B(n_43951),
+	.Y(n_18135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533139 (
+	.A(n_31572),
+	.B(n_17712),
+	.Y(n_18087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533142 (
+	.A(n_31572),
+	.B(n_49449),
+	.Y(n_18084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533145 (
+	.A(FE_DBTN20_n_58706),
+	.B(n_31641),
+	.Y(n_18081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533180 (
+	.A(n_74928),
+	.B(n_66409),
+	.Y(n_18050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g533191 (
+	.A(n_18035),
+	.Y(n_18036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g533230 (
+	.A(n_53227),
+	.B(n_51750),
+	.C(n_51595),
+	.D(n_51031),
+	.Y(n_18035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g533255 (
+	.A(FE_DBTN67_n_44490),
+	.B(n_74883),
+	.Y(n_17978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g533263 (
+	.A1(FE_DBTN21_n_69209),
+	.A2(n_17758),
+	.B1(n_17901),
+	.Y(n_17970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533281 (
+	.A(n_51749),
+	.B(n_51281),
+	.Y(n_17950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533290 (
+	.A(n_53350),
+	.B(n_17879),
+	.Y(n_17941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533304 (
+	.A(n_40784),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_17931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533307 (
+	.A(n_17753),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_17928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533308 (
+	.A(n_17548),
+	.B(n_17753),
+	.Y(n_17927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533311 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_40784),
+	.Y(n_17924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533317 (
+	.A(n_69996),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_17918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533327 (
+	.A(n_55971),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_17908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533328 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_58534),
+	.Y(n_17907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g533334 (
+	.A(n_69236),
+	.B(n_50709),
+	.Y(n_17901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533349 (
+	.A(n_50282),
+	.B(n_69235),
+	.Y(n_17879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533352 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_31540),
+	.Y(n_17876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533363 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_50282),
+	.Y(n_17865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533368 (
+	.A(n_48690),
+	.B(n_35728),
+	.Y(n_17860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533369 (
+	.A(n_67026),
+	.B(n_54932),
+	.Y(n_17859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533374 (
+	.A(n_66120),
+	.B(n_54932),
+	.Y(n_17854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533375 (
+	.A(n_54932),
+	.B(n_45645),
+	.Y(n_17853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533382 (
+	.A(n_54939),
+	.B(n_44490),
+	.Y(n_17890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g533387 (
+	.A(n_17845),
+	.Y(n_17844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g533390 (
+	.A(n_54171),
+	.Y(n_17841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g533400 (
+	.A(n_74885),
+	.Y(n_17828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533421 (
+	.A(n_54260),
+	.B(n_44490),
+	.Y(n_17804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533423 (
+	.A(n_17548),
+	.B(n_69239),
+	.Y(n_17802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533424 (
+	.A(n_69239),
+	.B(FE_DBTN83_n_69234),
+	.Y(n_17801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533425 (
+	.A(n_69997),
+	.B(n_51062),
+	.Y(n_17800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533426 (
+	.A(FE_DBTN83_n_69234),
+	.B(n_51062),
+	.Y(n_17799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533427 (
+	.A(FE_DBTN82_n_59754),
+	.B(FE_DBTN80_n_39663),
+	.Y(n_17798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533432 (
+	.A(n_43951),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_17845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g533444 (
+	.A(n_30945),
+	.Y(n_17788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g533464 (
+	.A(n_50709),
+	.Y(n_17764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533493 (
+	.A(n_17700),
+	.B(n_17627),
+	.Y(n_17758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533496 (
+	.A(n_17707),
+	.B(n_68920),
+	.Y(n_17753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g533505 (
+	.A(n_17725),
+	.Y(n_17726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g533515 (
+	.A(n_50139),
+	.Y(n_17712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533519 (
+	.A(n_50814),
+	.B(n_36680),
+	.Y(n_17708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533520 (
+	.A(n_50814),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [17]),
+	.Y(n_17707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g533522 (
+	.A(n_50814),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [13]),
+	.Y(n_17705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533524 (
+	.A(n_50814),
+	.B(n_37531),
+	.Y(n_17703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533526 (
+	.A(n_72075),
+	.B(n_31641),
+	.Y(n_17701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533527 (
+	.A(n_50814),
+	.B(n_48311),
+	.Y(n_17700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533532 (
+	.A(FE_DBTN72_n_31641),
+	.B(n_44490),
+	.Y(n_17725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g533554 (
+	.A(n_17678),
+	.Y(n_17679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g533588 (
+	.A1(n_36725),
+	.A2(n_53113),
+	.B1_N(n_25417),
+	.X(n_17639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g533590 (
+	.A1(\soc_top_u_top_u_core_fp_operands[2] [17]),
+	.A2(n_53113),
+	.B1(n_57260),
+	.Y(n_17637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533598 (
+	.A(n_66409),
+	.B(FE_DBTN67_n_44490),
+	.Y(n_17678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533608 (
+	.A(FE_DBTN12_n_68911),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [4]),
+	.Y(n_17627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533612 (
+	.A(FE_DBTN12_n_68911),
+	.B(n_37829),
+	.Y(n_17623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533613 (
+	.A(FE_DBTN84_n_53113),
+	.B(n_33475),
+	.Y(n_17622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533616 (
+	.A(n_53113),
+	.B(n_16869),
+	.Y(n_17619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g533645 (
+	.A(n_66409),
+	.Y(n_17586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g533677 (
+	.A(n_53197),
+	.Y(n_17548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533778 (
+	.A(n_53112),
+	.B(n_16863),
+	.Y(n_17444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533779 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_16931),
+	.Y(n_17443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g533788 (
+	.A(FE_DBTN83_n_69234),
+	.B(n_69235),
+	.Y(n_17561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533973 (
+	.A(n_50641),
+	.B(n_71328),
+	.Y(n_17270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534008 (
+	.A(n_67725),
+	.Y(n_17237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534068 (
+	.A(n_45049),
+	.B(n_17133),
+	.Y(n_17183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534084 (
+	.A(n_53883),
+	.B(n_68682),
+	.Y(n_17165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g534098 (
+	.A(n_67801),
+	.B(n_57259),
+	.Y(n_17151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534106 (
+	.A(n_53853),
+	.B(n_30346),
+	.Y(n_17145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g534121 (
+	.A(n_51098),
+	.B(n_54405),
+	.Y(n_17133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g534127 (
+	.A(n_37444),
+	.B(n_17103),
+	.Y(n_17126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534153 (
+	.A(n_51098),
+	.Y(n_17103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534173 (
+	.A(n_51096),
+	.Y(n_17087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534208 (
+	.A1(n_16952),
+	.A2(n_29497),
+	.B1(n_17045),
+	.Y(n_17059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534213 (
+	.A(n_48934),
+	.Y(n_17054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g534220 (
+	.A(n_37574),
+	.B(n_16621),
+	.Y(n_17049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534221 (
+	.A(n_17045),
+	.Y(n_17046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g534224 (
+	.A(n_64995),
+	.B(n_30095),
+	.C(n_16915),
+	.D(n_16948),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g534225 (
+	.A(n_76789),
+	.B(n_16946),
+	.C(n_16951),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g534226 (
+	.A(n_69516),
+	.B(n_69550),
+	.C(n_15483),
+	.Y(n_17045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g534320 (
+	.A1(n_47696),
+	.A2(n_66365),
+	.B1(n_16712),
+	.Y(n_16953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g534321 (
+	.A1(n_47696),
+	.A2(n_47692),
+	.B1(n_16712),
+	.Y(n_16952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534323 (
+	.A(n_16947),
+	.Y(n_16951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534326 (
+	.A(n_66524),
+	.B(n_16674),
+	.Y(n_16948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534327 (
+	.A(n_16927),
+	.B(n_16910),
+	.Y(n_16947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534328 (
+	.A(n_66524),
+	.B(n_16562),
+	.Y(n_16946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g534341 (
+	.A(n_16921),
+	.B(n_51112),
+	.Y(n_16940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g534345 (
+	.A_N(n_25417),
+	.B(n_36680),
+	.X(n_16931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534349 (
+	.A(n_16870),
+	.B(n_16567),
+	.Y(n_16927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g534350 (
+	.A(n_16896),
+	.B_N(n_64993),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g534351 (
+	.A(n_16849),
+	.B(n_16879),
+	.Y(n_16926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g534357 (
+	.A(n_54366),
+	.Y(n_16921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534362 (
+	.A1(n_16842),
+	.A2(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B1(n_25417),
+	.Y(n_16916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534363 (
+	.A(n_16892),
+	.B(n_16745),
+	.Y(n_16915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534368 (
+	.A(n_16847),
+	.B(n_16882),
+	.Y(n_16910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534372 (
+	.A1(n_51477),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_51485),
+	.Y(n_16919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534373 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_16884),
+	.Y(n_16918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534382 (
+	.A(n_16878),
+	.Y(n_16896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534392 (
+	.A(n_57260),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.Y(n_16886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g534394 (
+	.A1(n_16814),
+	.A2(n_16556),
+	.B1(n_47546),
+	.B2(n_53181),
+	.X(n_16884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534396 (
+	.A(n_16692),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_16882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534399 (
+	.A(n_16749),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_16879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534400 (
+	.A(n_57260),
+	.B(n_73678),
+	.Y(n_16904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534403 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B(n_16699),
+	.Y(n_16878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534404 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B(n_16699),
+	.Y(n_16877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g534405 (
+	.A(n_16681),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_16892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534406 (
+	.A(n_16876),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534408 (
+	.A(n_16874),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534409 (
+	.A(n_51655),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534412 (
+	.A(n_16869),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534413 (
+	.A(n_48237),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534414 (
+	.A(n_16867),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534415 (
+	.A(n_16866),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534417 (
+	.A(n_16864),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534418 (
+	.A(n_16863),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534420 (
+	.A1(n_47546),
+	.A2(n_15565),
+	.B1(n_73089),
+	.Y(n_16876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g534422 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_16556),
+	.B2(n_16723),
+	.C1(n_47546),
+	.C2(n_50154),
+	.Y(n_16874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534428 (
+	.A(n_16746),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_16870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g534429 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_31465),
+	.B1(n_16556),
+	.B2(n_16716),
+	.C1(n_47546),
+	.C2(n_15343),
+	.Y(n_16869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534431 (
+	.A1(n_31276),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_41580),
+	.Y(n_16867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g534432 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_36105),
+	.B1(n_16556),
+	.B2(n_16722),
+	.C1(n_47546),
+	.C2(n_36103),
+	.Y(n_16866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g534435 (
+	.A1(n_16556),
+	.A2(n_16726),
+	.B1(n_69519),
+	.B2(n_47546),
+	.C1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.C2(n_69523),
+	.Y(n_16864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g534436 (
+	.A1(n_16556),
+	.A2(n_16730),
+	.B1(n_74006),
+	.B2(n_47546),
+	.C1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.C2(n_30147),
+	.Y(n_16863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534440 (
+	.A(n_68901),
+	.Y(n_16857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g534445 (
+	.A1(n_16755),
+	.A2(n_16556),
+	.B1(n_47546),
+	.B2(n_31810),
+	.X(n_16851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g534447 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
+	.A2(n_16517),
+	.A3(n_16616),
+	.B1(n_30389),
+	.B2(n_16759),
+	.Y(n_16849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g534449 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.A2(n_30426),
+	.A3(n_16616),
+	.B1(n_16508),
+	.B2(n_16759),
+	.Y(n_16847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534454 (
+	.A(n_48957),
+	.B(n_66601),
+	.Y(n_16856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g534456 (
+	.A(n_64062),
+	.B(n_16699),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g534458 (
+	.A(n_16841),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534463 (
+	.A(n_16832),
+	.Y(\soc_top_u_top_u_core_fp_operands[2] [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534466 (
+	.A(n_16827),
+	.Y(n_16828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g534467 (
+	.A1(n_16753),
+	.A2(n_16556),
+	.B1(n_47546),
+	.B2(n_49610),
+	.X(n_16826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534471 (
+	.A1(n_24845),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_47546),
+	.B2(n_24455),
+	.C1(n_16727),
+	.Y(n_16842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534473 (
+	.A1(n_67778),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_16780),
+	.Y(n_16841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g534475 (
+	.A(n_15503),
+	.B(n_47411),
+	.Y(n_16837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534478 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_16810),
+	.Y(n_16832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534480 (
+	.A(n_15477),
+	.B(n_47455),
+	.Y(n_16827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534481 (
+	.A1(n_30144),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_47546),
+	.B2(n_74489),
+	.C1(n_16724),
+	.X(\soc_top_u_top_u_core_fp_operands[2] [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534487 (
+	.A(n_16695),
+	.B(n_64059),
+	.Y(n_16816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534489 (
+	.A_N(n_16687),
+	.B(n_16672),
+	.C(n_16050),
+	.D(n_16051),
+	.Y(n_16815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534490 (
+	.A_N(n_16686),
+	.B(n_16671),
+	.C(n_16044),
+	.D(n_16045),
+	.Y(n_16814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534491 (
+	.A_N(n_16685),
+	.B(n_16670),
+	.C(n_16037),
+	.D(n_16038),
+	.Y(n_16813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534493 (
+	.A1(n_16605),
+	.A2(n_16638),
+	.A3(n_16012),
+	.A4(n_16013),
+	.B1(n_16555),
+	.Y(n_16811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g534494 (
+	.A1_N(n_73083),
+	.A2_N(n_47546),
+	.B1(n_16555),
+	.B2(n_16689),
+	.Y(n_16810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534495 (
+	.A1(n_16643),
+	.A2(n_16644),
+	.A3(n_16428),
+	.A4(n_16040),
+	.B1(n_16555),
+	.Y(n_16809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534496 (
+	.A1(n_16641),
+	.A2(n_16642),
+	.A3(n_16427),
+	.A4(n_16066),
+	.B1(n_16555),
+	.Y(n_16808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534497 (
+	.A1(n_16608),
+	.A2(n_16673),
+	.A3(n_16063),
+	.A4(n_16064),
+	.B1(n_16555),
+	.Y(n_16807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534499 (
+	.A1(n_16598),
+	.A2(n_16582),
+	.A3(n_16190),
+	.A4(n_15697),
+	.B1(n_16555),
+	.Y(n_16805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g534521 (
+	.A1_N(n_66849),
+	.A2_N(n_47546),
+	.B1(n_16555),
+	.B2(n_16677),
+	.Y(n_16780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534544 (
+	.A_N(n_16607),
+	.B(n_16583),
+	.C(n_16335),
+	.D(n_15743),
+	.Y(n_16755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g534546 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.B1(n_16477),
+	.C1(n_16431),
+	.D1(n_16610),
+	.X(n_16753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534549 (
+	.A(n_16619),
+	.B(n_71049),
+	.Y(n_16750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534550 (
+	.A(n_16665),
+	.B(n_16678),
+	.Y(n_16749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534551 (
+	.A(FE_DBTN89_n_16220),
+	.B(n_67651),
+	.Y(n_16748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534552 (
+	.A(n_16578),
+	.B(n_60296),
+	.Y(n_16747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534554 (
+	.A(n_16681),
+	.B(n_30385),
+	.Y(n_16746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534555 (
+	.A(n_16694),
+	.B(n_16663),
+	.Y(n_16745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534556 (
+	.A_N(n_16444),
+	.B(n_16633),
+	.C(n_16464),
+	.D(n_15898),
+	.Y(n_16744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534563 (
+	.A(n_65012),
+	.B(n_16616),
+	.Y(n_16759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534564 (
+	.A(n_16720),
+	.Y(n_16741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_4 g534571 (
+	.A1(n_16151),
+	.A2(n_16155),
+	.A3(n_16604),
+	.B1(n_16556),
+	.Y(n_16731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534572 (
+	.A_N(n_16483),
+	.B(n_16613),
+	.C(n_16585),
+	.D(n_16031),
+	.Y(n_16730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g534575 (
+	.A1(n_16442),
+	.A2(n_16596),
+	.B1(n_16556),
+	.X(n_16727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534576 (
+	.A_N(n_16436),
+	.B(n_16662),
+	.C(n_16652),
+	.D(n_16034),
+	.Y(n_16726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534577 (
+	.A_N(n_16594),
+	.B(n_16669),
+	.C(n_16029),
+	.D(n_16030),
+	.Y(n_16725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g534578 (
+	.A1(n_16603),
+	.A2(n_16468),
+	.A3(n_16312),
+	.A4(n_15730),
+	.B1(n_16555),
+	.Y(n_16724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534579 (
+	.A(n_16646),
+	.B(n_16647),
+	.C(n_16481),
+	.D(n_16480),
+	.Y(n_16723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534580 (
+	.A(n_16627),
+	.B(n_16628),
+	.C(n_16457),
+	.D(n_16456),
+	.Y(n_16722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534581 (
+	.A_N(n_16606),
+	.B(n_16640),
+	.C(n_16016),
+	.D(n_16017),
+	.Y(n_16721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534582 (
+	.A(n_74488),
+	.B(n_64216),
+	.Y(n_16720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534586 (
+	.A_N(n_16426),
+	.B(n_16636),
+	.C(n_16635),
+	.D(n_16059),
+	.Y(n_16716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g534587 (
+	.A_N(n_16429),
+	.B(n_16631),
+	.C(n_16632),
+	.D(n_16052),
+	.Y(n_16715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534594 (
+	.A(n_67651),
+	.Y(n_16712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534600 (
+	.A(n_16621),
+	.Y(n_16706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g534604 (
+	.A(n_65012),
+	.Y(n_16699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534607 (
+	.A(n_16695),
+	.Y(n_16697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g534609 (
+	.A1(n_16258),
+	.A2(n_16559),
+	.B1(n_16263),
+	.Y(n_16694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534611 (
+	.A(n_16665),
+	.B(n_16564),
+	.Y(n_16692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g534614 (
+	.A(n_16205),
+	.B(n_16206),
+	.C(n_16545),
+	.D(n_16443),
+	.Y(n_16689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534616 (
+	.A(n_16460),
+	.B(n_16385),
+	.C(n_15882),
+	.D(n_16384),
+	.Y(n_16687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534617 (
+	.A(n_16458),
+	.B(n_16200),
+	.C(n_15867),
+	.D(n_16201),
+	.Y(n_16686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534618 (
+	.A(n_16450),
+	.B(n_16181),
+	.C(n_15841),
+	.D(n_16180),
+	.Y(n_16685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534622 (
+	.A(n_16294),
+	.B(n_16666),
+	.Y(n_16695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534626 (
+	.A1(n_16564),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.Y(n_16678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g534628 (
+	.A(n_16435),
+	.B(n_16611),
+	.C_N(n_15763),
+	.D_N(n_15982),
+	.Y(n_16677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534631 (
+	.A1(n_16562),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
+	.Y(n_16674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534632 (
+	.A1(n_15554),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.B1(n_15555),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.C1(n_16471),
+	.Y(n_16673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534633 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.C1(n_16461),
+	.Y(n_16672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534634 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.C1(n_16459),
+	.Y(n_16671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534635 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.C1(n_16451),
+	.Y(n_16670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534636 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.C1(n_16445),
+	.Y(n_16669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534637 (
+	.A(n_30385),
+	.B(n_30382),
+	.Y(n_16681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534642 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.Y(n_16666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534643 (
+	.A(n_30385),
+	.Y(n_16665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g534644 (
+	.A(n_66707),
+	.Y(n_16663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534645 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.C1(n_16448),
+	.Y(n_16662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534655 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.C1(n_16449),
+	.Y(n_16652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g534658 (
+	.A_N(n_25389),
+	.B(n_16250),
+	.C(n_16235),
+	.Y(n_25312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534660 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.C1(n_16485),
+	.Y(n_16648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534661 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.C1(n_16479),
+	.Y(n_16647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534662 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.C1(n_16478),
+	.Y(n_16646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534663 (
+	.A(n_16474),
+	.B(n_16027),
+	.C(n_15772),
+	.D(n_15698),
+	.Y(n_16645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534664 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.C1(n_16476),
+	.Y(n_16644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534665 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.C1(n_16475),
+	.Y(n_16643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534666 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.C1(n_16473),
+	.Y(n_16642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534667 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.C1(n_16472),
+	.Y(n_16641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534668 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.C1(n_16470),
+	.Y(n_16640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534670 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.C1(n_16469),
+	.Y(n_16638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534672 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.C1(n_16466),
+	.Y(n_16636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534673 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.C1(n_16467),
+	.Y(n_16635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534675 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.C1(n_16465),
+	.Y(n_16633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534676 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.C1(n_16463),
+	.Y(n_16632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534677 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.C1(n_16462),
+	.Y(n_16631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534679 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.C1(n_16453),
+	.Y(n_16629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534680 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.C1(n_16455),
+	.Y(n_16628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534681 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.C1(n_16454),
+	.Y(n_16627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534684 (
+	.A(n_15789),
+	.B(n_30825),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534690 (
+	.A(n_30391),
+	.Y(n_16616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534692 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.C1(n_16446),
+	.Y(n_16613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534694 (
+	.A(n_16355),
+	.B(n_16353),
+	.C(n_16360),
+	.D(n_16358),
+	.Y(n_16611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534695 (
+	.A(n_16343),
+	.B(n_16342),
+	.C(n_16346),
+	.D(n_16344),
+	.Y(n_16610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534696 (
+	.A(n_16334),
+	.B(n_16337),
+	.C(n_16329),
+	.D(n_16340),
+	.Y(n_16609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534697 (
+	.A(n_16331),
+	.B(n_16332),
+	.C(n_16336),
+	.D(n_16333),
+	.X(n_16608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534698 (
+	.A(n_16326),
+	.B(n_16327),
+	.C(n_16330),
+	.D(n_16184),
+	.Y(n_16607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534699 (
+	.A(n_16323),
+	.B(n_16324),
+	.C(n_16325),
+	.D(n_16338),
+	.Y(n_16606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534700 (
+	.A(n_16311),
+	.B(n_16317),
+	.C(n_16319),
+	.D(n_16320),
+	.X(n_16605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534701 (
+	.A(n_16370),
+	.B(n_16367),
+	.C(n_16371),
+	.D(n_16309),
+	.Y(n_16604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534702 (
+	.A(n_16363),
+	.B(n_16369),
+	.C(n_16361),
+	.D(n_16321),
+	.X(n_16603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534703 (
+	.A(n_16376),
+	.B(n_16375),
+	.C(n_16379),
+	.D(n_16374),
+	.Y(n_16602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534704 (
+	.A(n_16208),
+	.B(n_16158),
+	.C(n_16388),
+	.D(n_16207),
+	.Y(n_16601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534705 (
+	.A(n_16199),
+	.B(n_16202),
+	.C(n_16197),
+	.D(n_16203),
+	.Y(n_16600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534706 (
+	.A(n_16183),
+	.B(n_16187),
+	.C(n_16179),
+	.D(n_16191),
+	.Y(n_16599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534707 (
+	.A(n_16186),
+	.B(n_16185),
+	.C(n_16188),
+	.D(n_16189),
+	.X(n_16598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534708 (
+	.A(n_16314),
+	.B(n_16316),
+	.C(n_16313),
+	.D(n_16318),
+	.Y(n_16597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534709 (
+	.A(n_16174),
+	.B(n_16173),
+	.C(n_16178),
+	.D(n_16176),
+	.Y(n_16596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534710 (
+	.A(n_16359),
+	.B(n_16354),
+	.C(n_16170),
+	.D(n_16167),
+	.Y(n_16595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534711 (
+	.A(n_16166),
+	.B(n_16165),
+	.C(n_16169),
+	.D(n_16168),
+	.Y(n_16594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g534712 (
+	.A1(n_16276),
+	.A2(n_67062),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
+	.Y(n_16593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534719 (
+	.A(n_69490),
+	.B(n_36875),
+	.Y(n_16623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534721 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.C1(n_16447),
+	.Y(n_16585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g534722 (
+	.A1_N(n_49744),
+	.A2_N(n_16134),
+	.B1(n_16134),
+	.B2(n_49744),
+	.Y(n_16584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534723 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.C1(n_16387),
+	.Y(n_16583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534724 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.C1(n_16380),
+	.Y(n_16582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g534728 (
+	.A(n_16504),
+	.B(n_74764),
+	.Y(n_16621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534729 (
+	.A(n_71053),
+	.B(n_71048),
+	.Y(n_16578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g534731 (
+	.A_N(n_29527),
+	.B(n_53864),
+	.Y(n_16619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 g534753 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.Y(n_26742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g534756 (
+	.A(n_53331),
+	.Y(n_26748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534763 (
+	.A(n_16566),
+	.Y(n_16567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g534766 (
+	.A(n_16563),
+	.Y(n_16564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g534767 (
+	.A(n_16561),
+	.Y(n_16562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g534770 (
+	.A(n_16556),
+	.Y(n_16555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534772 (
+	.A(n_16282),
+	.B(n_16299),
+	.Y(n_16552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534773 (
+	.A(n_16351),
+	.B(n_16424),
+	.Y(n_16551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534774 (
+	.A(n_16235),
+	.B(n_44567),
+	.Y(n_16550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534780 (
+	.A(n_15874),
+	.B(n_15872),
+	.C(n_15871),
+	.D(n_15873),
+	.Y(n_16545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534784 (
+	.A(n_67065),
+	.B(n_16242),
+	.Y(n_16541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g534800 (
+	.A(n_15483),
+	.B(n_44104),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534807 (
+	.A(n_16266),
+	.B(n_16296),
+	.Y(n_16518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534808 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.B(n_30829),
+	.Y(n_16517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g534813 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
+	.Y(n_16512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g534815 (
+	.A(n_68795),
+	.B(FE_DBTN92_n_49646),
+	.C(n_154),
+	.Y(n_16511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534816 (
+	.A(FE_DBTN92_n_49646),
+	.B(n_154),
+	.Y(n_16510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534818 (
+	.A(n_16265),
+	.B(n_16242),
+	.Y(n_16508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g534824 (
+	.A(n_57993),
+	.B(n_74767),
+	.C(n_68795),
+	.Y(n_16504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534826 (
+	.A(n_16269),
+	.B(n_16276),
+	.Y(n_16566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534830 (
+	.A(n_1057),
+	.B(n_39365),
+	.Y(n_25389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534832 (
+	.A(n_16302),
+	.B(n_16287),
+	.Y(n_16563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534833 (
+	.A(n_16262),
+	.B(n_16298),
+	.Y(n_16561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534834 (
+	.A(n_16295),
+	.B(n_16263),
+	.Y(n_16559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g534836 (
+	.A_N(n_16304),
+	.B(n_15361),
+	.X(n_16556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534845 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.C1(n_16192),
+	.X(n_16485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534846 (
+	.A(n_16163),
+	.B(n_15983),
+	.C(n_15686),
+	.D(n_15751),
+	.Y(n_16484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534847 (
+	.A(n_15984),
+	.B(n_15986),
+	.C(n_15985),
+	.D(n_15987),
+	.Y(n_16483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534849 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.C1(n_16357),
+	.Y(n_16481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534850 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.C1(n_16356),
+	.Y(n_16480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534851 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.C1(n_16352),
+	.X(n_16479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534852 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.C1(n_16350),
+	.X(n_16478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534853 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.C1(n_16349),
+	.X(n_16477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534854 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.C1(n_16348),
+	.X(n_16476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534855 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.C1(n_16347),
+	.X(n_16475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534856 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.C1(n_16345),
+	.Y(n_16474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534857 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.C1(n_16389),
+	.X(n_16473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534858 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.C1(n_16339),
+	.X(n_16472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534859 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.C1(n_16377),
+	.X(n_16471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534860 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.C1(n_16328),
+	.X(n_16470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534861 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.C1(n_16322),
+	.X(n_16469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534862 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.C1(n_16364),
+	.Y(n_16468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534863 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.C1(n_16310),
+	.X(n_16467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534864 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.C1(n_16315),
+	.X(n_16466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534865 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.C1(n_16372),
+	.X(n_16465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534866 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.C1(n_16373),
+	.Y(n_16464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534867 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.C1(n_16378),
+	.X(n_16463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534868 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.C1(n_16381),
+	.X(n_16462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534869 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.C1(n_16368),
+	.X(n_16461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534870 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.C1(n_16383),
+	.Y(n_16460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534871 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.C1(n_16366),
+	.X(n_16459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534872 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.C1(n_16204),
+	.Y(n_16458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534873 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.C1(n_16198),
+	.Y(n_16457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534874 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.C1(n_16196),
+	.Y(n_16456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534875 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.C1(n_16195),
+	.X(n_16455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534876 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.C1(n_16194),
+	.X(n_16454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534877 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.C1(n_16193),
+	.X(n_16453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534879 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.C1(n_16365),
+	.X(n_16451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534880 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.C1(n_16182),
+	.Y(n_16450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534881 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.C1(n_16177),
+	.X(n_16449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534882 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.C1(n_16175),
+	.X(n_16448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534883 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.C1(n_16172),
+	.X(n_16447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534884 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.C1(n_16171),
+	.X(n_16446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534885 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.C1(n_16362),
+	.X(n_16445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534886 (
+	.A(n_16053),
+	.B(n_16055),
+	.C(n_16056),
+	.D(n_16054),
+	.Y(n_16444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534887 (
+	.A(n_16046),
+	.B(n_16048),
+	.C(n_16049),
+	.D(n_16110),
+	.Y(n_16443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534888 (
+	.A(n_16036),
+	.B(n_16035),
+	.C(n_16032),
+	.D(n_16033),
+	.Y(n_16442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534894 (
+	.A(n_15989),
+	.B(n_15990),
+	.C(n_15753),
+	.D(n_15754),
+	.Y(n_16436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534895 (
+	.A(n_16002),
+	.B(n_15801),
+	.C(n_15749),
+	.D(n_15728),
+	.Y(n_16435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g534899 (
+	.A(n_16023),
+	.B(n_15771),
+	.C(n_15575),
+	.Y(n_16431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534900 (
+	.A(n_15993),
+	.B(n_15995),
+	.C(n_15994),
+	.D(n_15996),
+	.Y(n_16430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534901 (
+	.A(n_16005),
+	.B(n_16006),
+	.C(n_15778),
+	.D(n_15777),
+	.Y(n_16429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534902 (
+	.A(n_16024),
+	.B(n_16026),
+	.C(n_15998),
+	.D(n_16025),
+	.X(n_16428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g534903 (
+	.A(n_16021),
+	.B(n_16020),
+	.C(n_15770),
+	.D(n_15720),
+	.X(n_16427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534904 (
+	.A(n_16010),
+	.B(n_16011),
+	.C(n_15767),
+	.D(n_15765),
+	.Y(n_16426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534909 (
+	.A(n_15966),
+	.B(n_15963),
+	.C(n_15968),
+	.D(n_53324),
+	.Y(n_16489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534910 (
+	.A(n_49646),
+	.B(n_44200),
+	.Y(n_16488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g534940 (
+	.A(n_44200),
+	.Y(n_154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534947 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.C1(n_15944),
+	.X(n_16389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534948 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.C1(n_15879),
+	.Y(n_16388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534949 (
+	.A(n_16018),
+	.B(n_16019),
+	.Y(n_16387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534951 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.C1(n_15880),
+	.Y(n_16385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534952 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.C1(n_15881),
+	.Y(n_16384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534953 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.C1(n_15883),
+	.X(n_16383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g534954 (
+	.A(n_15971),
+	.B(n_15977),
+	.X(n_16382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534955 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.C1(n_15887),
+	.X(n_16381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534956 (
+	.A(n_15991),
+	.B(n_15992),
+	.Y(n_16380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534957 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.C1(n_15888),
+	.Y(n_16379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534958 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.C1(n_15958),
+	.X(n_16378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g534959 (
+	.A(n_30829),
+	.B(n_15672),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534961 (
+	.A1(n_15553),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.B1(n_15556),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.C1(n_15745),
+	.X(n_16377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534962 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.C1(n_15891),
+	.Y(n_16376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534963 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.C1(n_15892),
+	.Y(n_16375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534964 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.C1(n_15894),
+	.Y(n_16374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534965 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.C1(n_15897),
+	.X(n_16373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534966 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.C1(n_15899),
+	.X(n_16372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534967 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.C1(n_15902),
+	.Y(n_16371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534968 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.C1(n_15903),
+	.Y(n_16370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534969 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.C1(n_15904),
+	.Y(n_16369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534970 (
+	.A1(n_15557),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.B1(n_15549),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.C1(n_15717),
+	.X(n_16368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534971 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.C1(n_15909),
+	.Y(n_16367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534972 (
+	.A1(n_15557),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.B1(n_15549),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.C1(n_15707),
+	.X(n_16366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534973 (
+	.A1(n_15553),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.B1(n_15556),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.C1(n_15695),
+	.X(n_16365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534974 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.C1(n_16058),
+	.X(n_16364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534975 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.C1(n_15918),
+	.Y(n_16363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534976 (
+	.A1(n_15557),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.B1(n_15549),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.C1(n_15685),
+	.X(n_16362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534977 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.C1(n_15907),
+	.Y(n_16361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534979 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.C1(n_16090),
+	.Y(n_16360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534980 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.C1(n_16095),
+	.Y(n_16359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534981 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.C1(n_16093),
+	.Y(n_16358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534982 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.C1(n_16069),
+	.X(n_16357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534983 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.C1(n_16068),
+	.X(n_16356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534984 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.C1(n_15890),
+	.Y(n_16355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534985 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.C1(n_15957),
+	.Y(n_16354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534986 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.C1(n_15956),
+	.Y(n_16353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534987 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.C1(n_15955),
+	.X(n_16352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g534988 (
+	.A(n_15961),
+	.B(n_25304),
+	.C(n_25308),
+	.D(n_25276),
+	.Y(n_16351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534989 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.C1(n_15954),
+	.X(n_16350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534990 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.C1(n_16067),
+	.X(n_16349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534991 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.C1(n_15951),
+	.X(n_16348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534992 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.C1(n_15950),
+	.X(n_16347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534993 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.C1(n_15949),
+	.Y(n_16346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g534994 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.C1(n_16065),
+	.X(n_16345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534995 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.C1(n_15948),
+	.Y(n_16344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534996 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.C1(n_15947),
+	.Y(n_16343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534997 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.C1(n_15945),
+	.Y(n_16342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g534999 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.C1(n_15943),
+	.Y(n_16340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535000 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.C1(n_15856),
+	.X(n_16339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535001 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.C1(n_15931),
+	.Y(n_16338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535002 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.C1(n_15942),
+	.Y(n_16337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535003 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.C1(n_15940),
+	.Y(n_16336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535004 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.C1(n_16062),
+	.Y(n_16335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535005 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.C1(n_15939),
+	.Y(n_16334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535006 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.C1(n_15900),
+	.Y(n_16333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535007 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.C1(n_15937),
+	.Y(n_16332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535008 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.C1(n_15936),
+	.Y(n_16331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535009 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.C1(n_15905),
+	.Y(n_16330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535010 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.C1(n_15935),
+	.Y(n_16329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535011 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.C1(n_16061),
+	.X(n_16328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535012 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.C1(n_15934),
+	.Y(n_16327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535013 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.C1(n_15933),
+	.Y(n_16326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535014 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.C1(n_15927),
+	.Y(n_16325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535015 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.C1(n_15930),
+	.Y(n_16324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535016 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.C1(n_15929),
+	.Y(n_16323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535017 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.C1(n_16060),
+	.X(n_16322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535018 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.C1(n_15910),
+	.Y(n_16321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535019 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.C1(n_15926),
+	.Y(n_16320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535020 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.C1(n_15885),
+	.Y(n_16319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535021 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.C1(n_15922),
+	.Y(n_16318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535022 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.C1(n_15919),
+	.Y(n_16317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535023 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.C1(n_15921),
+	.Y(n_16316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535024 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.C1(n_15914),
+	.X(n_16315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535025 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.C1(n_15917),
+	.Y(n_16314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535026 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.C1(n_15916),
+	.Y(n_16313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535027 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.C1(n_16057),
+	.Y(n_16312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535028 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.C1(n_15923),
+	.Y(n_16311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535029 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.C1(n_15861),
+	.X(n_16310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535030 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.C1(n_15908),
+	.Y(n_16309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535032 (
+	.A(n_15975),
+	.B(n_15964),
+	.Y(n_16424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535033 (
+	.A(n_49611),
+	.B(n_15971),
+	.Y(soc_top_u_top_u_core_lsu_wdata[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535040 (
+	.A(n_65210),
+	.B(n_16072),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535042 (
+	.A(n_15973),
+	.B(n_15667),
+	.Y(n_16415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535043 (
+	.A(n_16124),
+	.B(n_15672),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535054 (
+	.A(n_16303),
+	.Y(soc_top_u_top_u_core_lsu_wdata[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535056 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
+	.Y(n_16302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535058 (
+	.A(n_67953),
+	.Y(n_16301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535061 (
+	.A(n_30407),
+	.Y(n_16299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535062 (
+	.A(n_67061),
+	.Y(n_16298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535065 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
+	.Y(n_16296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535066 (
+	.A(n_16295),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535067 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
+	.Y(n_16294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535075 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.Y(n_16287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535081 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
+	.Y(n_16282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535087 (
+	.A(n_16276),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535097 (
+	.A(n_16269),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535102 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
+	.Y(n_16266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535103 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
+	.Y(n_16265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535104 (
+	.A(n_16263),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535107 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
+	.Y(n_16262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535113 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
+	.Y(n_16258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535120 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(n_16252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535122 (
+	.A(n_51483),
+	.Y(n_16250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535130 (
+	.A(n_30423),
+	.Y(n_16242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535138 (
+	.A(n_16135),
+	.Y(n_16235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g535160 (
+	.A(n_16134),
+	.Y(n_16210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535165 (
+	.A(n_16015),
+	.B(n_15739),
+	.C(n_15764),
+	.Y(n_16209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535166 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.C1(n_15876),
+	.Y(n_16208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535167 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.C1(n_15875),
+	.Y(n_16207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535168 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.C1(n_15870),
+	.X(n_16206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535169 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.C1(n_15869),
+	.X(n_16205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535170 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.C1(n_15868),
+	.X(n_16204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535171 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.C1(n_15866),
+	.Y(n_16203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535172 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.C1(n_15863),
+	.Y(n_16202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535173 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.C1(n_15864),
+	.Y(n_16201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535174 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.C1(n_15862),
+	.Y(n_16200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535175 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.C1(n_15860),
+	.Y(n_16199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535176 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.C1(n_16043),
+	.X(n_16198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535177 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.C1(n_15859),
+	.Y(n_16197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535178 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.C1(n_16042),
+	.X(n_16196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535179 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.C1(n_15858),
+	.X(n_16195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535180 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.C1(n_15857),
+	.X(n_16194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535181 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.C1(n_15854),
+	.X(n_16193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535182 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.C1(n_15853),
+	.X(n_16192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535183 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.C1(n_15851),
+	.Y(n_16191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535184 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.C1(n_16039),
+	.Y(n_16190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535185 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.C1(n_15849),
+	.Y(n_16189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535186 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.C1(n_15848),
+	.Y(n_16188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535187 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.C1(n_15846),
+	.Y(n_16187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535188 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.C1(n_15847),
+	.Y(n_16186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535189 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.C1(n_15845),
+	.Y(n_16185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535190 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.C1(n_15901),
+	.Y(n_16184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535191 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.C1(n_15844),
+	.Y(n_16183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535192 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.C1(n_15842),
+	.X(n_16182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535193 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.C1(n_15840),
+	.Y(n_16181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535194 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.C1(n_15839),
+	.Y(n_16180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535195 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.C1(n_15838),
+	.Y(n_16179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535196 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.C1(n_15837),
+	.Y(n_16178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535197 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.C1(n_15850),
+	.X(n_16177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535198 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.C1(n_15852),
+	.Y(n_16176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535199 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.C1(n_15855),
+	.X(n_16175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535200 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.C1(n_15877),
+	.Y(n_16174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535201 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.C1(n_15952),
+	.Y(n_16173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535202 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.C1(n_15886),
+	.X(n_16172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535203 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.C1(n_15889),
+	.X(n_16171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535204 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.C1(n_15906),
+	.Y(n_16170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535205 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.C1(n_15911),
+	.Y(n_16169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535206 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.C1(n_15913),
+	.Y(n_16168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535207 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.C1(n_15924),
+	.Y(n_16167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535208 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.C1(n_15915),
+	.Y(n_16166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535209 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.C1(n_15953),
+	.Y(n_16165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g535211 (
+	.A(n_15988),
+	.B(n_15752),
+	.C(n_15734),
+	.X(n_16163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535212 (
+	.A(n_15997),
+	.B(n_15808),
+	.C(n_15719),
+	.Y(n_16162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535213 (
+	.A(n_15999),
+	.B(n_15700),
+	.C(n_15796),
+	.Y(n_16161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535214 (
+	.A(n_16000),
+	.B(n_15795),
+	.C(n_15704),
+	.Y(n_16160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535215 (
+	.A(n_16001),
+	.B(n_15708),
+	.C(n_15787),
+	.Y(n_16159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535216 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.C1(n_15878),
+	.Y(n_16158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535217 (
+	.A(n_16003),
+	.B(n_15782),
+	.C(n_15713),
+	.Y(n_16157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535218 (
+	.A(n_16004),
+	.B(n_15781),
+	.C(n_15714),
+	.Y(n_16156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535219 (
+	.A(n_16022),
+	.B(n_15729),
+	.C(n_15769),
+	.Y(n_16155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535220 (
+	.A(n_16007),
+	.B(n_15773),
+	.C(n_15721),
+	.Y(n_16154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535221 (
+	.A(n_16008),
+	.B(n_15722),
+	.C(n_15762),
+	.Y(n_16153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535222 (
+	.A(n_16014),
+	.B(n_15768),
+	.C(n_15737),
+	.Y(n_16152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535223 (
+	.A(n_16009),
+	.B(n_15766),
+	.C(n_15732),
+	.Y(n_16151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g535224 (
+	.A1(n_15412),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15790),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g535226 (
+	.A_N(n_15791),
+	.B(n_15526),
+	.C(n_15536),
+	.D(n_15530),
+	.X(n_16304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g535227 (
+	.A1(n_24455),
+	.A2(n_67805),
+	.B1(n_15843),
+	.Y(n_16303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535228 (
+	.A(n_15803),
+	.B(n_30826),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g535233 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15806),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g535234 (
+	.A1(n_15570),
+	.A2(n_66130),
+	.B1(n_51654),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g535235 (
+	.A1(n_15417),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15797),
+	.X(n_16295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535236 (
+	.A(n_15794),
+	.B(n_29555),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535237 (
+	.A(n_29794),
+	.B(n_30425),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g535239 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15779),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535240 (
+	.A(n_29793),
+	.B(n_16089),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g535243 (
+	.A1(n_15570),
+	.A2(n_67855),
+	.B1(n_16084),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535244 (
+	.A(n_15814),
+	.B(n_29551),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g535246 (
+	.A1(n_27063),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_16082),
+	.Y(n_16276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g535249 (
+	.A1(n_27064),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_16083),
+	.Y(n_16269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535251 (
+	.A(n_15804),
+	.B(n_29553),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535252 (
+	.A(n_29800),
+	.B(n_29556),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g535253 (
+	.A1(n_15569),
+	.A2(n_66132),
+	.B1(n_16074),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g535254 (
+	.A1(n_15438),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15799),
+	.X(n_16263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535255 (
+	.A(n_15805),
+	.B(n_16075),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g535257 (
+	.A1(n_73090),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15793),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g535259 (
+	.A1(n_12855),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15780),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535264 (
+	.A(n_44101),
+	.B(n_15946),
+	.Y(n_16135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g535266 (
+	.A1(n_34198),
+	.A2(n_56911),
+	.B1(n_15884),
+	.Y(n_16220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g535267 (
+	.A(n_71004),
+	.B(n_15865),
+	.Y(n_16134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535292 (
+	.A(n_30426),
+	.Y(n_16124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535304 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.C1(n_15710),
+	.Y(n_16110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535306 (
+	.A(n_36768),
+	.B(n_69523),
+	.X(n_26772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535321 (
+	.A(n_36768),
+	.B(n_30147),
+	.X(n_26771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535322 (
+	.A(n_36768),
+	.B(n_36679),
+	.X(n_26766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535323 (
+	.A(n_36768),
+	.B(n_30151),
+	.X(n_26767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535324 (
+	.A(n_36768),
+	.B(n_48306),
+	.X(n_26768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535326 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.X(n_16095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535328 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.X(n_16093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535329 (
+	.A(FE_DBTN28_n_73723),
+	.B(FE_DBTN35_n_54412),
+	.C(n_68795),
+	.Y(n_16092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535331 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.X(n_16090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g535332 (
+	.A1(n_73770),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.X(n_16089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g535337 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_16084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g535338 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.Y(n_16083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g535339 (
+	.A1(n_36893),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.Y(n_16082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g535346 (
+	.A1(n_15302),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_16075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g535347 (
+	.A1(n_37502),
+	.A2(n_15635),
+	.B1_N(n_317667_BAR),
+	.X(n_16074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g535349 (
+	.A1(n_15634),
+	.A2(n_73723),
+	.B1_N(n_15672),
+	.Y(n_16072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g535351 (
+	.A1(n_57993),
+	.A2(n_15635),
+	.B1_N(n_317667_BAR),
+	.X(n_16070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535352 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.C1(n_15687),
+	.X(n_16069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535353 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.C1(n_15703),
+	.X(n_16068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535354 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.C1(n_15748),
+	.X(n_16067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535355 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.C1(n_15746),
+	.Y(n_16066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535356 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.C1(n_15747),
+	.X(n_16065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535357 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.C1(n_15744),
+	.Y(n_16064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535358 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.C1(n_15733),
+	.Y(n_16063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535359 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.C1(n_15741),
+	.X(n_16062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535360 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.C1(n_15740),
+	.X(n_16061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535361 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.C1(n_15738),
+	.X(n_16060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535362 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.C1(n_15735),
+	.Y(n_16059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535363 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.C1(n_15731),
+	.X(n_16058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535364 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.C1(n_15736),
+	.X(n_16057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535365 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.C1(n_15727),
+	.Y(n_16056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535366 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.C1(n_15726),
+	.Y(n_16055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535367 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.C1(n_15724),
+	.Y(n_16054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535368 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.C1(n_15723),
+	.Y(n_16053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535369 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.C1(n_15755),
+	.Y(n_16052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535370 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.C1(n_15716),
+	.Y(n_16051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535371 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.C1(n_15715),
+	.Y(n_16050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535372 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.C1(n_15712),
+	.Y(n_16049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535373 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.C1(n_15711),
+	.Y(n_16048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535375 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.C1(n_15709),
+	.Y(n_16046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535376 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.C1(n_15706),
+	.Y(n_16045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535377 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.C1(n_15705),
+	.Y(n_16044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535378 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.C1(n_15702),
+	.X(n_16043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535379 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.C1(n_15701),
+	.X(n_16042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535380 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.C1(n_15699),
+	.Y(n_16041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535381 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.C1(n_15750),
+	.Y(n_16040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g535382 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.C1(n_15696),
+	.X(n_16039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535383 (
+	.A1(n_15491),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.B1(n_24998),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.C1(n_15694),
+	.Y(n_16038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535384 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.C1(n_15693),
+	.Y(n_16037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535385 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.B2(n_24998),
+	.C1(n_15692),
+	.Y(n_16036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535386 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.B2(n_15552),
+	.C1(n_15691),
+	.Y(n_16035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535387 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.C1(n_15690),
+	.Y(n_16034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535388 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.B2(n_24997),
+	.C1(n_15689),
+	.Y(n_16033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535389 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.B2(n_15558),
+	.C1(n_15688),
+	.Y(n_16032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535390 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.C1(n_15742),
+	.Y(n_16031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535391 (
+	.A1(n_29799),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.B1(n_24997),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.C1(n_15718),
+	.Y(n_16030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g535392 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.C1(n_15725),
+	.Y(n_16029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535394 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.Y(n_16027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535395 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.Y(n_16026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535396 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.B2(n_15557),
+	.C1(n_24998),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.Y(n_16025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535397 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.Y(n_16024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535398 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.B2(n_15558),
+	.C1(n_15554),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.Y(n_16023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535399 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.B2(n_15552),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.Y(n_16022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535400 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.Y(n_16021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535401 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.Y(n_16020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535402 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B2(n_15548),
+	.C1(n_24998),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.Y(n_16019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535403 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.B2(n_15552),
+	.C1(n_15551),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.Y(n_16018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535404 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.Y(n_16017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535405 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.Y(n_16016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535406 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.Y(n_16015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535407 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.B2(n_15558),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.Y(n_16014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535408 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.Y(n_16013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535409 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.Y(n_16012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535410 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.Y(n_16011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535411 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.Y(n_16010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535412 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.B2(n_15558),
+	.C1(n_15555),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.Y(n_16009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535413 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.Y(n_16008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535414 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.B2(n_15558),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.Y(n_16007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535415 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.Y(n_16006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535416 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.Y(n_16005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535417 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.B2(n_15552),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.Y(n_16004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535418 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.Y(n_16003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535419 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.B2(n_15558),
+	.C1(n_15554),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Y(n_16002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535420 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.Y(n_16001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535421 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.B2(n_15558),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.Y(n_16000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535422 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.B2(n_15552),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.Y(n_15999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535423 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.Y(n_15998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535424 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.B2(n_15558),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.Y(n_15997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535425 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.Y(n_15996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535426 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.Y(n_15995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535427 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.B2(n_15557),
+	.C1(n_24998),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.Y(n_15994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535428 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.Y(n_15993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535429 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.Y(n_15992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535430 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.Y(n_15991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535431 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.Y(n_15990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535432 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.Y(n_15989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535433 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.B2(n_15552),
+	.C1(n_15548),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.Y(n_15988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535434 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.B2(n_15553),
+	.C1(n_24997),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.Y(n_15987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535435 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.B2(n_15558),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.Y(n_15986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535436 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.B2(n_15557),
+	.C1(n_24998),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.Y(n_15985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535437 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.B2(n_15552),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.Y(n_15984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535438 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.A2(n_15559),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.B2(n_15558),
+	.C1(n_15554),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.Y(n_15983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535439 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.A2(n_15550),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.B2(n_15552),
+	.C1(n_15551),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.Y(n_15982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g535441 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.A2(n_15525),
+	.B1(n_24845),
+	.B2(n_15547),
+	.C1(n_15483),
+	.Y(n_15981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g535442 (
+	.A(n_72869),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.Y(n_16120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535443 (
+	.A(n_36768),
+	.B(n_43984),
+	.Y(n_16118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535448 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.X(n_15958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535449 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.X(n_15957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535450 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.X(n_15956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535451 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.X(n_15955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535452 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.X(n_15954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535453 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.X(n_15953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535454 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.X(n_15952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535455 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.X(n_15951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535456 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.X(n_15950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535457 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.X(n_15949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535458 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.X(n_15948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535459 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.X(n_15947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535460 (
+	.A1(n_25121),
+	.A2(n_15624),
+	.B1(n_27421),
+	.B2(n_15362),
+	.Y(n_15946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535461 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.X(n_15945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535462 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.X(n_15944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535463 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.X(n_15943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535464 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.X(n_15942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535466 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.X(n_15940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535467 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.X(n_15939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535469 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.X(n_15937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535470 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.X(n_15936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535471 (
+	.A1(n_25118),
+	.A2(n_15624),
+	.B1(n_27422),
+	.B2(n_15362),
+	.Y(n_15979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535472 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.X(n_15935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535473 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.X(n_15934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535474 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.X(n_15933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535476 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.X(n_15931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535477 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.X(n_15930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535478 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.X(n_15929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535479 (
+	.A1(n_25122),
+	.A2(n_15624),
+	.B1(n_27420),
+	.B2(n_15362),
+	.Y(n_15928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535480 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.X(n_15927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535481 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.X(n_15926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g535482 (
+	.A1(n_25127),
+	.A2(n_15624),
+	.B1(n_27427),
+	.B2(n_15362),
+	.Y(n_15925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535483 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.X(n_15924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535484 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.X(n_15923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535485 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.X(n_15922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535486 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.X(n_15921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535488 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.X(n_15919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535489 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.X(n_15918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535490 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.X(n_15917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535491 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.X(n_15916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535492 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.X(n_15915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535493 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.X(n_15914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535494 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.X(n_15913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535495 (
+	.A1(n_25324),
+	.A2(n_15624),
+	.B1(n_64176),
+	.B2(n_15362),
+	.Y(n_15912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535496 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.X(n_15911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535497 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.X(n_15910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535498 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.X(n_15909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535499 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.X(n_15908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535500 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.X(n_15907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535501 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.X(n_15906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535502 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.X(n_15905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535503 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.X(n_15904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535504 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.X(n_15903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535505 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.X(n_15902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535506 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.X(n_15901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535507 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.X(n_15900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535508 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.X(n_15899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535509 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.Y(n_15898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535510 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.X(n_15897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535512 (
+	.A1(n_25124),
+	.A2(n_15624),
+	.B1(n_27415),
+	.B2(n_15362),
+	.Y(n_15895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535513 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.X(n_15894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535514 (
+	.A1(n_25138),
+	.A2(n_15624),
+	.B1(n_27441),
+	.B2(n_15362),
+	.Y(n_15893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535515 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.X(n_15892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535516 (
+	.A1(n_25132),
+	.A2(n_15624),
+	.B1(n_27414),
+	.B2(n_15362),
+	.Y(n_15978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535517 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.X(n_15891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535518 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.X(n_15890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535519 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.X(n_15889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535520 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.X(n_15888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535521 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.X(n_15887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535522 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.X(n_15886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535523 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.X(n_15885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535524 (
+	.A1(n_25139),
+	.A2(n_15624),
+	.B1(n_27442),
+	.B2(n_15362),
+	.Y(n_15884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535525 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.X(n_15883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535526 (
+	.A1(n_15633),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.B1(n_15629),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.Y(n_15882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535527 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.X(n_15881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535528 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.X(n_15880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535529 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.X(n_15879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535530 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.X(n_15878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535531 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.X(n_15877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535532 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.X(n_15876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535533 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.X(n_15875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535534 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.Y(n_15874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535535 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Y(n_15873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535536 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.Y(n_15872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535537 (
+	.A1(n_15628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.B1(n_15625),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.Y(n_15871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535538 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.X(n_15870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535539 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.X(n_15869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535540 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.X(n_15868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535541 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.Y(n_15867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535542 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.X(n_15866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535543 (
+	.A1(n_25135),
+	.A2(n_15624),
+	.B1(n_27439),
+	.B2(n_15362),
+	.Y(n_15865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535544 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.X(n_15864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535545 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.X(n_15863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535546 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.X(n_15862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535547 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.X(n_15861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535548 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.X(n_15860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535549 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.X(n_15859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535550 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.X(n_15858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535551 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.X(n_15857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535552 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.X(n_15856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535553 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.X(n_15855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535554 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.X(n_15854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535555 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.X(n_15853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535556 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.X(n_15852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535557 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.X(n_15851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535558 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.X(n_15850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535559 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.X(n_15849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535560 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.X(n_15848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535561 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.X(n_15847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535562 (
+	.A1(n_15627),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.B1(n_15631),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.X(n_15846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535563 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.X(n_15845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535564 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.X(n_15844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535565 (
+	.A1(n_25134),
+	.A2(n_15624),
+	.B1(n_27443),
+	.B2(n_15362),
+	.X(n_15843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535566 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.X(n_15842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535567 (
+	.A1(n_15676),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.B1(n_15674),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.Y(n_15841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535568 (
+	.A1(n_15673),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.B1(n_15623),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.X(n_15840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535569 (
+	.A1(n_15630),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.B1(n_15632),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.X(n_15839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535570 (
+	.A1(n_15626),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.B1(n_15675),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.X(n_15838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535571 (
+	.A1(n_15677),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.B1(n_15622),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.X(n_15837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g535572 (
+	.A1(n_25320),
+	.A2(n_15624),
+	.B1(n_27431),
+	.B2(n_15362),
+	.Y(n_15977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535574 (
+	.A1(n_25136),
+	.A2(n_15624),
+	.B1(n_27440),
+	.B2(n_15362),
+	.Y(n_15975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535575 (
+	.A1(n_25129),
+	.A2(n_15624),
+	.B1(n_27430),
+	.B2(n_15362),
+	.Y(n_15974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535576 (
+	.A1(n_25137),
+	.A2(n_15624),
+	.B1(n_27434),
+	.B2(n_15362),
+	.Y(n_15973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535577 (
+	.A1(n_25323),
+	.A2(n_15624),
+	.B1(n_27429),
+	.B2(n_15362),
+	.Y(n_15972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535578 (
+	.A1(n_25126),
+	.A2(n_15624),
+	.B1(n_27433),
+	.B2(n_15362),
+	.Y(n_15971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535579 (
+	.A1(n_25321),
+	.A2(n_15624),
+	.B1(n_64897),
+	.B2(n_15362),
+	.Y(n_15969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535580 (
+	.A1(n_25391),
+	.A2(n_15624),
+	.B1(n_27418),
+	.B2(n_15362),
+	.Y(n_15968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535582 (
+	.A1(n_25180),
+	.A2(n_15624),
+	.B1(n_27417),
+	.B2(n_15362),
+	.Y(n_15966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535583 (
+	.A1(n_25123),
+	.A2(n_15624),
+	.B1(n_27428),
+	.B2(n_15362),
+	.Y(n_15965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535584 (
+	.A1(n_25120),
+	.A2(n_15624),
+	.B1(n_27435),
+	.B2(n_15362),
+	.Y(n_15964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535585 (
+	.A1(n_25182),
+	.A2(n_15624),
+	.B1(n_27416),
+	.B2(n_15362),
+	.Y(n_15963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535586 (
+	.A1(n_25125),
+	.A2(n_15624),
+	.B1(n_27432),
+	.B2(n_15362),
+	.Y(n_15962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535587 (
+	.A1(n_25319),
+	.A2(n_15624),
+	.B1(n_27436),
+	.B2(n_15362),
+	.Y(n_15961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535597 (
+	.A(n_57260),
+	.Y(n_25417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535598 (
+	.A(n_36768),
+	.Y(n_25188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535608 (
+	.A(n_27066),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535611 (
+	.A(n_27065),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g535612 (
+	.A_N(n_69701),
+	.B(n_15672),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535615 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.B2(n_24997),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.Y(n_15808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535616 (
+	.A(n_27062),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535617 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_33212),
+	.Y(n_15806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535618 (
+	.A(n_27072),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535619 (
+	.A(n_33470),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535620 (
+	.A(n_27067),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535622 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.B2(n_24997),
+	.C1(n_15555),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.Y(n_15801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535624 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_27060),
+	.Y(n_15799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535626 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_27059),
+	.Y(n_15797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535627 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.B2(n_24998),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.Y(n_15796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535628 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.B2(n_24997),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.Y(n_15795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535629 (
+	.A(n_27075),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535630 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_73086),
+	.Y(n_15793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g535632 (
+	.A(n_15534),
+	.B(n_15522),
+	.C(n_29688),
+	.Y(n_15791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535633 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_27057),
+	.Y(n_15790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535634 (
+	.A(n_27076),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_15789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535635 (
+	.A(n_15634),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.Y(n_15788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535636 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.Y(n_15787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535638 (
+	.A(n_15634),
+	.B(n_73650),
+	.Y(n_15785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535641 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.B2(n_24997),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.Y(n_15782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535642 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.B2(n_24998),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.Y(n_15781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535643 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_27056),
+	.Y(n_15780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535644 (
+	.A(FE_DBTN90_n_15569),
+	.B(n_27055),
+	.Y(n_15779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535645 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.Y(n_15778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535646 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.B2(n_24997),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.Y(n_15777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g535648 (
+	.A1(n_43984),
+	.A2(n_24845),
+	.B1(n_15634),
+	.Y(n_15775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535650 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.B2(n_24997),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.Y(n_15773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535651 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.Y(n_15772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535652 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.B2(n_24997),
+	.C1(n_15555),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.Y(n_15771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535653 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.B2(n_24997),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.Y(n_15770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535654 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.B2(n_24998),
+	.C1(n_15549),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.Y(n_15769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535655 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.B2(n_24997),
+	.C1(n_15556),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.Y(n_15768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535656 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.Y(n_15767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535657 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.B2(n_24997),
+	.C1(n_15554),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.Y(n_15766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535658 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.B2(n_24997),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.Y(n_15765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535659 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.Y(n_15764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535660 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.B2(n_24998),
+	.C1(n_15548),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.Y(n_15763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535661 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.Y(n_15762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535662 (
+	.A(n_68795),
+	.B(n_70813),
+	.Y(n_15761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g535665 (
+	.A(n_15540),
+	.B(n_15543),
+	.C(n_15470),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535668 (
+	.A(n_68795),
+	.B(FE_DBTN35_n_54412),
+	.Y(n_15826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535673 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.X(n_15755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535674 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.B2(n_24997),
+	.C1(n_15553),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.Y(n_15754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535675 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.Y(n_15753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535676 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.B2(n_24998),
+	.C1(n_15551),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.Y(n_15752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535677 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.A2(n_29799),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.B2(n_24997),
+	.C1(n_15555),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.Y(n_15751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535678 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.X(n_15750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535679 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.Y(n_15749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535680 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.X(n_15748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535681 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.X(n_15747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535682 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.X(n_15746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535683 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.X(n_15745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535684 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.X(n_15744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535685 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.Y(n_15743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535686 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.X(n_15742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535687 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.X(n_15741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535688 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.X(n_15740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535689 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.Y(n_15739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535690 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.X(n_15738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535691 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.Y(n_15737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535692 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.X(n_15736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535693 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.X(n_15735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535694 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.Y(n_15734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535695 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.X(n_15733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535696 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.Y(n_15732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535697 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.X(n_15731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535698 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.Y(n_15730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535699 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.Y(n_15729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535700 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.Y(n_15728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535701 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.X(n_15727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535702 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.X(n_15726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535703 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.X(n_15725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535704 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.X(n_15724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535705 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.X(n_15723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535706 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.Y(n_15722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535707 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.Y(n_15721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g535708 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.A2(n_15491),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.B2(n_24998),
+	.C1(n_15557),
+	.C2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.Y(n_15720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535709 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.Y(n_15719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535710 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.X(n_15718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535711 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.X(n_15717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535712 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.X(n_15716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535713 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.X(n_15715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535714 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.Y(n_15714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535715 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.Y(n_15713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535716 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.X(n_15712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535717 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.X(n_15711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535718 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.X(n_15710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535719 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.X(n_15709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535720 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.Y(n_15708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535721 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.X(n_15707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535722 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.X(n_15706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535723 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.X(n_15705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535724 (
+	.A1(n_15555),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.B1(n_15554),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.Y(n_15704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535725 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.X(n_15703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535726 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.X(n_15702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535727 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.X(n_15701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535728 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.Y(n_15700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535729 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.X(n_15699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535730 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.Y(n_15698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535731 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.Y(n_15697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535732 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.X(n_15696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535733 (
+	.A1(n_15559),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.B1(n_15558),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.X(n_15695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535734 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.X(n_15694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535735 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.X(n_15693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535736 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.A2(n_15548),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.B2(n_15551),
+	.X(n_15692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535737 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.A2(n_15549),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.B2(n_15557),
+	.X(n_15691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535738 (
+	.A1(n_15548),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.B1(n_15551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.X(n_15690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535739 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.A2(n_15555),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.B2(n_15554),
+	.X(n_15689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535740 (
+	.A1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.A2(n_15556),
+	.B1(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.B2(n_15553),
+	.X(n_15688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535741 (
+	.A1(n_15549),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.B1(n_15557),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.X(n_15687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g535742 (
+	.A1(n_15556),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.B1(n_15553),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.Y(n_15686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g535743 (
+	.A1(n_15550),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.B1(n_15552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.X(n_15685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535748 (
+	.A(n_15672),
+	.Y(n_317667_BAR), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535753 (
+	.A(n_66849),
+	.B(n_67805),
+	.Y(n_15667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535769 (
+	.A_N(n_25161),
+	.B(n_15562),
+	.X(n_15677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535770 (
+	.A_N(n_25161),
+	.B(n_15564),
+	.X(n_15676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535771 (
+	.A_N(n_15560),
+	.B(n_15493),
+	.X(n_15675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535772 (
+	.A_N(n_25162),
+	.B(n_15564),
+	.X(n_15674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535773 (
+	.A_N(n_25161),
+	.B(n_15561),
+	.X(n_15673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g535774 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_15672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535778 (
+	.A(n_68795),
+	.Y(n_15648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535791 (
+	.A(n_15634),
+	.Y(n_15635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535800 (
+	.A(n_15570),
+	.Y(n_15613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535838 (
+	.A(n_15553),
+	.B(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.Y(n_15575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g535845 (
+	.A(n_15542),
+	.B(n_66540),
+	.Y(n_15653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535849 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.B(n_15516),
+	.X(n_15634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535850 (
+	.A(n_15563),
+	.B(n_15514),
+	.X(n_15633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535851 (
+	.A_N(n_25162),
+	.B(n_15563),
+	.X(n_15632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535852 (
+	.A(n_15562),
+	.B(n_15493),
+	.X(n_15631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535853 (
+	.A_N(n_25161),
+	.B(n_15563),
+	.X(n_15630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535854 (
+	.A(n_15563),
+	.B(n_15493),
+	.X(n_15629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535855 (
+	.A(n_15564),
+	.B(n_15514),
+	.X(n_15628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535856 (
+	.A(n_15562),
+	.B(n_15514),
+	.X(n_15627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535857 (
+	.A(n_15561),
+	.B(n_15514),
+	.X(n_15626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535858 (
+	.A(n_15564),
+	.B(n_15493),
+	.X(n_15625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535859 (
+	.A(n_34198),
+	.B(soc_top_u_top_u_core_use_fp_rs2),
+	.X(n_15624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535860 (
+	.A_N(n_25162),
+	.B(n_15561),
+	.X(n_15623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535861 (
+	.A_N(n_25162),
+	.B(n_15562),
+	.X(n_15622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535862 (
+	.A(n_14534),
+	.B(n_13920),
+	.Y(n_15570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535863 (
+	.A(n_14534),
+	.B(n_13920),
+	.Y(n_15569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535864 (
+	.A(n_15546),
+	.Y(n_15568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535868 (
+	.A(n_15560),
+	.Y(n_15561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g535869 (
+	.A_N(n_25356),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_15547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g535870 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ),
+	.C(n_32877),
+	.D(n_73770),
+	.Y(n_15546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535872 (
+	.A(n_15475),
+	.B(n_25113),
+	.Y(n_15565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535873 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(n_15495),
+	.Y(n_15564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535874 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.B(n_15497),
+	.Y(n_15563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g535875 (
+	.A(n_15495),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_15562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535876 (
+	.A(n_15496),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_15560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535877 (
+	.A_N(n_25160),
+	.B(n_15514),
+	.X(n_15559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535878 (
+	.A_N(n_25160),
+	.B(n_15493),
+	.X(n_15558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535879 (
+	.A_N(n_25162),
+	.B(n_15494),
+	.X(n_15557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535880 (
+	.A_N(n_25161),
+	.B(n_15515),
+	.X(n_15556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535881 (
+	.A(n_15515),
+	.B(n_15514),
+	.X(n_15555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535882 (
+	.A(n_15515),
+	.B(n_15493),
+	.X(n_15554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535883 (
+	.A_N(n_25162),
+	.B(n_15515),
+	.X(n_15553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535884 (
+	.A_N(n_25158),
+	.B(n_15493),
+	.X(n_15552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535885 (
+	.A(n_15493),
+	.B(n_15494),
+	.X(n_15551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535886 (
+	.A_N(n_25158),
+	.B(n_15514),
+	.X(n_15550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535887 (
+	.A_N(n_25161),
+	.B(n_15494),
+	.X(n_15549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g535888 (
+	.A(n_15494),
+	.B(n_15514),
+	.X(n_15548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535890 (
+	.A(n_69350),
+	.Y(n_15543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g535892 (
+	.A(n_15521),
+	.Y(n_15542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g535894 (
+	.A(n_15520),
+	.Y(n_15540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g535897 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_15536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g535899 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_15534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g535903 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.Y(n_15530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g535907 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_15526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g535908 (
+	.A1(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.A2(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.X(n_15525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g535911 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_15522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535912 (
+	.A(n_73524),
+	.B(n_15509),
+	.Y(n_15521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g535915 (
+	.A(n_70029),
+	.B(FE_DBTN28_n_73723),
+	.C(n_70813),
+	.Y(n_15520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g535916 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(n_25283),
+	.C_N(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535921 (
+	.A(n_15516),
+	.Y(n_13920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535926 (
+	.A(n_30147),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.Y(n_15509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535932 (
+	.A(n_49744),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_15503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535934 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.Y(n_15501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535936 (
+	.A(n_73770),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ),
+	.Y(n_25386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535937 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ),
+	.Y(n_25117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g535938 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(n_25416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535939 (
+	.A(n_24845),
+	.B(n_15344),
+	.Y(n_15516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535940 (
+	.A(n_25112),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_15515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g535941 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_15514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g535944 (
+	.A(n_15496),
+	.Y(n_15497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535957 (
+	.A(n_33210),
+	.B(n_27082),
+	.Y(n_15478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535958 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_15477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535959 (
+	.A(n_43984),
+	.B(n_54412),
+	.Y(n_15476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535960 (
+	.A(n_68365),
+	.B(n_19051),
+	.Y(n_15475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g535961 (
+	.A(n_68796),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_15474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g535963 (
+	.A(n_73650),
+	.B(n_68796),
+	.Y(n_15472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535965 (
+	.A(n_43984),
+	.B(n_68796),
+	.Y(n_15470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g535966 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B_N(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_15496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535967 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_15495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g535968 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.X(n_25356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g535969 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535970 (
+	.A(n_25191),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_15494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g535971 (
+	.A(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_15493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g535973 (
+	.A_N(n_25158),
+	.B(n_15281),
+	.X(n_15491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g535974 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.Y(n_15483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535984 (
+	.A(n_27084),
+	.Y(n_15460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536006 (
+	.A(n_69523),
+	.Y(n_15438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536027 (
+	.A(n_30147),
+	.Y(n_15417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536032 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.Y(n_15412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g536082 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.Y(n_15362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g536083 (
+	.A(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_15361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g536094 (
+	.A(n_48678),
+	.Y(n_15350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536100 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.Y(n_15344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g536106 (
+	.A(n_48306),
+	.Y(n_12855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g536117 (
+	.A(n_51477),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g536132 (
+	.A(n_36786),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g536137 (
+	.A(n_36105),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g536142 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.Y(n_15302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536163 (
+	.A(n_25161),
+	.Y(n_15281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_reg  (
+	.CLK(CTS_90),
+	.D(n_63832),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490507 (
+	.A(n_15258),
+	.B(n_15220),
+	.Y(n_26986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g490508 (
+	.A1_N(n_14994),
+	.A2_N(n_15214),
+	.B1(n_15090),
+	.B2(n_15251),
+	.Y(n_26985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490509 (
+	.A(n_15252),
+	.B(n_66549),
+	.Y(n_15261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490510 (
+	.A(n_15252),
+	.B(n_15175),
+	.Y(n_15260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490512 (
+	.A(n_15252),
+	.B(n_32968),
+	.Y(n_15258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490513 (
+	.A(n_15250),
+	.B(n_15253),
+	.Y(n_26984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490517 (
+	.A(n_15253),
+	.Y(n_15254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490518 (
+	.A(n_15251),
+	.Y(n_15252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490519 (
+	.A(n_25291),
+	.B(n_73753),
+	.Y(n_27007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490520 (
+	.A(n_25291),
+	.B(n_66161),
+	.Y(n_27021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490521 (
+	.A(n_25291),
+	.B(n_66164),
+	.Y(n_27020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490522 (
+	.A(n_25291),
+	.B(n_66142),
+	.Y(n_27019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490523 (
+	.A(n_25291),
+	.B(n_66144),
+	.Y(n_27018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490524 (
+	.A(n_25291),
+	.B(n_66147),
+	.Y(n_27017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490526 (
+	.A(n_25291),
+	.B(n_66143),
+	.Y(n_27015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490531 (
+	.A(n_25291),
+	.B(n_66157),
+	.Y(n_27010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490532 (
+	.A(n_25291),
+	.B(n_66158),
+	.Y(n_27009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490533 (
+	.A(n_25291),
+	.B(n_66154),
+	.Y(n_27008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490534 (
+	.A(n_15249),
+	.B(n_15208),
+	.Y(n_15253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490535 (
+	.A(n_15249),
+	.B(n_15210),
+	.Y(n_15251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g490536 (
+	.A_N(n_27029),
+	.B(n_25291),
+	.Y(n_26997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490538 (
+	.A(n_25291),
+	.B(n_66150),
+	.Y(n_27004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g490540 (
+	.A_N(n_27034),
+	.B(n_25291),
+	.Y(n_27002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490541 (
+	.A(n_25291),
+	.B(n_66162),
+	.Y(n_27001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490542 (
+	.A(n_25291),
+	.B(n_66152),
+	.Y(n_27000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490543 (
+	.A(n_25291),
+	.B(n_66149),
+	.Y(n_26999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490544 (
+	.A(n_25291),
+	.B(n_66159),
+	.Y(n_26998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g490545 (
+	.A_N(n_27038),
+	.B(n_25291),
+	.Y(n_27006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490548 (
+	.A(n_25291),
+	.B(n_66151),
+	.Y(n_26994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490549 (
+	.A(n_25291),
+	.B(n_66165),
+	.Y(n_26993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490553 (
+	.A(n_15234),
+	.B(n_15235),
+	.Y(n_15250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490568 (
+	.A(n_15219),
+	.B(n_15232),
+	.Y(n_15249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490569 (
+	.A(n_15231),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_25291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g490570 (
+	.A(n_15232),
+	.B(n_15229),
+	.Y(n_15235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490571 (
+	.A(n_15233),
+	.B(n_15206),
+	.Y(n_15234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490572 (
+	.A(n_15227),
+	.B(n_14931),
+	.Y(n_15233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490574 (
+	.A(n_61858),
+	.B(n_14917),
+	.Y(n_15231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490575 (
+	.A(n_15226),
+	.B(n_14931),
+	.Y(n_15232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490577 (
+	.A(n_15221),
+	.B(n_27094),
+	.Y(n_15229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490579 (
+	.A(n_27093),
+	.B(n_73903),
+	.Y(n_15226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g490581 (
+	.A(n_27093),
+	.B(n_15212),
+	.Y(n_15227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490586 (
+	.A(n_15214),
+	.B(n_15079),
+	.Y(n_15220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490587 (
+	.A(n_15211),
+	.B(n_14931),
+	.Y(n_15219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490588 (
+	.A(n_61856),
+	.B(n_15207),
+	.Y(n_15221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490593 (
+	.A(n_15205),
+	.B(n_15196),
+	.Y(n_15213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490594 (
+	.A(n_66137),
+	.B(n_15193),
+	.Y(n_15212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490595 (
+	.A(n_27092),
+	.B(n_15198),
+	.Y(n_15211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g490596 (
+	.A(n_15196),
+	.B(n_15204),
+	.Y(n_15214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g490597 (
+	.A(n_61856),
+	.Y(n_15210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g490598 (
+	.A(n_15207),
+	.Y(n_15208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490599 (
+	.A(n_15205),
+	.B(n_15201),
+	.Y(n_15206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g490601 (
+	.A(n_15187),
+	.B(n_15189),
+	.C(n_15194),
+	.Y(n_15207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g490602 (
+	.A1_N(n_15194),
+	.A2_N(n_15188),
+	.B1(n_15194),
+	.B2(n_15188),
+	.Y(n_27093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490603 (
+	.A(n_15204),
+	.Y(n_15205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490604 (
+	.A(n_15187),
+	.B(n_15194),
+	.Y(n_15203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490605 (
+	.A(n_30455),
+	.B(n_961),
+	.Y(n_15204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490606 (
+	.A(n_66137),
+	.Y(n_27092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490608 (
+	.A(n_15195),
+	.B(n_27094),
+	.Y(n_15201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490611 (
+	.A(n_15152),
+	.B(n_15192),
+	.Y(n_15198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490614 (
+	.A(n_15195),
+	.Y(n_15196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490615 (
+	.A(n_15181),
+	.B(n_15176),
+	.Y(n_15193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490616 (
+	.A(n_30461),
+	.B(n_15182),
+	.Y(n_15195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g490617 (
+	.A(n_15191),
+	.B(n_14974),
+	.Y(n_15194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490619 (
+	.A(n_15176),
+	.Y(n_15192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g490620 (
+	.A(n_15170),
+	.Y(n_15191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490621 (
+	.A(n_15189),
+	.Y(n_15190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490622 (
+	.A(n_15188),
+	.Y(n_15187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490623 (
+	.A(n_15182),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490624 (
+	.A(n_62793),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490629 (
+	.A(n_67835),
+	.Y(n_15182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g490630 (
+	.A(n_15148),
+	.B(n_15152),
+	.C(n_27094),
+	.Y(n_15181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490633 (
+	.A(n_62793),
+	.Y(n_15178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g490634 (
+	.A(n_15148),
+	.B(n_27090),
+	.Y(n_15175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490636 (
+	.A1(n_15156),
+	.A2(n_15144),
+	.B1(n_15170),
+	.Y(n_15176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490637 (
+	.A(n_15159),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490641 (
+	.A(n_15153),
+	.B(n_15159),
+	.Y(n_15169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490644 (
+	.A(n_15144),
+	.B(n_15156),
+	.Y(n_15170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g490653 (
+	.A(n_14968),
+	.B(n_15137),
+	.Y(n_15159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490656 (
+	.A(n_15127),
+	.B(n_15149),
+	.Y(n_15155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490658 (
+	.A1(soc_top_u_top_u_core_fp_alu_op_mod),
+	.A2(n_15127),
+	.B1(n_15149),
+	.Y(n_15153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490659 (
+	.A(n_15136),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g490660 (
+	.A(n_27090),
+	.Y(n_15152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490661 (
+	.A(n_15146),
+	.B(n_15111),
+	.Y(n_26202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490662 (
+	.A(n_15147),
+	.B(n_15110),
+	.Y(n_26200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490666 (
+	.A(n_15136),
+	.Y(n_15149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490667 (
+	.A(n_15143),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_15147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490668 (
+	.A(n_15143),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_15146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490670 (
+	.A(n_15106),
+	.B(n_63280),
+	.Y(n_15148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490671 (
+	.A(n_15138),
+	.B(n_15105),
+	.Y(n_26203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490672 (
+	.A(n_15139),
+	.B(n_15104),
+	.Y(n_26204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490673 (
+	.A(n_15140),
+	.B(n_15103),
+	.Y(n_26205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490674 (
+	.A(n_15115),
+	.B(n_15134),
+	.Y(n_15144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490677 (
+	.A(n_15126),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_15140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490678 (
+	.A(n_15126),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_15139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490679 (
+	.A(n_15126),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_15138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490681 (
+	.A(n_15125),
+	.B(n_14838),
+	.Y(n_15143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490685 (
+	.A(n_15129),
+	.B(n_15085),
+	.Y(n_26208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490687 (
+	.A(n_15130),
+	.B(n_14954),
+	.Y(n_15137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g490688 (
+	.A(n_14963),
+	.B(n_15108),
+	.Y(n_15136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490689 (
+	.A(n_15132),
+	.B(n_14683),
+	.Y(n_15134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490690 (
+	.A(n_15109),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490692 (
+	.A(n_15108),
+	.B(n_29820),
+	.Y(n_15130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490693 (
+	.A(n_15122),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_15129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490695 (
+	.A(n_15125),
+	.Y(n_15126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490698 (
+	.A(n_15121),
+	.B(n_15070),
+	.Y(n_26210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g490699 (
+	.A1(n_15114),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B1_N(n_15069),
+	.X(n_26209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490700 (
+	.A(n_15109),
+	.B(n_15095),
+	.Y(n_15127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490701 (
+	.A(n_14839),
+	.B(n_15122),
+	.Y(n_15125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490703 (
+	.A(n_15114),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_15121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g490704 (
+	.A1(n_25337),
+	.A2(n_14675),
+	.B1(n_15113),
+	.Y(n_15122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490705 (
+	.A1(n_15097),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B1(n_25581),
+	.B2(n_14927),
+	.X(n_26212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g490706 (
+	.A1_N(n_14927),
+	.A2_N(n_25583),
+	.B1(n_55),
+	.B2(n_15098),
+	.Y(n_26214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g490707 (
+	.A1_N(n_14927),
+	.A2_N(n_25582),
+	.B1(n_36),
+	.B2(n_15098),
+	.Y(n_26213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490712 (
+	.A(n_15113),
+	.Y(n_15114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490714 (
+	.A(n_26260),
+	.B(n_14927),
+	.Y(n_15111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490715 (
+	.A(n_26258),
+	.B(n_14927),
+	.Y(n_15110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490716 (
+	.A1(n_14534),
+	.A2(n_29823),
+	.B1(n_29856),
+	.Y(n_15119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490717 (
+	.A(n_15039),
+	.B(n_15096),
+	.Y(n_15115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490718 (
+	.A(n_14849),
+	.B(n_15097),
+	.Y(n_15113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g490719 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.A2_N(n_15082),
+	.B1(n_14928),
+	.B2(n_14990),
+	.Y(n_26217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g490720 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.A2_N(n_15082),
+	.B1(n_14928),
+	.B2(n_14992),
+	.Y(n_26216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g490721 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.A2_N(n_15082),
+	.B1(n_14928),
+	.B2(n_14991),
+	.Y(n_26215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g490722 (
+	.A(n_14962),
+	.B(n_15083),
+	.Y(n_15109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490723 (
+	.A(n_15094),
+	.B(n_73908),
+	.Y(n_15108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490724 (
+	.A(n_29819),
+	.B(n_15100),
+	.Y(n_27088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490726 (
+	.A(n_26261),
+	.B(n_14927),
+	.Y(n_15105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490727 (
+	.A(n_26262),
+	.B(n_14927),
+	.Y(n_15104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490728 (
+	.A(n_26263),
+	.B(n_14927),
+	.Y(n_15103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490730 (
+	.A(n_15088),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_26260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490731 (
+	.A(n_15088),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_26259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490732 (
+	.A(n_15088),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_26258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490733 (
+	.A(n_15090),
+	.B(n_15079),
+	.Y(n_15106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490736 (
+	.A(n_15097),
+	.Y(n_15098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490737 (
+	.A1(n_15076),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_25589),
+	.B2(n_14927),
+	.X(n_26220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g490738 (
+	.A(n_30492),
+	.B(n_15080),
+	.Y(n_15096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490739 (
+	.A1(n_15076),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_25587),
+	.B2(n_14927),
+	.X(n_26218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490740 (
+	.A(n_15063),
+	.B(n_29823),
+	.Y(n_15095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490741 (
+	.A(n_15083),
+	.B(n_14951),
+	.Y(n_15094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490742 (
+	.A1(n_15076),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_25588),
+	.B2(n_14927),
+	.X(n_26219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490743 (
+	.A(n_15039),
+	.B(n_15079),
+	.Y(n_15100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g490744 (
+	.A1(n_25338),
+	.A2(n_14675),
+	.B1(n_15081),
+	.Y(n_15097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g490749 (
+	.A(n_15044),
+	.Y(n_15090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490752 (
+	.A(n_26265),
+	.B(n_14927),
+	.Y(n_15086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490753 (
+	.A(n_26266),
+	.B(n_14927),
+	.Y(n_15085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490754 (
+	.A(n_15075),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_26263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490755 (
+	.A(n_15075),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_26261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490756 (
+	.A(n_15075),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_26262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490757 (
+	.A(n_15074),
+	.B(n_25146),
+	.Y(n_15088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490758 (
+	.A(n_15078),
+	.Y(n_26310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490759 (
+	.A(n_15081),
+	.Y(n_15082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490760 (
+	.A(n_15080),
+	.Y(n_15079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490761 (
+	.A1(n_15041),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B1(n_25592),
+	.B2(n_14927),
+	.X(n_26223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490762 (
+	.A1(n_15041),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B1(n_25591),
+	.B2(n_14927),
+	.X(n_26222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490763 (
+	.A1(n_14997),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.C2(n_29872),
+	.Y(n_15078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490764 (
+	.A1(n_15041),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_25590),
+	.B2(n_14927),
+	.X(n_26221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490766 (
+	.A(n_15059),
+	.B(n_14892),
+	.Y(n_15083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490767 (
+	.A(n_14837),
+	.B(n_15076),
+	.Y(n_15081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490768 (
+	.A(n_15072),
+	.B(n_14684),
+	.Y(n_15080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490769 (
+	.A(n_15073),
+	.Y(n_26328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490770 (
+	.A(n_15068),
+	.Y(n_26311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490771 (
+	.A(n_15067),
+	.Y(n_26312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490772 (
+	.A(n_15066),
+	.Y(n_26313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490773 (
+	.A(n_15065),
+	.Y(n_26314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490774 (
+	.A(n_15064),
+	.Y(n_26315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490775 (
+	.A(n_15062),
+	.Y(n_26331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490776 (
+	.A(n_15061),
+	.Y(n_26330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490777 (
+	.A(n_15060),
+	.Y(n_26329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490778 (
+	.A(n_15058),
+	.Y(n_26327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490779 (
+	.A(n_15057),
+	.Y(n_26326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490780 (
+	.A(n_15056),
+	.Y(n_26325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490781 (
+	.A(n_15055),
+	.Y(n_26324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490782 (
+	.A(n_15054),
+	.Y(n_26323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490783 (
+	.A(n_15053),
+	.Y(n_26322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490784 (
+	.A(n_15052),
+	.Y(n_26321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490785 (
+	.A(n_15051),
+	.Y(n_26320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490786 (
+	.A(n_15050),
+	.Y(n_26319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490787 (
+	.A(n_15049),
+	.Y(n_26318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490788 (
+	.A(n_15048),
+	.Y(n_26317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490790 (
+	.A(n_15074),
+	.Y(n_15075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490791 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.C2(n_14997),
+	.Y(n_15073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490792 (
+	.A(n_14996),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_15072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490794 (
+	.A(n_25579),
+	.B(n_14927),
+	.Y(n_15070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490795 (
+	.A(n_26267),
+	.B(n_14927),
+	.Y(n_15069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490796 (
+	.A1(n_14997),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.C2(n_14686),
+	.Y(n_15068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490797 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.C2(n_14997),
+	.Y(n_15067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490798 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.C2(n_14997),
+	.Y(n_15066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490799 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.C2(n_14997),
+	.Y(n_15065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g490800 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.A2(n_14997),
+	.B1(n_26254),
+	.X(n_26307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490801 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.C2(n_14997),
+	.Y(n_15064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g490802 (
+	.A(n_14996),
+	.B(n_14972),
+	.C(n_14922),
+	.Y(n_15063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490803 (
+	.A1(n_15037),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.B1(n_14946),
+	.B2(n_14927),
+	.X(n_26225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490804 (
+	.A1(n_14997),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.C1(n_14686),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.Y(n_15062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490805 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.C2(n_14997),
+	.Y(n_15061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490806 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.C2(n_14997),
+	.Y(n_15060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490807 (
+	.A(n_14995),
+	.B(n_14891),
+	.Y(n_15059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490808 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.C2(n_14997),
+	.Y(n_15058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490809 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.C2(n_14997),
+	.Y(n_15057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490810 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.C2(n_14997),
+	.Y(n_15056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490811 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.C2(n_14997),
+	.Y(n_15055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490812 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.C2(n_14997),
+	.Y(n_15054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490813 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.C2(n_14997),
+	.Y(n_15053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490814 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.C2(n_14997),
+	.Y(n_15052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490815 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.C2(n_14997),
+	.Y(n_15051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490816 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.C2(n_14997),
+	.Y(n_15050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490817 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.C2(n_14997),
+	.Y(n_15049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490818 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.C2(n_14997),
+	.Y(n_15048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490819 (
+	.A(n_15042),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_26264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490820 (
+	.A(n_15042),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_26266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490821 (
+	.A(n_15042),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_26265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g490822 (
+	.A1(n_14675),
+	.A2(n_25365),
+	.B1(n_15040),
+	.Y(n_15076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490823 (
+	.A(n_26273),
+	.B(n_15042),
+	.Y(n_15074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490824 (
+	.A(n_15047),
+	.Y(n_26316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490825 (
+	.A(n_15046),
+	.Y(n_26308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490826 (
+	.A(n_15045),
+	.Y(n_26309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490827 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.B1(n_14686),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.C2(n_14997),
+	.Y(n_15047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490828 (
+	.A1(n_29872),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.B2(n_14686),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.C2(n_14997),
+	.Y(n_15046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g490829 (
+	.A1(n_14997),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.C2(n_14686),
+	.Y(n_15045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490830 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.X(n_26282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490831 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.A2(n_14997),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.B2(n_29872),
+	.X(n_26332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490832 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.A2(n_14997),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.B2(n_29872),
+	.X(n_26306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490833 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.A2(n_14997),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.B2(n_29872),
+	.X(n_26305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490834 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.X(n_26304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490835 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.X(n_26303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490836 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.X(n_26302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490837 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.X(n_26301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490838 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.X(n_26300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490839 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.X(n_26299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490840 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.X(n_26298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490841 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.X(n_26297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490842 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.X(n_26296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490843 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.X(n_26295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490844 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.X(n_26294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490845 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.X(n_26293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490846 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.X(n_26292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490847 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.X(n_26291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490848 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.X(n_26289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490849 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.X(n_26288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490850 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.X(n_26287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490851 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.X(n_26286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490852 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.X(n_26285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490853 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.X(n_26284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490854 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.X(n_26283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490855 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.X(n_26281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490856 (
+	.A1(n_14997),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.X(n_26280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490857 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.X(n_26279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490858 (
+	.A1(n_15037),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.B1(n_25593),
+	.B2(n_14927),
+	.X(n_26224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490859 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.A2(n_14997),
+	.B1(n_29872),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.X(n_26290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g490860 (
+	.A_N(n_15039),
+	.B(n_15038),
+	.Y(n_15044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490862 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.B(n_14997),
+	.X(n_26278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490863 (
+	.A(n_15037),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.X(n_26226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490864 (
+	.A(n_15036),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_26267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490865 (
+	.A(n_15036),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_25580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490866 (
+	.A(n_15036),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_25579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490867 (
+	.A(n_15035),
+	.B(n_25337),
+	.Y(n_15042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g490868 (
+	.A(n_15040),
+	.Y(n_15041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490869 (
+	.A(n_14993),
+	.B(n_27094),
+	.Y(n_15038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490870 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.B(n_14997),
+	.X(n_26275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490871 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.B(n_14997),
+	.X(n_26277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g490872 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.A2(n_14985),
+	.B1(n_26254),
+	.X(n_26229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490873 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.B(n_14997),
+	.X(n_26276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490874 (
+	.A(n_14850),
+	.B(n_15037),
+	.Y(n_15040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490875 (
+	.A(n_27094),
+	.B(n_14993),
+	.Y(n_15039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490876 (
+	.A(n_15035),
+	.Y(n_15036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490914 (
+	.A(n_14985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.X(n_26228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g490915 (
+	.A(n_14985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.X(n_26227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490916 (
+	.A(n_25439),
+	.B(n_14987),
+	.Y(n_26196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490917 (
+	.A(n_14986),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(n_25582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490918 (
+	.A(n_14986),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_25581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490919 (
+	.A(n_14986),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Y(n_25583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g490920 (
+	.A1(n_14675),
+	.A2(n_25364),
+	.B1(n_14984),
+	.Y(n_15037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490921 (
+	.A(n_29832),
+	.B(n_14986),
+	.Y(n_15035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g490922 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_14997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g490923 (
+	.A(n_14993),
+	.Y(n_14994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g490924 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.A2(n_14977),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.B2(n_14740),
+	.C1(n_14822),
+	.X(n_26230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g490925 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.A2(n_14977),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.B2(n_14740),
+	.C1(n_14824),
+	.X(n_26232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490926 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.B1(n_14981),
+	.Y(n_26235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490927 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.B1(n_14982),
+	.Y(n_26234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490928 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.B1(n_14983),
+	.Y(n_26233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g490929 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.A2(n_14977),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.B2(n_14740),
+	.C1(n_14823),
+	.X(n_26231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g490930 (
+	.A(n_14908),
+	.B(n_14979),
+	.Y(n_14996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490931 (
+	.A(n_14988),
+	.B(n_29825),
+	.Y(n_14995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g490932 (
+	.A(n_14989),
+	.B(n_29857),
+	.Y(n_14993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490933 (
+	.A(n_25585),
+	.Y(n_14992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490934 (
+	.A(n_25584),
+	.Y(n_14991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g490935 (
+	.A(n_25586),
+	.Y(n_14990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490936 (
+	.A(n_14972),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_14989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490937 (
+	.A(n_14979),
+	.B(n_14889),
+	.Y(n_14988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490938 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]),
+	.Y(n_14987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490940 (
+	.A(n_14976),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_25585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490941 (
+	.A(n_14976),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_25584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490942 (
+	.A(n_14976),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_25586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490943 (
+	.A(n_14984),
+	.Y(n_14985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490944 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.B1(n_14971),
+	.Y(n_26238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490945 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.A2(n_14961),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.B2(n_14740),
+	.Y(n_14983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490946 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B1(n_14970),
+	.Y(n_26237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490947 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.B1(n_14969),
+	.Y(n_26236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490948 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.A2(n_14961),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.B2(n_14740),
+	.Y(n_14982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490949 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.A2(n_14961),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.B2(n_14740),
+	.Y(n_14981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490950 (
+	.A(n_14975),
+	.B(n_25338),
+	.Y(n_14986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490951 (
+	.A(n_14836),
+	.B(n_14977),
+	.Y(n_14984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490954 (
+	.A(n_14975),
+	.Y(n_14976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490955 (
+	.A(n_14968),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_14974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490956 (
+	.A(n_14966),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_25587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490957 (
+	.A(n_14966),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Y(n_25588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490958 (
+	.A(n_14966),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Y(n_25589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490960 (
+	.A(n_14965),
+	.B(n_14906),
+	.Y(n_14979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g490961 (
+	.A1(n_14675),
+	.A2(n_25362),
+	.B1(n_14960),
+	.Y(n_14977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g490962 (
+	.A(n_26271),
+	.B(n_14966),
+	.Y(n_14975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490964 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B1(n_14957),
+	.Y(n_26241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490965 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.B1(n_14959),
+	.Y(n_26240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490966 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.B1(n_14958),
+	.Y(n_26239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490967 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.A2(n_14945),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.B2(n_14740),
+	.Y(n_14971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490968 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.A2(n_14945),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B2(n_14740),
+	.Y(n_14970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490969 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.A2(n_14945),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.B2(n_14740),
+	.Y(n_14969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g490970 (
+	.A1_N(n_14912),
+	.A2_N(n_14923),
+	.B1(n_14912),
+	.B2(n_14923),
+	.Y(n_14972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g490971 (
+	.A(n_14943),
+	.B(n_14894),
+	.C(n_14942),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g490972 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(n_14964),
+	.Y(n_27094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490974 (
+	.A(n_14921),
+	.B(n_14912),
+	.Y(n_14965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490975 (
+	.A(n_14922),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_14964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g490976 (
+	.A_N(n_14947),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Y(n_25591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490977 (
+	.A(n_14926),
+	.B(n_14938),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490978 (
+	.A(n_29820),
+	.B(n_14954),
+	.Y(n_14963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g490979 (
+	.A_N(n_14947),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_25590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g490980 (
+	.A_N(n_14947),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_25592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490981 (
+	.A(n_14951),
+	.B(n_73908),
+	.Y(n_14962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490982 (
+	.A(n_29834),
+	.B(n_66550),
+	.Y(n_14968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g490983 (
+	.A(n_14947),
+	.B(n_25365),
+	.Y(n_14966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g490984 (
+	.A(n_14960),
+	.Y(n_14961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490985 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.A2(n_14930),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.B2(n_14740),
+	.Y(n_14959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490986 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.B1(n_14939),
+	.Y(n_26243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490987 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.B1(n_14941),
+	.Y(n_26242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g490988 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.B1(n_14940),
+	.Y(n_26244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490989 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.A2(n_14930),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.B2(n_14740),
+	.Y(n_14958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490990 (
+	.A1(n_14927),
+	.A2(n_26257),
+	.B1(n_14676),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.X(n_26199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g490991 (
+	.A1(n_14927),
+	.A2(n_26256),
+	.B1(n_14676),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.X(n_26198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g490992 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.A2(n_14930),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B2(n_14740),
+	.Y(n_14957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g490994 (
+	.A(n_14840),
+	.B(n_14945),
+	.Y(n_14960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490997 (
+	.A(n_14932),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.Y(n_14946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g490999 (
+	.A(n_29824),
+	.B(n_32971),
+	.Y(n_14954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491002 (
+	.A(n_14935),
+	.B(n_14815),
+	.Y(n_14951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491004 (
+	.A(n_14932),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.Y(n_25593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g491006 (
+	.A(n_14828),
+	.B(n_14932),
+	.Y(n_14947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491007 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.B1(n_14918),
+	.Y(n_26247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g491009 (
+	.A1(n_13908),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.B1(n_14895),
+	.Y(n_14943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g491010 (
+	.A1(n_14916),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.B1(n_14896),
+	.Y(n_14942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491011 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.B1(n_14919),
+	.Y(n_26245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491012 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.A2(n_14903),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.B2(n_14740),
+	.Y(n_14941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491013 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.B1(n_14920),
+	.Y(n_26246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491014 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.A2(n_14903),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.B2(n_14740),
+	.Y(n_14940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491015 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.A2(n_14903),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.B2(n_14740),
+	.Y(n_14939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g491016 (
+	.A1(n_13908),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.B1(n_67102),
+	.Y(n_14938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g491017 (
+	.A1(n_14675),
+	.A2(n_25334),
+	.B1(n_14929),
+	.Y(n_14945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491020 (
+	.A(n_14904),
+	.Y(n_14935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491022 (
+	.A(n_14929),
+	.Y(n_14930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g491023 (
+	.A(n_14928),
+	.Y(n_14927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491024 (
+	.A(n_14897),
+	.B(n_14898),
+	.Y(n_14926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g491028 (
+	.A(n_30495),
+	.B(n_29574),
+	.C(n_14845),
+	.Y(n_14932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491029 (
+	.A(n_14917),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_14931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g491030 (
+	.A(n_14855),
+	.B(n_14903),
+	.Y(n_14929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491031 (
+	.A1(n_26268),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_14928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g491032 (
+	.A1(n_14688),
+	.A2(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B1(n_14687),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.C1(n_14873),
+	.Y(n_14921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491033 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.B1(n_14899),
+	.Y(n_26248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491034 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.B1(n_14900),
+	.Y(n_26250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491035 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.A2(n_14875),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.B2(n_14740),
+	.Y(n_14920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491036 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.A2(n_14875),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.B2(n_14740),
+	.Y(n_14919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491037 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.A2(n_14875),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.B2(n_14740),
+	.Y(n_14918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491038 (
+	.A1(n_14738),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.B1(n_14901),
+	.Y(n_26249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491039 (
+	.A(n_14906),
+	.B(n_30494),
+	.Y(n_14923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491040 (
+	.A(n_66558),
+	.B(n_14912),
+	.Y(n_14922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491041 (
+	.A(n_25372),
+	.Y(n_14916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491042 (
+	.A(n_13908),
+	.Y(n_25149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491045 (
+	.A(n_12762),
+	.B(n_14871),
+	.Y(n_26197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g491047 (
+	.A_N(n_25360),
+	.B(n_1029),
+	.Y(n_14909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491048 (
+	.A(n_14871),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_26257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491049 (
+	.A(n_14871),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_26256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491050 (
+	.A(n_29825),
+	.B(n_14889),
+	.Y(n_14908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491051 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_14917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491052 (
+	.A(n_26268),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_25372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g491053 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.B(n_14871),
+	.Y(n_13908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491054 (
+	.A(n_14892),
+	.B(n_14891),
+	.Y(n_14913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491055 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B(n_14872),
+	.Y(n_14912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491058 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.A2(n_14843),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.B2(n_14740),
+	.Y(n_14901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491059 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.A2(n_14843),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.B2(n_14740),
+	.Y(n_14900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g491060 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.A2(n_14843),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.B2(n_14740),
+	.Y(n_14899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491061 (
+	.A(n_14886),
+	.B(n_14887),
+	.Y(n_14898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491062 (
+	.A(n_14885),
+	.B(n_14884),
+	.Y(n_14897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491063 (
+	.A(n_14866),
+	.B(n_14865),
+	.Y(n_14896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491064 (
+	.A(n_14870),
+	.B(n_14869),
+	.Y(n_14895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491065 (
+	.A(n_14867),
+	.B(n_14868),
+	.X(n_14894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g491066 (
+	.A(n_14814),
+	.B(n_14754),
+	.C(n_14872),
+	.Y(n_14906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491068 (
+	.A(n_29824),
+	.B(n_29835),
+	.Y(n_14904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491069 (
+	.A(n_14874),
+	.B(n_14853),
+	.Y(n_14903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g491070 (
+	.A(n_14746),
+	.B(n_14742),
+	.C(n_14536),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.Y(n_14888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491071 (
+	.A_N(n_14857),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.Y(n_14887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491072 (
+	.A_N(n_14856),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.Y(n_14886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491073 (
+	.A_N(n_25371),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.Y(n_14885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491074 (
+	.A_N(n_25370),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.Y(n_14884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491075 (
+	.A_N(n_14841),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.Y(n_14883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491077 (
+	.A_N(n_14679),
+	.B(n_29854),
+	.Y(n_14893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491078 (
+	.A(n_14847),
+	.B(n_14859),
+	.Y(n_14892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491079 (
+	.A(n_14846),
+	.B(n_14751),
+	.Y(n_14891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491081 (
+	.A(n_14860),
+	.B(n_14814),
+	.Y(n_14889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491082 (
+	.A(n_25287),
+	.B(n_14535),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491088 (
+	.A(n_14874),
+	.Y(n_14875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491089 (
+	.A(n_14873),
+	.Y(n_14872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491090 (
+	.A(n_26268),
+	.Y(n_14871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g491091 (
+	.A(n_14825),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_14870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g491092 (
+	.A(n_14825),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.C(n_14536),
+	.Y(n_14869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491093 (
+	.A_N(n_14857),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.Y(n_14868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491094 (
+	.A_N(n_14856),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.Y(n_14867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g491095 (
+	.A(n_26274),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.C(n_14536),
+	.Y(n_14866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491096 (
+	.A_N(n_14841),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.Y(n_14865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491099 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_14854),
+	.Y(n_25110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491101 (
+	.A(n_14844),
+	.B(n_14676),
+	.Y(n_14874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g491102 (
+	.A_N(n_73650),
+	.B(n_14858),
+	.C(n_14535),
+	.X(n_14873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g491103 (
+	.A1(n_14805),
+	.A2(n_14745),
+	.B1(n_29575),
+	.Y(n_26268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491106 (
+	.A(n_14819),
+	.Y(n_14860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491107 (
+	.A(n_14751),
+	.Y(n_14859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491108 (
+	.A(n_14858),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491111 (
+	.A(n_26269),
+	.B(n_14676),
+	.X(n_14855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g491112 (
+	.A(n_25109),
+	.B_N(n_27238),
+	.Y(n_14854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491113 (
+	.A(n_14830),
+	.B(n_14676),
+	.Y(n_14853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491116 (
+	.A(n_14675),
+	.B(n_25145),
+	.Y(n_14850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491117 (
+	.A(n_29832),
+	.B(n_14676),
+	.X(n_14849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g491118 (
+	.A(n_72869),
+	.B(n_25383),
+	.X(n_25287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491119 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.B(n_25383),
+	.Y(n_14858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491120 (
+	.A(n_26273),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_14857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491121 (
+	.A(n_14825),
+	.B(n_14536),
+	.Y(n_25370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491122 (
+	.A(n_26274),
+	.B(n_14536),
+	.Y(n_25147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491123 (
+	.A(n_26273),
+	.B(n_14536),
+	.Y(n_14856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g491125 (
+	.A(n_14846),
+	.Y(n_14847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491126 (
+	.A(n_14844),
+	.Y(n_14845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g491129 (
+	.A0(n_14738),
+	.A1(n_14739),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.Y(n_26253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491130 (
+	.A(n_14675),
+	.B(n_14831),
+	.Y(n_14840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491131 (
+	.A(n_14675),
+	.B(n_14826),
+	.Y(n_14839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491132 (
+	.A(n_14825),
+	.B(n_14676),
+	.Y(n_14838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g491133 (
+	.A0(n_14738),
+	.A1(n_14739),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Y(n_26251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491134 (
+	.A(n_26271),
+	.B(n_14676),
+	.X(n_14837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491135 (
+	.A(n_14675),
+	.B(n_25363),
+	.Y(n_14836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g491137 (
+	.A0(n_14738),
+	.A1(n_14739),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Y(n_26252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g491138 (
+	.A(n_14584),
+	.B(n_14535),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.X(n_14846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491139 (
+	.A(n_25341),
+	.B(n_25143),
+	.Y(n_14844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491140 (
+	.A(n_25143),
+	.B(n_14675),
+	.Y(n_14843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491141 (
+	.A(n_14825),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_25371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491142 (
+	.A(n_14536),
+	.B(n_14017),
+	.X(n_14841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491144 (
+	.A(n_26270),
+	.Y(n_14831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491145 (
+	.A(n_14830),
+	.Y(n_25340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491147 (
+	.A(n_14828),
+	.Y(n_25145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491148 (
+	.A(n_14017),
+	.Y(n_26274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491149 (
+	.A(n_14826),
+	.Y(n_26273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491150 (
+	.A(n_14825),
+	.Y(n_25146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491152 (
+	.A(n_14738),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Y(n_14824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491154 (
+	.A(n_14738),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Y(n_14823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491155 (
+	.A(n_14738),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Y(n_14822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g491157 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B(n_25360),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.X(n_14821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491159 (
+	.A(n_47165),
+	.B(n_37029),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491160 (
+	.A(n_14710),
+	.B(n_14711),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491161 (
+	.A(n_69454),
+	.B(n_47405),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491168 (
+	.A(n_64956),
+	.B(n_64958),
+	.Y(n_14833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491169 (
+	.A(n_29854),
+	.B(n_73909),
+	.Y(n_14832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491170 (
+	.A(n_14818),
+	.B(n_14742),
+	.Y(n_25341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491172 (
+	.A_N(n_27101),
+	.B(n_27100),
+	.Y(n_25109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491173 (
+	.A(n_14748),
+	.B(n_14743),
+	.Y(n_26270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g491174 (
+	.A_N(n_14805),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.C(n_14585),
+	.Y(n_25143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g491175 (
+	.A(n_14750),
+	.B(n_14805),
+	.X(n_25338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491176 (
+	.A(n_14805),
+	.B(n_14817),
+	.Y(n_14830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491177 (
+	.A(n_14743),
+	.B(n_14744),
+	.X(n_25363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491178 (
+	.A(n_14809),
+	.B(n_14744),
+	.X(n_25364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491179 (
+	.A(n_14809),
+	.B(n_14745),
+	.X(n_25365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491180 (
+	.A(n_14750),
+	.B(n_14743),
+	.Y(n_26269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g491181 (
+	.A(n_14750),
+	.B(n_14809),
+	.X(n_25334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491183 (
+	.A(n_14750),
+	.B(n_30503),
+	.Y(n_26271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491184 (
+	.A(n_14743),
+	.B(n_14745),
+	.Y(n_14828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491185 (
+	.A(n_14748),
+	.B(n_14809),
+	.X(n_25362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491186 (
+	.A(n_14746),
+	.B(n_14742),
+	.Y(n_14017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491187 (
+	.A(n_14748),
+	.B(n_14805),
+	.X(n_25337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491188 (
+	.A_N(n_14744),
+	.B(n_14742),
+	.Y(n_14826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491189 (
+	.A(n_14805),
+	.B(n_14744),
+	.Y(n_14825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491191 (
+	.A(n_14776),
+	.B(n_37027),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491192 (
+	.A(n_14774),
+	.B(n_37032),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491195 (
+	.A(n_14771),
+	.B(n_37038),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491196 (
+	.A(n_14769),
+	.B(n_14718),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491198 (
+	.A(n_38135),
+	.B(n_37028),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491202 (
+	.A(n_14756),
+	.B(n_14789),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491206 (
+	.A(n_38129),
+	.B(n_14761),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491207 (
+	.A(n_36112),
+	.B(n_35164),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491208 (
+	.A(n_36111),
+	.B(n_29836),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g491210 (
+	.A1(n_51351),
+	.A2(n_74851),
+	.B1(n_37026),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g491213 (
+	.A1(n_66166),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_33762),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g491214 (
+	.A1(n_66160),
+	.A2(n_74852),
+	.B1(n_14731),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491228 (
+	.A(n_14713),
+	.B(n_14794),
+	.Y(n_14819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491229 (
+	.A(n_14790),
+	.B(n_47517),
+	.Y(n_25383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g491230 (
+	.A(n_14817),
+	.Y(n_14818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491248 (
+	.A(n_30956),
+	.B(n_14690),
+	.Y(n_14794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491252 (
+	.A(n_44981),
+	.B(n_29868),
+	.Y(n_14790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491253 (
+	.A(n_74852),
+	.B(n_26590),
+	.Y(n_14789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491266 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26528),
+	.Y(n_14776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491268 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_49077),
+	.Y(n_14774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491271 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_69075),
+	.Y(n_14771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491273 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_26523),
+	.Y(n_14769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491281 (
+	.A(n_74852),
+	.B(n_26589),
+	.Y(n_14761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491286 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26514),
+	.Y(n_14756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491287 (
+	.A(n_14696),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_14817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g491288 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B(n_14678),
+	.Y(n_14815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491289 (
+	.A(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B(n_14687),
+	.Y(n_14814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491291 (
+	.A(n_14691),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_14809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491292 (
+	.A(n_14691),
+	.B(n_14501),
+	.Y(n_14805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491293 (
+	.A(n_14735),
+	.Y(n_14755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491296 (
+	.A(n_14746),
+	.Y(n_14745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491297 (
+	.A(n_30503),
+	.Y(n_14742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g491298 (
+	.A(n_14740),
+	.Y(n_14739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491299 (
+	.A(n_14738),
+	.Y(n_26254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g491302 (
+	.A(n_14685),
+	.B(n_14501),
+	.C(n_14547),
+	.Y(n_14735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491304 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26508),
+	.Y(n_14733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491306 (
+	.A(n_74852),
+	.B(n_26581),
+	.Y(n_14731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491319 (
+	.A(n_74852),
+	.B(n_26599),
+	.Y(n_14718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491324 (
+	.A(FE_DBTN94_n_30956),
+	.B(n_14689),
+	.Y(n_14713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g491326 (
+	.A(n_74851),
+	.B(n_50396),
+	.Y(n_14711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491327 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26542),
+	.Y(n_14710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491333 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_49799),
+	.Y(n_14704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491337 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B(n_14688),
+	.Y(n_14754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g491340 (
+	.A1(n_25339),
+	.A2(n_14529),
+	.B1(n_27237),
+	.Y(n_27101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g491341 (
+	.A1(n_27238),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.B1_N(n_27239),
+	.X(n_27100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491345 (
+	.A(FE_DBTN94_n_30956),
+	.B(n_14690),
+	.Y(n_14751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g491346 (
+	.A(n_14540),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_14750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g491347 (
+	.A(n_25416),
+	.B(n_14530),
+	.C_N(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g491348 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(n_14540),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_14748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g491349 (
+	.A(n_14695),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_14746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g491350 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(n_14540),
+	.C(n_14547),
+	.Y(n_14744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g491351 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.Y(n_14743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g491353 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(n_29872),
+	.X(n_14740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g491354 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_14589),
+	.X(n_14738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491356 (
+	.A(n_14695),
+	.Y(n_14696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491359 (
+	.A(n_25814),
+	.Y(n_14691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491360 (
+	.A(n_14689),
+	.Y(n_14690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491361 (
+	.A(n_14688),
+	.Y(n_14687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491363 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.Y(n_14685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491364 (
+	.A(FE_DBTN94_n_30956),
+	.B(n_14534),
+	.Y(n_14684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491365 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B(n_14534),
+	.Y(n_14683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491367 (
+	.A(n_49744),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g491368 (
+	.A(n_14498),
+	.B(n_14547),
+	.Y(n_14695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491371 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g491372 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.B(n_14506),
+	.Y(n_25814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491373 (
+	.A(n_73723),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491374 (
+	.A(n_68796),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g491375 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.B(n_12762),
+	.X(n_14686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g491377 (
+	.A(n_14676),
+	.Y(n_14675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491462 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.Y(n_14589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g491463 (
+	.A(n_29671),
+	.B(soc_top_u_top_u_core_fp_flush),
+	.X(n_14588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491465 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_14586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491466 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(n_14498),
+	.Y(n_14585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g491468 (
+	.A(n_12762),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.X(n_25439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491469 (
+	.A(n_54412),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g491470 (
+	.A_N(n_29671),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.Y(n_25360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g491471 (
+	.A(n_49646),
+	.B(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491472 (
+	.A(n_14535),
+	.B(n_54412),
+	.Y(n_14678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g491473 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_14676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g491505 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.Y(n_14554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491513 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.Y(n_14547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491521 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.Y(n_14540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491523 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.Y(n_14536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491524 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.Y(n_14535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g491525 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_14534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g491529 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.Y(n_14530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g491530 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.Y(n_14529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491547 (
+	.A(n_46335),
+	.Y(n_14512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491553 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.Y(n_14506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491558 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.Y(n_14501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g491559 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.Y(n_14498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492271 (
+	.A(n_14489),
+	.B(n_14392),
+	.Y(n_25855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492272 (
+	.A1(n_77),
+	.A2(n_14486),
+	.B1(n_14394),
+	.Y(n_25857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492273 (
+	.A(n_14490),
+	.B(n_14393),
+	.Y(n_25856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492274 (
+	.A(n_14487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_14490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492275 (
+	.A(n_14487),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_14489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g492276 (
+	.A1(n_14017),
+	.A2(n_14097),
+	.B1(n_14486),
+	.X(n_14491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492277 (
+	.A1_N(n_26263),
+	.A2_N(n_14364),
+	.B1(n_734),
+	.B2(n_14483),
+	.Y(n_25860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g492279 (
+	.A1(n_78),
+	.A2(n_14483),
+	.B1(n_14395),
+	.Y(n_25858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492281 (
+	.A(n_14486),
+	.Y(n_14487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492283 (
+	.A(n_14222),
+	.B(n_14484),
+	.Y(n_14486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492285 (
+	.A1_N(n_26264),
+	.A2_N(n_14364),
+	.B1(FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9),
+	.B2(n_14478),
+	.Y(n_25861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492287 (
+	.A(n_14484),
+	.Y(n_14483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492290 (
+	.A(n_14478),
+	.B(n_14223),
+	.Y(n_14484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492291 (
+	.A1_N(n_25580),
+	.A2_N(n_14364),
+	.B1(n_745),
+	.B2(n_14475),
+	.Y(n_25866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492292 (
+	.A1_N(n_25579),
+	.A2_N(n_14364),
+	.B1(n_736),
+	.B2(n_14475),
+	.Y(n_25865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492294 (
+	.A(n_14478),
+	.Y(n_14480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492297 (
+	.A(n_14224),
+	.B(n_14476),
+	.Y(n_14478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492298 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.A2_N(n_14473),
+	.B1(n_13918),
+	.B2(n_14363),
+	.Y(n_25868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492299 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.A2_N(n_14473),
+	.B1(n_14028),
+	.B2(n_14363),
+	.Y(n_25867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492301 (
+	.A(n_14476),
+	.Y(n_14475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492303 (
+	.A(n_14472),
+	.B(n_14226),
+	.Y(n_14476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492304 (
+	.A1(n_66067),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_14364),
+	.B2(n_25586),
+	.X(n_25872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492305 (
+	.A1(n_66067),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_14364),
+	.B2(n_25585),
+	.X(n_25871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492306 (
+	.A1(n_66067),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.B1(n_14364),
+	.B2(n_25584),
+	.X(n_25870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492307 (
+	.A(n_14472),
+	.Y(n_14473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g492308 (
+	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(n_25435),
+	.C_N(soc_top_u_top_u_core_id_stage_i_branch_in_dec),
+	.D_N(n_25710),
+	.Y(soc_top_u_top_u_core_id_stage_i_branch_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492313 (
+	.A(n_14227),
+	.B(n_66067),
+	.Y(n_14472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492315 (
+	.A1(n_14460),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_14364),
+	.B2(n_25589),
+	.X(n_25875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492316 (
+	.A1(n_14460),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_14364),
+	.B2(n_25588),
+	.X(n_25874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492317 (
+	.A1(n_14460),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_14364),
+	.B2(n_25587),
+	.X(n_25873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492323 (
+	.A(n_63317),
+	.B(n_44177),
+	.Y(n_14467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g492324 (
+	.A1(n_25292),
+	.A2(n_14293),
+	.B1(n_14432),
+	.C1(n_14412),
+	.X(n_25710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492327 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.A2_N(n_14438),
+	.B1(n_14040),
+	.B2(n_14363),
+	.Y(n_25876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492328 (
+	.A1(n_14364),
+	.A2(n_25592),
+	.B1(n_14438),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.X(n_25878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492330 (
+	.A1(n_14364),
+	.A2(n_25591),
+	.B1(n_14438),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.X(n_25877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492331 (
+	.A(n_14424),
+	.B(n_14456),
+	.Y(n_14464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492338 (
+	.A(n_14349),
+	.B(n_63318),
+	.Y(n_14456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492339 (
+	.A(n_35452),
+	.B(n_14265),
+	.Y(n_14455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492341 (
+	.A_N(n_14438),
+	.B(n_14256),
+	.Y(n_14460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492346 (
+	.A1_N(n_25593),
+	.A2_N(n_14364),
+	.B1(n_13923),
+	.B2(n_14416),
+	.Y(n_25879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g492354 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.A2(n_14408),
+	.B1(n_25908),
+	.X(n_25883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492355 (
+	.A(n_14416),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.Y(n_25881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g492356 (
+	.A_N(n_14416),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.X(n_25880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g492365 (
+	.A1(n_14408),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.C1(n_14232),
+	.X(n_25884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492367 (
+	.A0(n_14334),
+	.A1(n_14308),
+	.S(n_14402),
+	.X(n_14432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g492368 (
+	.A(n_14390),
+	.B(n_66167),
+	.Y(n_26879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492369 (
+	.A(n_14416),
+	.B(n_14257),
+	.Y(n_14438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492372 (
+	.A(n_46495),
+	.Y(n_14430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492375 (
+	.A(n_50085),
+	.B_N(n_72075),
+	.Y(n_14424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g492376 (
+	.A(n_14408),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.X(n_25882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g492379 (
+	.A(n_50085),
+	.B(n_55315),
+	.X(n_14421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g492387 (
+	.A1(n_14384),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.C1(n_14234),
+	.X(n_25886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g492390 (
+	.A1(n_14384),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.C1(n_14233),
+	.X(n_25885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g492391 (
+	.A1(n_14384),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.C1(n_14236),
+	.X(n_25887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492392 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.A2(n_14094),
+	.B1(n_14404),
+	.Y(n_25888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g492394 (
+	.A(n_14293),
+	.B(n_14334),
+	.C(n_14308),
+	.D(n_25292),
+	.Y(n_14412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492395 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.A2(n_14094),
+	.B1(n_14406),
+	.Y(n_25890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492396 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.A2(n_14094),
+	.B1(n_14405),
+	.Y(n_25889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g492397 (
+	.A(n_14230),
+	.B(n_14408),
+	.Y(n_14416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492399 (
+	.A(n_69274),
+	.Y(n_14410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492401 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.A2(n_14094),
+	.B1(n_14377),
+	.Y(n_25893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492402 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.A2(n_14094),
+	.B1(n_14375),
+	.Y(n_25891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g492404 (
+	.A(n_14356),
+	.B(n_14344),
+	.C(n_14214),
+	.D(n_64732),
+	.Y(n_25292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492405 (
+	.A(n_14383),
+	.B(n_14231),
+	.Y(n_14408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492407 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.A2(n_14094),
+	.B1(n_14376),
+	.Y(n_25892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492408 (
+	.A1(n_14365),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Y(n_14406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492409 (
+	.A1(n_14365),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Y(n_14405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492410 (
+	.A1(n_14365),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Y(n_14404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g492411 (
+	.A(n_68682),
+	.B(n_14373),
+	.X(n_14403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g492412 (
+	.A0(\soc_top_lsu_to_xbar[a_address] [31]),
+	.A1(n_14350),
+	.S(n_26384),
+	.Y(n_14402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492415 (
+	.A(n_14364),
+	.B(n_26266),
+	.Y(n_14398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492417 (
+	.A(n_14364),
+	.B(n_26262),
+	.Y(n_14396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492418 (
+	.A(n_14364),
+	.B(n_26261),
+	.Y(n_14395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492419 (
+	.A(n_14364),
+	.B(n_26260),
+	.Y(n_14394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492420 (
+	.A(n_14364),
+	.B(n_26259),
+	.Y(n_14393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492421 (
+	.A(n_14364),
+	.B(n_26258),
+	.Y(n_14392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492422 (
+	.A(n_14363),
+	.B_N(n_26256),
+	.Y(n_14391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492423 (
+	.A(n_14357),
+	.B(n_30513),
+	.Y(n_14390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492424 (
+	.A(n_14364),
+	.B(n_25583),
+	.Y(n_14389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492426 (
+	.A(n_14373),
+	.B_N(n_68682),
+	.Y(n_14387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492429 (
+	.A(n_14383),
+	.Y(n_14384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g492431 (
+	.A(n_50641),
+	.B(n_47847),
+	.C(n_50183),
+	.D(n_47519),
+	.X(n_14381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g492432 (
+	.A1(n_26920),
+	.A2(n_27098),
+	.B1(n_14359),
+	.Y(n_14380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492434 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.A2(n_14094),
+	.B1(n_14351),
+	.Y(n_25894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492435 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.A2(n_14094),
+	.B1(n_14352),
+	.Y(n_25895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492436 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.A2(n_14094),
+	.B1(n_14353),
+	.Y(n_25896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492437 (
+	.A(n_35290),
+	.B(n_14360),
+	.Y(n_14378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492438 (
+	.A1(n_14346),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Y(n_14377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492439 (
+	.A1(n_14346),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Y(n_14376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492440 (
+	.A1(n_14346),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.Y(n_14375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g492443 (
+	.A(n_66170),
+	.B(n_14365),
+	.Y(n_14383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492449 (
+	.A(n_14364),
+	.Y(n_14363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g492450 (
+	.A(n_57267),
+	.B(n_14245),
+	.COUT(n_14373),
+	.SUM(n_14362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492452 (
+	.A(n_35286),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.Y(n_14360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492453 (
+	.A_N(n_56708),
+	.B(n_14338),
+	.Y(n_14359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g492455 (
+	.A(n_14342),
+	.B(n_62772),
+	.C(n_14315),
+	.Y(n_14357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g492456 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.B1_N(n_25439),
+	.X(n_25851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492459 (
+	.A(n_14345),
+	.B(n_14238),
+	.Y(n_14365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41a_4 g492460 (
+	.A1(n_14272),
+	.A2(n_26197),
+	.A3(n_14303),
+	.A4(n_14301),
+	.B1(n_12962),
+	.X(n_14364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g492461 (
+	.A(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B(n_14261),
+	.C(n_25436),
+	.D(n_14326),
+	.Y(n_14356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492464 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.A2(n_14094),
+	.B1(n_14337),
+	.Y(n_25897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492465 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.A2(n_14094),
+	.B1(n_14336),
+	.Y(n_25899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492466 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.A2(n_14094),
+	.B1(n_14335),
+	.Y(n_25898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492467 (
+	.A1(n_14331),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Y(n_14353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492468 (
+	.A1(n_14331),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.Y(n_14352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492469 (
+	.A1(n_14331),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Y(n_14351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g492470 (
+	.A(n_14327),
+	.B(n_25554),
+	.X(n_14350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492471 (
+	.A(n_31024),
+	.Y(n_14349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492474 (
+	.A(n_14345),
+	.Y(n_14346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g492475 (
+	.A(\soc_top_lsu_to_xbar[a_address] [22]),
+	.B(\soc_top_lsu_to_xbar[a_address] [28]),
+	.C(n_14267),
+	.D(n_25448),
+	.Y(n_14344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492476 (
+	.A(n_53193),
+	.B(n_57338),
+	.Y(n_14343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492477 (
+	.A(n_26909),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492481 (
+	.A(n_14259),
+	.B(n_14331),
+	.Y(n_14345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492482 (
+	.A1(n_14307),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Y(n_14337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492483 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.A2(n_14094),
+	.B1(n_14322),
+	.Y(n_25902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492484 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.A2(n_14094),
+	.B1(n_14321),
+	.Y(n_25901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492485 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.A2(n_14094),
+	.B1(n_14320),
+	.Y(n_25900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492486 (
+	.A1(n_14307),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.Y(n_14336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492487 (
+	.A1(n_14307),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.Y(n_14335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492488 (
+	.A1(n_65193),
+	.A2(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B1(n_14329),
+	.Y(n_14338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g492491 (
+	.A1(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.A2(n_65193),
+	.B1(n_14291),
+	.Y(n_14329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492492 (
+	.A1(n_25567),
+	.A2(n_14033),
+	.B1(n_14300),
+	.Y(n_25555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g492494 (
+	.A1(n_25149),
+	.A2(n_13903),
+	.B1(n_14263),
+	.C1(n_14266),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492495 (
+	.A1(n_14262),
+	.A2(n_14244),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(n_14327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g492496 (
+	.A1(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.A2(n_19111),
+	.A3(n_14275),
+	.B1(n_14309),
+	.Y(n_14334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492498 (
+	.A(n_14304),
+	.B(n_14314),
+	.Y(n_26909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492499 (
+	.A(n_14306),
+	.B(n_14213),
+	.Y(n_14331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g492500 (
+	.A1(n_25578),
+	.A2(soc_top_u_top_u_core_instr_is_compressed_id),
+	.B1(n_14225),
+	.C1(n_14280),
+	.Y(n_25556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g492501 (
+	.A(n_25107),
+	.B(n_14241),
+	.C(n_67809),
+	.X(n_14326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492503 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.A2(n_14094),
+	.B1(n_14302),
+	.Y(n_25904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492504 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.A2(n_14094),
+	.B1(n_14305),
+	.Y(n_25903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g492506 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.A2(n_14094),
+	.B1(n_14299),
+	.Y(n_25905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492508 (
+	.A1(n_14292),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Y(n_14322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492509 (
+	.A1(n_14292),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Y(n_14321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492510 (
+	.A1(n_14292),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.Y(n_14320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492512 (
+	.A(n_26880),
+	.B(n_25296),
+	.Y(n_14315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492513 (
+	.A(n_14193),
+	.B(n_73917),
+	.Y(n_14314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492519 (
+	.A(n_14207),
+	.B(n_14291),
+	.Y(n_27096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g492520 (
+	.A1(n_14229),
+	.A2(n_14244),
+	.B1(n_30306),
+	.Y(n_14309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492521 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(n_14291),
+	.Y(n_27097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g492523 (
+	.A1(n_25372),
+	.A2(n_13903),
+	.B1(n_14085),
+	.C1(n_14219),
+	.D1(n_14264),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492525 (
+	.A(n_14102),
+	.B(n_31726),
+	.Y(n_14318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g492528 (
+	.A_N(n_56708),
+	.B(n_14290),
+	.X(n_27098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492529 (
+	.A(n_14306),
+	.Y(n_14307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492531 (
+	.A1(n_14246),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.Y(n_14305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492532 (
+	.A(n_14218),
+	.B(n_14273),
+	.Y(n_14304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g492533 (
+	.A1(n_12762),
+	.A2(n_14204),
+	.B1_N(n_25447),
+	.Y(n_14303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492534 (
+	.A1(n_14246),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.Y(n_14302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g492537 (
+	.A1(n_26981),
+	.A2(n_961),
+	.B1(n_14281),
+	.X(n_26948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g492539 (
+	.A(n_25478),
+	.B(n_25476),
+	.C(n_25477),
+	.D(n_14269),
+	.X(n_14301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g492541 (
+	.A(n_14064),
+	.B(n_29818),
+	.C(n_25291),
+	.Y(n_26944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492542 (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.A2(n_13887),
+	.B1(n_14099),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.X(n_25559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492543 (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.A2(n_13887),
+	.B1(n_14099),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(n_25558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492544 (
+	.A1(soc_top_u_top_u_core_instr_is_compressed_id),
+	.A2(n_14242),
+	.B1(n_14099),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.Y(n_14300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492545 (
+	.A1(n_14246),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.B1(n_14095),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Y(n_14299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g492546 (
+	.A1(n_889),
+	.A2(n_19111),
+	.A3(n_14212),
+	.B1(n_14283),
+	.Y(n_14308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492547 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_14286),
+	.Y(n_14306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492561 (
+	.A_N(n_25474),
+	.B(n_14252),
+	.Y(n_14286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492562 (
+	.A(n_14242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_25564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g492564 (
+	.A1(n_14190),
+	.A2(n_63280),
+	.B1_N(n_25291),
+	.Y(n_14284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492565 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_n_7),
+	.B(n_14244),
+	.Y(n_14283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492566 (
+	.A(n_14242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_25563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g492567 (
+	.A1(n_14190),
+	.A2(n_27090),
+	.B1_N(n_25291),
+	.Y(n_14282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g492568 (
+	.A1(n_15192),
+	.A2(n_66544),
+	.B1(n_25291),
+	.Y(n_14281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492569 (
+	.A(n_14242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_25562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492570 (
+	.A(n_14242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(n_25561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492571 (
+	.A(n_14242),
+	.B_N(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.Y(n_25560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492572 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B(n_13887),
+	.Y(n_14280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g492575 (
+	.A1(n_14190),
+	.A2(n_27093),
+	.B1_N(n_25291),
+	.Y(n_14277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492577 (
+	.A(n_12762),
+	.B(n_14205),
+	.Y(n_26084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492578 (
+	.A(n_14208),
+	.B(n_14209),
+	.Y(n_25296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492579 (
+	.A(n_14202),
+	.B(n_14203),
+	.Y(n_26880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492580 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.B_N(n_14244),
+	.Y(n_14293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g492581 (
+	.A(\soc_top_xbar_to_timer[a_address] [7]),
+	.B(n_25106),
+	.X(n_25107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492582 (
+	.A(n_14252),
+	.B(n_12962),
+	.Y(n_14292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492583 (
+	.A_N(n_56708),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.Y(n_14291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492584 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.Y(n_14290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g492586 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_7),
+	.S(n_889),
+	.X(n_14275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492588 (
+	.A(n_14215),
+	.B(n_14194),
+	.Y(n_14273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g492589 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.B(n_25576),
+	.C_N(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.Y(n_25557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g492590 (
+	.A0(n_14094),
+	.A1(n_14096),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Y(n_25906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g492591 (
+	.A1(n_25341),
+	.A2(n_25145),
+	.A3(n_25143),
+	.B1(n_12762),
+	.Y(n_14272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g492594 (
+	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(n_25435),
+	.C_N(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.D_N(soc_top_u_top_u_core_id_stage_i_jump_set_dec),
+	.Y(soc_top_u_top_u_core_id_stage_i_jump_set), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g492595 (
+	.A(n_25479),
+	.B(n_25480),
+	.C(n_25410),
+	.X(n_14269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g492597 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.C(n_25293),
+	.D(\soc_top_lsu_to_xbar[a_address] [29]),
+	.X(n_14267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g492598 (
+	.A1(n_12867),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.B1(n_12846),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.C2(n_12858),
+	.Y(n_14266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g492599 (
+	.A1(n_14112),
+	.A2(n_54405),
+	.B1(n_14245),
+	.X(n_14265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g492600 (
+	.A(n_14065),
+	.B(n_14078),
+	.C(n_14083),
+	.D(n_14084),
+	.Y(n_14264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g492601 (
+	.A(n_14081),
+	.B(n_14080),
+	.C(n_14074),
+	.D(n_14075),
+	.Y(n_14263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 g492602 (
+	.A1_N(n_27094),
+	.A2_N(n_66544),
+	.B1(n_961),
+	.B2(n_26976),
+	.X(n_26943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492603 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_n_941),
+	.A1(n_889),
+	.S(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.X(n_14262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g492604 (
+	.A(n_61692),
+	.B(n_33201),
+	.C(\soc_top_lsu_to_xbar[a_address] [12]),
+	.D(n_25105),
+	.X(n_14261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g492606 (
+	.A0(n_14094),
+	.A1(n_14096),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.Y(n_25907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g492607 (
+	.A1(n_14066),
+	.A2(n_25146),
+	.A3(n_25337),
+	.B1(n_12762),
+	.Y(n_25447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492609 (
+	.A(n_14239),
+	.Y(n_14259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492616 (
+	.A(n_25567),
+	.Y(n_13887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492617 (
+	.A(n_25578),
+	.Y(n_14242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g492618 (
+	.A(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B(\soc_top_lsu_to_xbar[a_address] [10]),
+	.C(\soc_top_lsu_to_xbar[a_address] [13]),
+	.X(n_14241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492619 (
+	.A(n_14195),
+	.B(n_14194),
+	.Y(n_14240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492620 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25476),
+	.Y(n_14239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492621 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25477),
+	.Y(n_14238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492623 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.B(n_14094),
+	.Y(n_14236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492625 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.B(n_14094),
+	.Y(n_14234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492626 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.B(n_14094),
+	.Y(n_14233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492627 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.B(n_14094),
+	.Y(n_14232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492628 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25479),
+	.Y(n_14231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g492629 (
+	.A(n_12962),
+	.B_N(n_25480),
+	.Y(n_14230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492630 (
+	.A(n_25367),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_n_941),
+	.Y(n_14229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492631 (
+	.A0(n_26190),
+	.A1(n_26189),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492632 (
+	.A_N(n_25145),
+	.B(n_14098),
+	.Y(n_14257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492633 (
+	.A_N(n_25365),
+	.B(n_14098),
+	.Y(n_14256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492634 (
+	.A0(n_26148),
+	.A1(n_26147),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492635 (
+	.A(n_14098),
+	.B(n_26271),
+	.Y(n_14228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492636 (
+	.A(n_25338),
+	.B(n_14097),
+	.Y(n_14227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492637 (
+	.A(n_14098),
+	.B(n_29832),
+	.Y(n_14226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492638 (
+	.A0(n_26170),
+	.A1(n_26169),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492639 (
+	.A(n_14099),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.Y(n_14225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492640 (
+	.A(n_25337),
+	.B(n_14097),
+	.Y(n_14224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492641 (
+	.A(n_14098),
+	.B(n_26273),
+	.Y(n_14223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492642 (
+	.A(n_25146),
+	.B(n_14097),
+	.Y(n_14222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492643 (
+	.A0(n_26149),
+	.A1(n_26148),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492644 (
+	.A0(n_26192),
+	.A1(n_26193),
+	.S(n_12762),
+	.X(n_26139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492646 (
+	.A0(n_26171),
+	.A1(n_26170),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492647 (
+	.A0(n_26183),
+	.A1(n_26182),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492649 (
+	.A0(n_26188),
+	.A1(n_26189),
+	.S(n_12762),
+	.X(n_26135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492650 (
+	.A0(n_26150),
+	.A1(n_26149),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g492651 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.A2(n_13911),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.B2(n_13908),
+	.Y(n_14219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492652 (
+	.A(n_14195),
+	.B(n_14170),
+	.Y(n_14218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492653 (
+	.A(n_14192),
+	.B(n_14132),
+	.Y(n_14217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g492655 (
+	.A1(n_26167),
+	.A2(n_12762),
+	.B1(n_14098),
+	.X(n_26113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g492656 (
+	.A1(n_26168),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B1(n_25908),
+	.X(n_26115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492657 (
+	.A(n_26953),
+	.B(n_14166),
+	.Y(n_14215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492658 (
+	.A0(n_26151),
+	.A1(n_26150),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g492659 (
+	.A(\soc_top_lsu_to_xbar[a_address] [24]),
+	.B(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C(\soc_top_lsu_to_xbar[a_address] [31]),
+	.Y(n_14214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492660 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25475),
+	.Y(n_14213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492661 (
+	.A0(n_26152),
+	.A1(n_26151),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492662 (
+	.A0(n_26172),
+	.A1(n_26171),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492663 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
+	.A1(n_26152),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g492664 (
+	.A0(n_30306),
+	.A1(n_14018),
+	.S(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_14212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492665 (
+	.A0(n_26164),
+	.A1(n_26163),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492666 (
+	.A0(n_26187),
+	.A1(n_26186),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492667 (
+	.A0(n_26179),
+	.A1(n_26178),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492668 (
+	.A0(n_26162),
+	.A1(n_26163),
+	.S(n_12762),
+	.X(n_26108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492669 (
+	.A0(n_26177),
+	.A1(n_26178),
+	.S(n_12762),
+	.X(n_26124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492670 (
+	.A0(n_26162),
+	.A1(n_26161),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492671 (
+	.A0(n_26160),
+	.A1(n_26161),
+	.S(n_12762),
+	.X(n_26106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492672 (
+	.A0(n_26177),
+	.A1(n_26176),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492673 (
+	.A0(n_26160),
+	.A1(n_26159),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492674 (
+	.A0(n_26186),
+	.A1(n_26185),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492675 (
+	.A0(n_26159),
+	.A1(n_26158),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492676 (
+	.A0(n_26175),
+	.A1(n_26176),
+	.S(n_12762),
+	.X(n_26122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492677 (
+	.A0(n_26158),
+	.A1(n_26157),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492678 (
+	.A0(n_26157),
+	.A1(n_26156),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492679 (
+	.A0(n_26175),
+	.A1(n_26174),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492680 (
+	.A0(n_26156),
+	.A1(n_26155),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492681 (
+	.A0(n_26185),
+	.A1(n_26184),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492682 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
+	.A1(n_26155),
+	.S(n_12762),
+	.X(n_26100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492683 (
+	.A0(n_26154),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]),
+	.S(n_12762),
+	.X(n_26099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492684 (
+	.A0(n_26174),
+	.A1(n_26173),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492685 (
+	.A0(n_26154),
+	.A1(n_26153),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492686 (
+	.A0(n_26183),
+	.A1(n_26184),
+	.S(n_12762),
+	.X(n_26130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492687 (
+	.A0(n_26173),
+	.A1(n_26172),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492688 (
+	.A0(n_26153),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492689 (
+	.A0(n_26191),
+	.A1(n_26190),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g492691 (
+	.A(\soc_top_xbar_to_timer[a_address] [6]),
+	.B(n_66054),
+	.X(n_25106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492692 (
+	.A(n_25472),
+	.B(n_25473),
+	.Y(n_14252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g492693 (
+	.A1(n_14022),
+	.A2(n_25340),
+	.B1(n_12762),
+	.Y(n_25410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g492697 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25472),
+	.X(n_14246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492698 (
+	.A(n_54405),
+	.B(n_14112),
+	.Y(n_14245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g492699 (
+	.A_N(n_19111),
+	.B(n_889),
+	.C(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.X(n_14244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492700 (
+	.A_N(n_66568),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.Y(n_25567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492702 (
+	.A(n_66568),
+	.B(n_25576),
+	.Y(n_25578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492703 (
+	.A(n_14210),
+	.Y(n_14211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492705 (
+	.A(n_26899),
+	.Y(n_14208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492706 (
+	.A(n_14207),
+	.Y(soc_top_u_top_u_core_fp_frm_fpnew[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492708 (
+	.A0(n_26146),
+	.A1(n_26145),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492709 (
+	.A0(n_26192),
+	.A1(n_26191),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492710 (
+	.A0(n_26181),
+	.A1(n_26182),
+	.S(n_12762),
+	.X(n_26128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492711 (
+	.A0(n_26145),
+	.A1(n_26144),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492712 (
+	.A0(n_26167),
+	.A1(n_26168),
+	.S(n_12762),
+	.X(n_26114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492713 (
+	.A0(n_26144),
+	.A1(n_26143),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492714 (
+	.A0(n_26181),
+	.A1(n_26180),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492715 (
+	.A0(n_26187),
+	.A1(n_26188),
+	.S(n_12762),
+	.X(n_26134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492716 (
+	.A0(n_26194),
+	.A1(n_26195),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492717 (
+	.A0(n_26166),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.S(n_12762),
+	.X(n_26112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492718 (
+	.A0(n_26179),
+	.A1(n_26180),
+	.S(n_12762),
+	.X(n_26126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492719 (
+	.A0(n_26165),
+	.A1(n_26166),
+	.S(n_12762),
+	.X(n_26111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492720 (
+	.A0(n_26165),
+	.A1(n_26164),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g492722 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [0]),
+	.X(n_14205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g492723 (
+	.A(n_26271),
+	.B(n_29832),
+	.C_N(n_25365),
+	.D_N(n_25338),
+	.Y(n_14204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g492724 (
+	.A1_N(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.A2_N(soc_top_u_top_u_core_pc_id[1]),
+	.B1(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B2(n_13927),
+	.Y(n_27341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492725 (
+	.A(n_14151),
+	.B(n_14150),
+	.Y(n_14203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g492726 (
+	.A(n_14138),
+	.B(n_14149),
+	.Y(n_14202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g492729 (
+	.A1(n_27481),
+	.A2(FE_DBTN114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(soc_top_u_top_u_core_pc_id[3]),
+	.B2(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g492735 (
+	.A1(n_26970),
+	.A2(n_961),
+	.B1(n_29595),
+	.X(n_26937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g492736 (
+	.A1(n_26969),
+	.A2(n_961),
+	.B1(n_29591),
+	.X(n_26936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492739 (
+	.A(n_14124),
+	.B(n_14123),
+	.Y(n_26933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492740 (
+	.A(n_14121),
+	.B(n_14164),
+	.Y(n_26932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492742 (
+	.A(n_14119),
+	.B(n_14047),
+	.Y(n_26930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492743 (
+	.A(n_14118),
+	.B(n_14188),
+	.Y(n_26929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492744 (
+	.A(n_14072),
+	.B(n_14067),
+	.Y(n_26928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492745 (
+	.A(n_14141),
+	.B(n_14117),
+	.Y(n_26927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492746 (
+	.A(n_14061),
+	.B(n_14145),
+	.Y(n_26926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492747 (
+	.A(n_14175),
+	.B(n_14122),
+	.Y(n_26925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492748 (
+	.A(n_14142),
+	.B(n_14134),
+	.Y(n_26924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492749 (
+	.A0(n_26147),
+	.A1(n_26146),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492751 (
+	.A(n_14152),
+	.B(n_14136),
+	.Y(n_26921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492777 (
+	.A0(n_27487),
+	.A1(soc_top_u_top_u_core_pc_id[9]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492778 (
+	.A0(n_27486),
+	.A1(soc_top_u_top_u_core_pc_id[8]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492779 (
+	.A0(n_27485),
+	.A1(soc_top_u_top_u_core_pc_id[7]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492780 (
+	.A0(n_27484),
+	.A1(soc_top_u_top_u_core_pc_id[6]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492781 (
+	.A0(n_27483),
+	.A1(soc_top_u_top_u_core_pc_id[5]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492782 (
+	.A0(n_27482),
+	.A1(soc_top_u_top_u_core_pc_id[4]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492783 (
+	.A(n_14056),
+	.B(n_14163),
+	.Y(n_26904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g492784 (
+	.A0(n_26918),
+	.A1(n_26959),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492785 (
+	.A(n_14155),
+	.B(n_14154),
+	.Y(n_14209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492786 (
+	.A(n_14179),
+	.B(n_14180),
+	.Y(n_26920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492787 (
+	.A(n_14158),
+	.B(n_14160),
+	.Y(n_26894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492788 (
+	.A(n_14087),
+	.B(n_14077),
+	.Y(n_26899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g492789 (
+	.A(n_25554),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.X(n_26384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g492790 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.A1(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.S(soc_top_u_top_u_core_fp_rm_dynamic),
+	.X(soc_top_u_top_u_core_fp_frm_fpnew[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g492791 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.A1(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.S(soc_top_u_top_u_core_fp_rm_dynamic),
+	.Y(n_14207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g492798 (
+	.A(n_14192),
+	.Y(n_14193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g492799 (
+	.A(n_66544),
+	.Y(n_14190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492801 (
+	.A(n_26970),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492803 (
+	.A_N(soc_top_u_top_u_core_fp_rm_dynamic),
+	.B(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.Y(n_14186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492809 (
+	.A(n_74444),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492810 (
+	.A(n_26952),
+	.B(n_961),
+	.Y(n_14179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492814 (
+	.A(n_26957),
+	.B(n_961),
+	.Y(n_14175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492817 (
+	.A(n_26952),
+	.B(n_26954),
+	.Y(n_14172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492819 (
+	.A(n_26913),
+	.B(n_26957),
+	.Y(n_14170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492823 (
+	.A(n_14021),
+	.B(n_13909),
+	.Y(n_14166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492825 (
+	.A(n_73796),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492826 (
+	.A(n_26908),
+	.B(n_26906),
+	.Y(n_14163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492829 (
+	.A(n_67367),
+	.B(n_26896),
+	.Y(n_14160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492831 (
+	.A(n_26897),
+	.B(n_26895),
+	.Y(n_14158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492834 (
+	.A(n_13922),
+	.B(n_13931),
+	.Y(n_14155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492835 (
+	.A(n_13929),
+	.B(n_66186),
+	.Y(n_14154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492837 (
+	.A(n_26953),
+	.B(n_961),
+	.Y(n_14152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492838 (
+	.A(n_14026),
+	.B(n_14035),
+	.Y(n_14151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492839 (
+	.A(n_66187),
+	.B(n_66180),
+	.Y(n_14150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492840 (
+	.A(n_66172),
+	.B(n_66189),
+	.Y(n_14149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492844 (
+	.A(n_26967),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492847 (
+	.A(n_26956),
+	.B(n_961),
+	.Y(n_14142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492848 (
+	.A(n_26959),
+	.B(n_961),
+	.Y(n_14141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492849 (
+	.A(n_12762),
+	.B(n_25338),
+	.Y(n_25484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492852 (
+	.A(n_66182),
+	.B(n_66188),
+	.Y(n_14138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492853 (
+	.A(n_19111),
+	.B(n_25367),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492855 (
+	.A(n_67369),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492857 (
+	.A(n_26965),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492859 (
+	.A(n_26913),
+	.B(n_26914),
+	.Y(n_14132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492867 (
+	.A(n_66496),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492868 (
+	.A(n_26966),
+	.B(n_961),
+	.Y(n_14123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492869 (
+	.A(n_26966),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492870 (
+	.A(n_26965),
+	.B(n_961),
+	.Y(n_14121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492871 (
+	.A(n_26956),
+	.B(n_26955),
+	.Y(n_14120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492872 (
+	.A(n_67371),
+	.B(n_961),
+	.Y(n_14119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492873 (
+	.A(n_67369),
+	.B(n_961),
+	.Y(n_14118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492874 (
+	.A(n_26968),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492875 (
+	.A(n_26912),
+	.B(n_26916),
+	.Y(n_14195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492876 (
+	.A(n_26911),
+	.B(n_26910),
+	.Y(n_14194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492877 (
+	.A(n_12762),
+	.B(n_25340),
+	.Y(n_25474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g492878 (
+	.A(n_26917),
+	.B(n_26915),
+	.Y(n_14192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492879 (
+	.A(n_12762),
+	.B(n_25362),
+	.Y(n_25478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492880 (
+	.A(n_12762),
+	.B_N(n_26270),
+	.Y(n_25477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492881 (
+	.A(n_12762),
+	.B(n_25363),
+	.Y(n_25479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492882 (
+	.A(n_12762),
+	.B(n_25334),
+	.Y(n_25476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492895 (
+	.A(n_25576),
+	.Y(n_14099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492896 (
+	.A(n_14098),
+	.Y(n_14097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g492897 (
+	.A(n_14096),
+	.Y(n_14095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492898 (
+	.A(n_14094),
+	.Y(n_25908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492906 (
+	.A(n_26900),
+	.B(n_26902),
+	.Y(n_14087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492908 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.B(n_12846),
+	.Y(n_14085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492909 (
+	.A(n_25147),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.Y(n_14084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492910 (
+	.A(n_14856),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.Y(n_14083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492912 (
+	.A(n_14841),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.Y(n_14081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492913 (
+	.A(n_14857),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.Y(n_14080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492914 (
+	.A(n_12762),
+	.B_N(n_29832),
+	.Y(n_25485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492917 (
+	.A(n_12762),
+	.B(n_25145),
+	.Y(n_25481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492918 (
+	.A(n_14857),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.Y(n_14078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492919 (
+	.A(n_26903),
+	.B(n_26901),
+	.Y(n_14077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492921 (
+	.A(n_25372),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.Y(n_14075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492922 (
+	.A(n_25371),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.Y(n_14074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492924 (
+	.A(n_12762),
+	.B_N(n_26271),
+	.Y(n_25483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492925 (
+	.A(n_74444),
+	.B(n_961),
+	.Y(n_14072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492926 (
+	.A(n_12762),
+	.B(n_25337),
+	.Y(n_25486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492928 (
+	.A(n_12762),
+	.B(n_25365),
+	.Y(n_25482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g492930 (
+	.A(n_26273),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492933 (
+	.A(n_26969),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492934 (
+	.A(n_26274),
+	.B(n_26273),
+	.Y(n_14066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492935 (
+	.A(n_14841),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.Y(n_14065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g492936 (
+	.A(n_26142),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492937 (
+	.A(n_26977),
+	.B(n_961),
+	.Y(n_14064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g492939 (
+	.A(n_26141),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492941 (
+	.A(n_26958),
+	.B(n_961),
+	.Y(n_14061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492947 (
+	.A(n_12762),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[0] [0]),
+	.Y(n_26083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492948 (
+	.A(n_26907),
+	.B(n_26905),
+	.Y(n_14056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492957 (
+	.A(n_66263),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_14047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g492961 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(n_14113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g492962 (
+	.A(\soc_top_xbar_to_timer[a_address] [8]),
+	.B(n_33202),
+	.X(n_25105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492963 (
+	.A(n_12762),
+	.B(n_25341),
+	.Y(n_25473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492965 (
+	.A_N(n_26268),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_26255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g492967 (
+	.A(\soc_top_xbar_to_timer[a_address] [2]),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.X(n_25293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g492968 (
+	.A(n_46493),
+	.B_N(n_55103),
+	.Y(n_14112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492969 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.B(FE_DBTN52_n_55103),
+	.Y(n_14111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492970 (
+	.A(n_12762),
+	.B(n_14022),
+	.Y(n_25475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492973 (
+	.A(n_12762),
+	.B(n_25364),
+	.Y(n_25480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492974 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492975 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.B(n_889),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_n_941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g492976 (
+	.A(n_12762),
+	.B(n_25143),
+	.Y(n_25472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g492978 (
+	.A(n_58628),
+	.B(n_68682),
+	.Y(n_14102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g492981 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[1]),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.X(n_25576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g492982 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_14098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g492983 (
+	.A(n_26195),
+	.B(n_12762),
+	.Y(n_14096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g492984 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_26195),
+	.X(n_14094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g492989 (
+	.A(n_25590),
+	.Y(n_14040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492994 (
+	.A(n_26888),
+	.Y(n_14035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g492996 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.Y(n_14033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493001 (
+	.A(n_25581),
+	.Y(n_14028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493003 (
+	.A(n_25329),
+	.Y(\soc_top_u_top_u_core_irqs[irq_external] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g493004 (
+	.A(n_26886),
+	.Y(n_14026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493005 (
+	.A(n_25330),
+	.Y(\soc_top_u_top_u_core_irqs[irq_timer] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g493009 (
+	.A(n_26269),
+	.Y(n_14022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493010 (
+	.A(n_26958),
+	.Y(n_14021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493013 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.Y(n_14018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493098 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493100 (
+	.A(n_26893),
+	.Y(n_13931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g493102 (
+	.A(n_26892),
+	.Y(n_13929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g493104 (
+	.A(n_27479),
+	.Y(n_13927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493108 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.Y(n_13923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g493109 (
+	.A(n_26891),
+	.Y(n_13922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493113 (
+	.A(n_25582),
+	.Y(n_13918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493119 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_13912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493120 (
+	.A(n_25371),
+	.Y(n_13911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493122 (
+	.A(n_26918),
+	.Y(n_13909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493124 (
+	.A(n_25370),
+	.Y(n_12846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493125 (
+	.A(n_25147),
+	.Y(n_12858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493128 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.Y(n_13903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g493137 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.Y(n_889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g493138 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.Y(n_12962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 g493140 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g483538 (
+	.A1(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.A2(n_13887),
+	.B1(n_13889),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.C1(n_13890),
+	.X(n_25566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483539 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.B1(n_13888),
+	.X(n_25571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483540 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.B1(n_13888),
+	.X(n_25572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483541 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.B1(n_13888),
+	.X(n_25575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483542 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.B1(n_13888),
+	.X(n_25574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483543 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B1(n_13888),
+	.X(n_25573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483544 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B1(n_13888),
+	.X(n_25569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483545 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B1(n_13888),
+	.X(n_25570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g483546 (
+	.A1(n_13889),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.B1(n_13888),
+	.X(n_25568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483547 (
+	.A0(n_27490),
+	.A1(soc_top_u_top_u_core_pc_id[12]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483548 (
+	.A0(n_27505),
+	.A1(soc_top_u_top_u_core_pc_id[27]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483549 (
+	.A0(n_27492),
+	.A1(soc_top_u_top_u_core_pc_id[14]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483550 (
+	.A0(n_27491),
+	.A1(soc_top_u_top_u_core_pc_id[13]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483551 (
+	.A0(n_27504),
+	.A1(soc_top_u_top_u_core_pc_id[26]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g483552 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.B(n_25576),
+	.C_N(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_13890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483553 (
+	.A0(n_27489),
+	.A1(soc_top_u_top_u_core_pc_id[11]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483554 (
+	.A0(n_27503),
+	.A1(soc_top_u_top_u_core_pc_id[25]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483555 (
+	.A0(n_27488),
+	.A1(soc_top_u_top_u_core_pc_id[10]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483556 (
+	.A0(n_27502),
+	.A1(soc_top_u_top_u_core_pc_id[24]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483557 (
+	.A0(n_27501),
+	.A1(soc_top_u_top_u_core_pc_id[23]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483558 (
+	.A0(n_29668),
+	.A1(soc_top_u_top_u_core_pc_id[29]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483559 (
+	.A0(n_27500),
+	.A1(soc_top_u_top_u_core_pc_id[22]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483560 (
+	.A0(n_27499),
+	.A1(soc_top_u_top_u_core_pc_id[21]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483561 (
+	.A0(n_27508),
+	.A1(soc_top_u_top_u_core_pc_id[30]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483562 (
+	.A0(n_27498),
+	.A1(soc_top_u_top_u_core_pc_id[20]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g483563 (
+	.A0(n_29669),
+	.A1(soc_top_u_top_u_core_pc_id[19]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483564 (
+	.A0(n_27509),
+	.A1(soc_top_u_top_u_core_pc_id[31]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g483565 (
+	.A0(n_27496),
+	.A1(soc_top_u_top_u_core_pc_id[18]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g483566 (
+	.A0(n_27495),
+	.A1(soc_top_u_top_u_core_pc_id[17]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483567 (
+	.A0(n_27506),
+	.A1(soc_top_u_top_u_core_pc_id[28]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g483568 (
+	.A0(n_27494),
+	.A1(soc_top_u_top_u_core_pc_id[16]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g483569 (
+	.A0(n_27493),
+	.A1(soc_top_u_top_u_core_pc_id[15]),
+	.S(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_27355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g483570 (
+	.A(n_25578),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.X(n_25577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g483571 (
+	.A(n_25576),
+	.B_N(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.Y(n_13889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g483572 (
+	.A(n_25578),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.X(n_25565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g483573 (
+	.A(n_66568),
+	.B_N(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_13888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(n_25231),
+	.Q(soc_top_GPIO_data_in_q[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(n_25232),
+	.Q(soc_top_GPIO_data_in_q[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[2]  (
+	.CLK(CTS_48),
+	.D(n_25233),
+	.Q(soc_top_GPIO_data_in_q[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_25234),
+	.Q(soc_top_GPIO_data_in_q[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(n_25235),
+	.Q(soc_top_GPIO_data_in_q[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(n_25236),
+	.Q(soc_top_GPIO_data_in_q[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(n_25237),
+	.Q(soc_top_GPIO_data_in_q[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(n_25238),
+	.Q(soc_top_GPIO_data_in_q[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[8]  (
+	.CLK(CTS_47),
+	.D(n_25239),
+	.Q(soc_top_GPIO_data_in_q[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_25240),
+	.Q(soc_top_GPIO_data_in_q[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_25241),
+	.Q(soc_top_GPIO_data_in_q[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_25242),
+	.Q(soc_top_GPIO_data_in_q[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(n_25243),
+	.Q(soc_top_GPIO_data_in_q[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(n_25244),
+	.Q(soc_top_GPIO_data_in_q[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(n_25245),
+	.Q(soc_top_GPIO_data_in_q[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(n_25246),
+	.Q(soc_top_GPIO_data_in_q[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(n_25247),
+	.Q(soc_top_GPIO_data_in_q[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(n_25248),
+	.Q(soc_top_GPIO_data_in_q[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(n_25249),
+	.Q(soc_top_GPIO_data_in_q[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(n_25250),
+	.Q(soc_top_GPIO_data_in_q[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(n_25251),
+	.Q(soc_top_GPIO_data_in_q[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(n_25252),
+	.Q(soc_top_GPIO_data_in_q[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(n_25253),
+	.Q(soc_top_GPIO_data_in_q[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(n_25254),
+	.Q(soc_top_GPIO_data_in_q[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_25255),
+	.Q(soc_top_GPIO_data_in_q[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_25256),
+	.Q(soc_top_GPIO_data_in_q[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(n_25257),
+	.Q(soc_top_GPIO_data_in_q[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[28]  (
+	.CLK(CTS_69),
+	.D(n_25258),
+	.Q(soc_top_GPIO_data_in_q[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(n_25259),
+	.Q(soc_top_GPIO_data_in_q[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(n_25229),
+	.Q(soc_top_GPIO_data_in_q[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_GPIO_data_in_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(n_25230),
+	.Q(soc_top_GPIO_data_in_q[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[8]),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[9]),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[10]),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[11]),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[12]),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_filter_q_reg  (
+	.CLK(CTS_47),
+	.D(io_in[13]),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_filter_q_reg  (
+	.CLK(CTS_47),
+	.D(io_in[14]),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_filter_q_reg  (
+	.CLK(CTS_47),
+	.D(io_in[15]),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_filter_q_reg  (
+	.CLK(CTS_47),
+	.D(io_in[16]),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[17]),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_filter_q_reg  (
+	.CLK(CTS_128),
+	.D(io_in[18]),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_filter_q_reg  (
+	.CLK(CTS_68),
+	.D(io_in[19]),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_filter_q_reg  (
+	.CLK(CTS_68),
+	.D(io_in[20]),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_filter_q_reg  (
+	.CLK(CTS_68),
+	.D(io_in[21]),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_filter_q_reg  (
+	.CLK(CTS_68),
+	.D(io_in[22]),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_filter_q_reg  (
+	.CLK(CTS_68),
+	.D(io_in[23]),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_filter_q_reg  (
+	.CLK(CTS_70),
+	.D(io_in[24]),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_filter_q_reg  (
+	.CLK(CTS_70),
+	.D(io_in[25]),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_filter_q_reg  (
+	.CLK(CTS_70),
+	.D(io_in[27]),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[28]),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[29]),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[30]),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[31]),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_filter_q_reg  (
+	.CLK(CTS_69),
+	.D(io_in[32]),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[33]),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_filter_q_reg  (
+	.CLK(CTS_71),
+	.D(io_in[34]),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_filter_q_reg  (
+	.CLK(CTS_69),
+	.D(io_in[35]),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_filter_q_reg  (
+	.CLK(CTS_69),
+	.D(io_in[36]),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_filter_q_reg  (
+	.CLK(CTS_69),
+	.D(io_in[37]),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_filter_q_reg  (
+	.CLK(CTS_54),
+	.D(io_in[1]),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_filter_q_reg  (
+	.CLK(CTS_54),
+	.D(io_in[3]),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[0]  (
+	.CLK(CTS_73),
+	.D(n_13034),
+	.Q(soc_top_intr_gpio[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[3]  (
+	.CLK(CTS_52),
+	.D(n_13048),
+	.Q(soc_top_intr_gpio[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[4]  (
+	.CLK(CTS_52),
+	.D(n_13042),
+	.Q(soc_top_intr_gpio[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[7]  (
+	.CLK(CTS_73),
+	.D(n_13017),
+	.Q(soc_top_intr_gpio[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[8]  (
+	.CLK(CTS_73),
+	.D(n_13013),
+	.Q(soc_top_intr_gpio[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[9]  (
+	.CLK(CTS_48),
+	.D(n_13014),
+	.Q(soc_top_intr_gpio[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[10]  (
+	.CLK(CTS_48),
+	.D(n_13020),
+	.Q(soc_top_intr_gpio[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[11]  (
+	.CLK(CTS_73),
+	.D(n_13026),
+	.Q(soc_top_intr_gpio[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[12]  (
+	.CLK(CTS_70),
+	.D(n_13082),
+	.Q(soc_top_intr_gpio[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_13023),
+	.Q(soc_top_intr_gpio[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[14]  (
+	.CLK(CTS_70),
+	.D(n_13129),
+	.Q(soc_top_intr_gpio[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[23]  (
+	.CLK(CTS_71),
+	.D(n_13134),
+	.Q(soc_top_intr_gpio[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[24]  (
+	.CLK(CTS_75),
+	.D(n_13030),
+	.Q(soc_top_intr_gpio[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_13029),
+	.Q(soc_top_intr_gpio[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[27]  (
+	.CLK(CTS_75),
+	.D(n_13053),
+	.Q(soc_top_intr_gpio[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[28]  (
+	.CLK(CTS_75),
+	.D(n_13028),
+	.Q(soc_top_intr_gpio[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[29]  (
+	.CLK(CTS_72),
+	.D(n_13066),
+	.Q(soc_top_intr_gpio[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[30]  (
+	.CLK(CTS_52),
+	.D(n_13004),
+	.Q(soc_top_intr_gpio[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_intr_hw_intr_o_reg[31]  (
+	.CLK(CTS_52),
+	.D(n_13086),
+	.Q(soc_top_intr_gpio[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(n_25231),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(n_25232),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[2]  (
+	.CLK(CTS_48),
+	.D(n_25233),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_25234),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(n_25235),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(n_25236),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(n_25237),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(n_25238),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[8]  (
+	.CLK(CTS_48),
+	.D(n_25239),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(n_25240),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_25241),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_25242),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(n_25243),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_25244),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[14]  (
+	.CLK(CTS_70),
+	.D(n_25245),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(n_25246),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(n_25247),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(n_25248),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(n_25249),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[20]  (
+	.CLK(CTS_71),
+	.D(n_25250),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(n_25251),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(n_25252),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(n_25253),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(n_25254),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_25255),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_25256),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(n_25257),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(n_25258),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(n_25259),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(n_25229),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_data_in_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(n_25230),
+	.Q(soc_top_GPIO_u_reg_data_in_qs[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[1]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_gpio[0]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[1]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[2]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_gpio[1]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[3]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_gpio[2]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[4]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_gpio[3]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[5]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_gpio[4]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[5]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[6]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[5]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[6]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[7]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[6]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[7]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[8]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[7]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[8]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[9]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[8]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[9]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[10]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[9]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[10]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[11]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[10]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[11]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[12]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[11]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[12]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[13]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[12]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[13]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[14]  (
+	.CLK(CTS_70),
+	.D(soc_top_intr_gpio[13]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[14]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[15]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[14]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[15]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[16]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[15]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[16]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(soc_top_intr_gpio[16]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[17]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[17]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[18]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[19]  (
+	.CLK(CTS_73),
+	.D(soc_top_intr_gpio[18]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[19]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(soc_top_intr_gpio[19]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[20]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[21]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[20]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[21]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[22]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[21]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[22]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[23]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[22]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[23]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[24]  (
+	.CLK(CTS_71),
+	.D(soc_top_intr_gpio[23]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[24]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[25]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_gpio[24]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[25]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[26]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[25]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[26]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[27]  (
+	.CLK(CTS_71),
+	.D(soc_top_intr_gpio[26]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[27]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[28]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_gpio[27]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[28]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[29]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_gpio[28]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[29]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[30]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_gpio[29]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[30]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[31]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_gpio[30]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[31]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[32]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_gpio[31]),
+	.Q(soc_top_intr_controller_u_gateway_src_q[32]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[34]  (
+	.CLK(CTS_54),
+	.D(soc_top_intr_u_tx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[34]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[35]  (
+	.CLK(CTS_54),
+	.D(soc_top_intr_stx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[35]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_src_q_reg[36]  (
+	.CLK(CTS_54),
+	.D(soc_top_intr_srx),
+	.Q(soc_top_intr_controller_u_gateway_src_q[36]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_1_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[1]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_2_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[2]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_3_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[3]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_4_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[4]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_5_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[5]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_6_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[6]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_7_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[7]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_8_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[8]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_9_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[9]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_10_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[10]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_11_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[11]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_12_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[12]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_13_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[13]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_14_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[14]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_15_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[15]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_16_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[16]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_17_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[17]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_18_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[18]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_19_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[19]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_20_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[20]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_21_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[21]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_22_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[22]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_23_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[23]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_24_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[24]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_25_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[25]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_26_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[26]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_27_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[27]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_28_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(soc_top_intr_controller_ip[28]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_29_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(soc_top_intr_controller_ip[29]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_30_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[30]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_0_p_31_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(soc_top_intr_controller_ip[31]),
+	.Q(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_32_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_controller_ip[32]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_34_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_controller_ip[34]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_35_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_controller_ip[35]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_ip_1_p_36_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(soc_top_intr_controller_ip[36]),
+	.Q(soc_top_intr_controller_u_reg_reg_rdata_next[4]),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_lower0_0_qe_reg (
+	.CLK(CTS_57),
+	.D(n_13624),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_timer0_u_reg_u_compare_upper0_0_qe_reg (
+	.CLK(CTS_57),
+	.D(n_13623),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_neg_edge_reg (
+	.CLK(CTS_56),
+	.D(n_13554),
+	.Q(soc_top_u_spi_host_spi_host_neg_edge),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 soc_top_u_spi_host_spi_host_intr_rx_o_reg (
+	.CLK(CTS_54),
+	.D(n_13341),
+	.Q(soc_top_intr_srx), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[0]  (
+	.CLK(CTS_56),
+	.D(n_13415),
+	.Q(soc_top_u_spi_host_rdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[1]  (
+	.CLK(CTS_56),
+	.D(n_13414),
+	.Q(soc_top_u_spi_host_rdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[2]  (
+	.CLK(CTS_56),
+	.D(n_13413),
+	.Q(soc_top_u_spi_host_rdata[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[3]  (
+	.CLK(CTS_56),
+	.D(n_13411),
+	.Q(soc_top_u_spi_host_rdata[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[4]  (
+	.CLK(CTS_56),
+	.D(n_13422),
+	.Q(soc_top_u_spi_host_rdata[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[5]  (
+	.CLK(CTS_56),
+	.D(n_13410),
+	.Q(soc_top_u_spi_host_rdata[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[6]  (
+	.CLK(CTS_56),
+	.D(n_13423),
+	.Q(soc_top_u_spi_host_rdata[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[7]  (
+	.CLK(CTS_56),
+	.D(n_13409),
+	.Q(soc_top_u_spi_host_rdata[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[8]  (
+	.CLK(CTS_56),
+	.D(n_13419),
+	.Q(soc_top_u_spi_host_rdata[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[9]  (
+	.CLK(CTS_55),
+	.D(n_13408),
+	.Q(soc_top_u_spi_host_rdata[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[10]  (
+	.CLK(CTS_54),
+	.D(n_13412),
+	.Q(soc_top_u_spi_host_rdata[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[11]  (
+	.CLK(CTS_56),
+	.D(n_13407),
+	.Q(soc_top_u_spi_host_rdata[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[12]  (
+	.CLK(CTS_56),
+	.D(n_13406),
+	.Q(soc_top_u_spi_host_rdata[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[13]  (
+	.CLK(CTS_54),
+	.D(n_13405),
+	.Q(soc_top_u_spi_host_rdata[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[14]  (
+	.CLK(CTS_55),
+	.D(n_13391),
+	.Q(soc_top_u_spi_host_rdata[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[15]  (
+	.CLK(CTS_56),
+	.D(n_13404),
+	.Q(soc_top_u_spi_host_rdata[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[16]  (
+	.CLK(CTS_54),
+	.D(n_13403),
+	.Q(soc_top_u_spi_host_rdata[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[17]  (
+	.CLK(CTS_54),
+	.D(n_13402),
+	.Q(soc_top_u_spi_host_rdata[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[18]  (
+	.CLK(CTS_54),
+	.D(n_13393),
+	.Q(soc_top_u_spi_host_rdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[19]  (
+	.CLK(CTS_54),
+	.D(n_13401),
+	.Q(soc_top_u_spi_host_rdata[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[20]  (
+	.CLK(CTS_54),
+	.D(n_13417),
+	.Q(soc_top_u_spi_host_rdata[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[21]  (
+	.CLK(CTS_54),
+	.D(n_13400),
+	.Q(soc_top_u_spi_host_rdata[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[22]  (
+	.CLK(CTS_54),
+	.D(n_13416),
+	.Q(soc_top_u_spi_host_rdata[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[23]  (
+	.CLK(CTS_54),
+	.D(n_13399),
+	.Q(soc_top_u_spi_host_rdata[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[24]  (
+	.CLK(CTS_56),
+	.D(n_13418),
+	.Q(soc_top_u_spi_host_rdata[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[25]  (
+	.CLK(CTS_56),
+	.D(n_13398),
+	.Q(soc_top_u_spi_host_rdata[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[26]  (
+	.CLK(CTS_56),
+	.D(n_13421),
+	.Q(soc_top_u_spi_host_rdata[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[27]  (
+	.CLK(CTS_56),
+	.D(n_13397),
+	.Q(soc_top_u_spi_host_rdata[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[28]  (
+	.CLK(CTS_56),
+	.D(n_13396),
+	.Q(soc_top_u_spi_host_rdata[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[29]  (
+	.CLK(CTS_56),
+	.D(n_13395),
+	.Q(soc_top_u_spi_host_rdata[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[30]  (
+	.CLK(CTS_56),
+	.D(n_13420),
+	.Q(soc_top_u_spi_host_rdata[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_rdata_o_reg[31]  (
+	.CLK(CTS_56),
+	.D(n_13394),
+	.Q(soc_top_u_spi_host_rdata[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_sd_oe_reg (
+	.CLK(CTS_53),
+	.D(n_13054),
+	.Q(sd_oe),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_top_u_core_core_busy_q_reg (
+	.CLK(CTS_93),
+	.D(n_13437),
+	.Q(UNCONNECTED311),
+	.Q_N(soc_top_u_top_u_core_core_busy_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_fetch_enable_q_reg (
+	.CLK(CTS_93),
+	.D(io_oeb[0]),
+	.Q(soc_top_u_top_u_core_fetch_enable_q),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499347 (
+	.A(n_70051),
+	.B(n_27098),
+	.Y(n_13881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499385 (
+	.A(n_54617),
+	.B(n_35296),
+	.Y(n_13875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499397 (
+	.A(n_38418),
+	.Y(n_13863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499400 (
+	.A(n_33517),
+	.B(n_59189),
+	.Y(n_13858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499406 (
+	.A(n_33520),
+	.B(n_59196),
+	.Y(n_13852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499413 (
+	.A(n_59188),
+	.B(n_33516),
+	.Y(n_13847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499420 (
+	.A(n_33509),
+	.B(n_59194),
+	.Y(n_13840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499423 (
+	.A(n_33506),
+	.B(n_54726),
+	.Y(n_13837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499424 (
+	.A(n_33505),
+	.B(n_59195),
+	.Y(n_13836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g499458 (
+	.A(n_13735),
+	.B(n_13748),
+	.Y(n_13803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499461 (
+	.A(n_74933),
+	.B(n_35425),
+	.Y(n_13799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499462 (
+	.A(n_64223),
+	.B(n_13734),
+	.Y(n_13802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499503 (
+	.A(n_29609),
+	.B_N(n_13748),
+	.Y(n_13757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499516 (
+	.A(n_13711),
+	.B(n_45596),
+	.Y(n_13748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g499518 (
+	.A(n_13710),
+	.Y(n_13711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g499519 (
+	.A(n_35273),
+	.Y(n_13742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g499526 (
+	.A(n_13734),
+	.Y(n_13735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499528 (
+	.A(n_13731),
+	.B(n_13057),
+	.Y(n_13734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499536 (
+	.A(n_66353),
+	.B(n_47151),
+	.Y(n_13731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499539 (
+	.A(n_13711),
+	.B_N(n_35271),
+	.Y(n_13722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499553 (
+	.A(n_35270),
+	.B(n_13706),
+	.Y(n_13710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g499558 (
+	.A(n_38426),
+	.B(n_35241),
+	.COUT(n_13706),
+	.SUM(n_13701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499591 (
+	.A1_N(n_26257),
+	.A2_N(n_13450),
+	.B1(n_744),
+	.B2(n_13652),
+	.Y(n_25914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499592 (
+	.A(n_13662),
+	.B(n_13501),
+	.Y(n_25912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499593 (
+	.A(n_13661),
+	.B(n_13502),
+	.Y(n_25913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499600 (
+	.A(n_13651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_13662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499601 (
+	.A(n_13651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_13661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499602 (
+	.A(n_69323),
+	.B(n_13596),
+	.Y(n_13660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499607 (
+	.A(n_13649),
+	.B(n_13505),
+	.Y(n_25917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g499609 (
+	.A1(soc_top_u_top_u_core_pc_if[1]),
+	.A2(n_25638),
+	.B1_N(n_25294),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499610 (
+	.A(n_13650),
+	.B(n_13504),
+	.Y(n_25916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499611 (
+	.A(n_13648),
+	.B(n_13503),
+	.Y(n_25915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499614 (
+	.A(n_13651),
+	.Y(n_13652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499615 (
+	.A(n_13630),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_13650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499616 (
+	.A(n_13630),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_13649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499617 (
+	.A(n_13630),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_13648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g499618 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
+	.B1(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_25294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499619 (
+	.A(n_13631),
+	.B(n_30682),
+	.Y(n_13651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499622 (
+	.A(n_52035),
+	.Y(n_13645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499623 (
+	.A(n_13640),
+	.B(n_13507),
+	.Y(n_25919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499625 (
+	.A(n_13638),
+	.B(n_13508),
+	.Y(n_25920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499629 (
+	.A(n_13612),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_13640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499632 (
+	.A(n_13612),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_13638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g499638 (
+	.A(n_13630),
+	.Y(n_13631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g499642 (
+	.A1(n_714),
+	.A2(FE_DBTN97_n_13603),
+	.B1(n_13495),
+	.Y(n_25923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g499645 (
+	.A1(FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9),
+	.A2(FE_DBTN97_n_13603),
+	.B1(n_13509),
+	.Y(n_25921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g499646 (
+	.A1(n_704),
+	.A2(FE_DBTN97_n_13603),
+	.B1(n_13510),
+	.Y(n_25922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499647 (
+	.A(n_25640),
+	.B(n_25639),
+	.Y(n_25638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499649 (
+	.A(n_13617),
+	.B(n_30681),
+	.Y(n_13630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499652 (
+	.A(n_25200),
+	.Y(n_13624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499653 (
+	.A(n_25202),
+	.Y(n_13623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g499658 (
+	.A(n_13612),
+	.Y(n_13617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499660 (
+	.A(n_13607),
+	.B(n_38400),
+	.Y(n_13615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g499662 (
+	.A(n_25201),
+	.B(n_25206),
+	.X(n_25200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g499663 (
+	.A(n_25214),
+	.B(n_25206),
+	.X(n_25202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499666 (
+	.A(FE_DBTN97_n_13603),
+	.B(n_13152),
+	.Y(n_13612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499668 (
+	.A1_N(n_26267),
+	.A2_N(n_13450),
+	.B1(n_748),
+	.B2(n_13587),
+	.Y(n_25924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499669 (
+	.A1_N(n_25579),
+	.A2_N(n_13450),
+	.B1(n_736),
+	.B2(n_13587),
+	.Y(n_25925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499670 (
+	.A1_N(n_25580),
+	.A2_N(n_13450),
+	.B1(n_745),
+	.B2(n_13587),
+	.Y(n_25926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g499671 (
+	.A(n_13262),
+	.B(n_64182),
+	.C(n_65475),
+	.Y(n_13609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g499672 (
+	.A0(n_63580),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g499673 (
+	.A0(n_63570),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g499675 (
+	.A0(\soc_top_iccm_to_xbar[d_data] [17]),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(n_25640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g499676 (
+	.A0(n_64764),
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.S(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.X(n_25639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g499685 (
+	.A(n_64182),
+	.B(n_13380),
+	.COUT(n_13596),
+	.SUM(n_13607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499687 (
+	.A_N(n_25207),
+	.B(\soc_top_xbar_to_timer[a_address] [8]),
+	.Y(n_25206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499688 (
+	.A(n_13587),
+	.B(n_13155),
+	.Y(n_13603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g499689 (
+	.A1_N(n_51101),
+	.A2_N(n_50085),
+	.B1(n_68742),
+	.B2(FE_DBTN95_n_63318),
+	.Y(n_13597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499691 (
+	.A1_N(n_25583),
+	.A2_N(n_13450),
+	.B1(n_55),
+	.B2(n_13578),
+	.Y(n_25929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499692 (
+	.A1_N(n_25581),
+	.A2_N(n_13450),
+	.B1(n_691),
+	.B2(n_13578),
+	.Y(n_25927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499700 (
+	.A1(n_13431),
+	.A2(n_25298),
+	.B1(n_13569),
+	.Y(\soc_top_iccm_to_xbar[d_data] [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g499702 (
+	.A_N(n_25260),
+	.B_N(n_25208),
+	.C(n_25215),
+	.D(soc_top_u_top_data_we),
+	.Y(n_25207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499705 (
+	.A(n_29625),
+	.B(n_13577),
+	.Y(n_13587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499706 (
+	.A1_N(n_25586),
+	.A2_N(n_13450),
+	.B1(n_721),
+	.B2(n_13566),
+	.Y(n_25932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g499707 (
+	.A1_N(n_25584),
+	.A2_N(n_13450),
+	.B1(n_694),
+	.B2(n_13566),
+	.Y(n_25930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g499708 (
+	.A1_N(n_25585),
+	.A2_N(n_13450),
+	.B1(n_71),
+	.B2(n_13566),
+	.Y(n_25931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499716 (
+	.A(n_13577),
+	.Y(n_13578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_2 g499717 (
+	.A1(n_13516),
+	.A2(n_13480),
+	.A3(n_13474),
+	.B1(n_13333),
+	.B2(n_25328),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g499721 (
+	.A(n_64182),
+	.B(n_64181),
+	.Y(n_13582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499724 (
+	.A(n_13566),
+	.B(n_13060),
+	.Y(n_13577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g499727 (
+	.A1(n_13534),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.Y(n_13572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g499728 (
+	.A1(n_13534),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.Y(n_13571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g499729 (
+	.A1(n_13534),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_13570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g499730 (
+	.A1(n_13534),
+	.A2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.Y(n_13569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_2 g499733 (
+	.A1(n_30415),
+	.A2(n_48302),
+	.A3(n_13521),
+	.B1(n_13565),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g499737 (
+	.A1(n_13470),
+	.A2(n_13513),
+	.B1(n_53335),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.X(n_13565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499740 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[4]),
+	.C1(n_13527),
+	.X(soc_top_instr_addr[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499741 (
+	.A(n_13551),
+	.B(n_13071),
+	.Y(n_13562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g499743 (
+	.A1(n_13455),
+	.A2(n_13480),
+	.A3(n_13237),
+	.B1(n_13552),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499744 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[7]),
+	.C1(n_13530),
+	.X(soc_top_instr_addr[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499745 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[6]),
+	.C1(n_13529),
+	.X(soc_top_instr_addr[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499746 (
+	.A1(n_13512),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[5]),
+	.C1(n_13533),
+	.X(soc_top_instr_addr[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499747 (
+	.A(n_29626),
+	.B(n_13543),
+	.Y(n_13566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 g499753 (
+	.A1(n_13470),
+	.A2(n_13514),
+	.B1(n_13339),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499754 (
+	.A1(n_13478),
+	.A2(n_25263),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.C1(n_13525),
+	.X(soc_top_instr_addr[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499755 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.C1(n_13524),
+	.X(soc_top_instr_addr[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499756 (
+	.A1(n_13478),
+	.A2(n_25264),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.C1(n_13532),
+	.X(soc_top_instr_addr[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g499757 (
+	.A1(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.A2(io_out[4]),
+	.A3(n_25194),
+	.B1(n_13541),
+	.Y(n_13554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499758 (
+	.A1(n_13512),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[3]),
+	.C1(n_13526),
+	.X(soc_top_instr_addr[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499764 (
+	.A(n_13551),
+	.Y(n_13552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g499768 (
+	.A(n_13543),
+	.Y(n_13545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499771 (
+	.A_N(n_25192),
+	.B(io_out[4]),
+	.Y(n_13541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g499772 (
+	.A1(n_62317),
+	.A2(n_13429),
+	.A3(n_13470),
+	.B1(n_13521),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g499776 (
+	.A1(n_13357),
+	.A2(n_13486),
+	.B1(n_29942),
+	.X(\soc_top_iccm_to_xbar[d_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g499777 (
+	.A1(n_25455),
+	.A2(soc_top_u_top_u_core_core_busy_q),
+	.B1_N(soc_top_u_top_u_core_fetch_enable_q),
+	.Y(soc_top_u_top_u_core_clock_en), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g499778 (
+	.A(n_15521),
+	.B(n_25328),
+	.Y(n_13551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499779 (
+	.A(n_13522),
+	.B(n_68740),
+	.Y(n_13550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499781 (
+	.A(n_32161),
+	.B(n_12994),
+	.Y(n_13543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499782 (
+	.A_N(n_25468),
+	.B(n_13294),
+	.Y(n_25298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g499783 (
+	.A_N(n_25468),
+	.B(n_13293),
+	.C(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_13542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499786 (
+	.A1(n_13478),
+	.A2(n_25204),
+	.B1(n_67157),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.X(n_13533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499787 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[0]),
+	.X(n_13532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g499788 (
+	.A1(n_25386),
+	.A2(n_13454),
+	.B1(n_13471),
+	.B2(n_36105),
+	.C1(n_13439),
+	.Y(n_13531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499789 (
+	.A1(n_13478),
+	.A2(n_25195),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.X(n_13530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499790 (
+	.A1(n_13478),
+	.A2(n_25198),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.X(n_13529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499792 (
+	.A1(n_13478),
+	.A2(n_25205),
+	.B1(n_13512),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.X(n_13527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499793 (
+	.A1(n_13478),
+	.A2(n_25261),
+	.B1(n_67157),
+	.B2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.X(n_13526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499794 (
+	.A1(n_67157),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[2]),
+	.X(n_13525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g499795 (
+	.A1(n_13478),
+	.A2(n_25262),
+	.B1(n_12887),
+	.B2(soc_top_iccm_ctrl_addr_o[1]),
+	.X(n_13524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499796 (
+	.A1(\soc_top_xbar_to_timer[a_mask] [0]),
+	.A2(n_13473),
+	.B1(n_13472),
+	.Y(n_25208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g499799 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_13294),
+	.C(n_25468),
+	.Y(n_13534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499803 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [22]),
+	.X(soc_top_data_wdata[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g499804 (
+	.A(n_13482),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.X(n_25941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g499805 (
+	.A(n_13482),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.X(n_25940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g499806 (
+	.A(n_13482),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.X(n_25939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499808 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [23]),
+	.X(soc_top_data_wdata[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499809 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [20]),
+	.X(soc_top_data_wdata[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499810 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [19]),
+	.X(soc_top_data_wdata[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499811 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [16]),
+	.X(soc_top_data_wdata[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g499812 (
+	.A(n_13479),
+	.B(n_13356),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499813 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [21]),
+	.X(soc_top_data_wdata[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499814 (
+	.A_N(n_56271),
+	.B(n_13481),
+	.Y(n_13521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499815 (
+	.A_N(n_13357),
+	.B(n_13486),
+	.Y(n_25468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499816 (
+	.A(n_13480),
+	.B(n_29868),
+	.Y(n_25328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499818 (
+	.A(n_13500),
+	.B(n_13463),
+	.C(n_13438),
+	.Y(n_13516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499819 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [18]),
+	.X(soc_top_data_wdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499821 (
+	.A(n_13511),
+	.B(\soc_top_xbar_to_timer[a_data] [17]),
+	.X(soc_top_data_wdata[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g499822 (
+	.A1(n_13203),
+	.A2(n_13427),
+	.B1(n_61461),
+	.C1(n_13443),
+	.Y(n_13514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g499823 (
+	.A1(n_13451),
+	.A2(soc_top_iccm_adapter_inst_mem_reqfifo_wready),
+	.B1(soc_top_instr_we),
+	.X(soc_top_instr_csb), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g499824 (
+	.A1(n_25389),
+	.A2(n_13427),
+	.B1(n_13465),
+	.C1(n_62319),
+	.X(n_13513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499825 (
+	.A(n_13498),
+	.B(n_13105),
+	.Y(n_25942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g499826 (
+	.A(n_66574),
+	.B(n_13499),
+	.C(n_13213),
+	.Y(n_25944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499827 (
+	.A(n_13210),
+	.B(n_13211),
+	.C(n_13497),
+	.Y(n_25943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g499828 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.A2(n_13105),
+	.B1(n_13461),
+	.C1(n_13220),
+	.Y(n_25949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499829 (
+	.A(n_13222),
+	.B(n_13221),
+	.C(n_13462),
+	.Y(n_25950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499830 (
+	.A(n_13219),
+	.B(n_13218),
+	.C(n_13460),
+	.Y(n_25948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g499831 (
+	.A1(\soc_top_xbar_to_timer[a_mask] [0]),
+	.A2(\soc_top_xbar_to_timer[a_mask] [2]),
+	.B1(n_25216),
+	.X(n_25215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g499832 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.C(n_13179),
+	.D(n_13389),
+	.X(n_25192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499834 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [15]),
+	.Y(soc_top_data_wdata[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499835 (
+	.A(n_13450),
+	.B(n_26265),
+	.Y(n_13510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499836 (
+	.A(n_13450),
+	.B(n_26264),
+	.Y(n_13509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499837 (
+	.A(n_13450),
+	.B(n_26263),
+	.Y(n_13508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499838 (
+	.A(n_13450),
+	.B(n_26262),
+	.Y(n_13507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499840 (
+	.A(n_13450),
+	.B(n_26260),
+	.Y(n_13505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499841 (
+	.A(n_13450),
+	.B(n_26259),
+	.Y(n_13504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499842 (
+	.A(n_13450),
+	.B(n_26258),
+	.Y(n_13503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499843 (
+	.A(n_13450),
+	.B(n_26256),
+	.Y(n_13502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499844 (
+	.A(n_13450),
+	.B(n_26255),
+	.Y(n_13501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499845 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Y(\soc_top_dccm_adapter_data_mem_wmask_int[0] [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499847 (
+	.A(n_25439),
+	.B_N(n_25279),
+	.Y(n_25910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499848 (
+	.A_N(n_13471),
+	.B(n_31260),
+	.Y(n_13500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499849 (
+	.A(n_13453),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.Y(n_13499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499850 (
+	.A(n_13453),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.Y(n_13498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499851 (
+	.A(n_13453),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.Y(n_13497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499853 (
+	.A(n_13450),
+	.B(n_26266),
+	.Y(n_13495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499860 (
+	.A(n_13451),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.X(n_13512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499861 (
+	.A(soc_top_data_we),
+	.B(\soc_top_xbar_to_timer[a_mask] [2]),
+	.X(n_13511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499865 (
+	.A(n_13480),
+	.Y(n_13479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499867 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [12]),
+	.Y(soc_top_data_wdata[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499868 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [11]),
+	.Y(soc_top_data_wdata[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499869 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(soc_top_data_wdata[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499870 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [13]),
+	.Y(soc_top_data_wdata[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499871 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [9]),
+	.Y(soc_top_data_wdata[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499872 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [10]),
+	.Y(soc_top_data_wdata[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g499874 (
+	.A1(n_31276),
+	.A2(n_13084),
+	.B1(n_13455),
+	.X(n_13474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g499876 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.A2(n_13105),
+	.B1(n_13392),
+	.C1(n_13225),
+	.Y(n_25953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g499877 (
+	.A1(n_25209),
+	.A2(\soc_top_xbar_to_timer[a_address] [8]),
+	.B1_N(n_25213),
+	.Y(n_13473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499878 (
+	.A(n_13468),
+	.B_N(\soc_top_xbar_to_timer[a_data] [8]),
+	.Y(soc_top_data_wdata[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499879 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.A2(n_13105),
+	.B1(n_30643),
+	.Y(n_25951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499880 (
+	.A(n_13290),
+	.B(n_13459),
+	.Y(n_25947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g499881 (
+	.A(n_66576),
+	.B(n_13214),
+	.C(n_13467),
+	.Y(n_25945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g499882 (
+	.A(n_66573),
+	.B(n_13217),
+	.C(n_13458),
+	.Y(n_25946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499883 (
+	.A(n_13445),
+	.B(n_13224),
+	.Y(n_25952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499884 (
+	.A(n_13338),
+	.B(\soc_top_xbar_to_timer[a_address] [8]),
+	.C(n_25221),
+	.Y(n_13472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g499886 (
+	.A(soc_top_u_spi_host_spi_host_divider[0]),
+	.B(soc_top_u_spi_host_spi_host_divider[4]),
+	.C(n_13181),
+	.D(n_13340),
+	.X(n_25194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g499887 (
+	.A1(n_25297),
+	.A2(n_13294),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.Y(n_13486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499888 (
+	.A(n_30644),
+	.B(n_13264),
+	.Y(n_13485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499890 (
+	.A(n_13452),
+	.B(n_12999),
+	.Y(n_13482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g499891 (
+	.A(n_13470),
+	.B(n_35679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499892 (
+	.A(n_12990),
+	.B(n_13471),
+	.Y(n_13480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g499893 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(n_13451),
+	.C(soc_top_u_top_u_core_pc_set),
+	.X(n_13478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499896 (
+	.A(n_13426),
+	.B(soc_top_prog_rst_ni),
+	.Y(soc_top_instr_wmask[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499931 (
+	.A(n_25272),
+	.B_N(n_67160),
+	.Y(\soc_top_dccm_adapter_data_mem_wmask_int[0] [14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499932 (
+	.A(n_13384),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.Y(n_13467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499934 (
+	.A(n_13429),
+	.B(n_13165),
+	.Y(n_13465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499935 (
+	.A(\soc_top_ifu_to_xbar[a_valid] ),
+	.B(soc_top_iccm_adapter_inst_mem_reqfifo_wready),
+	.Y(n_25301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499937 (
+	.A(n_13387),
+	.B(n_36105),
+	.Y(n_13463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499938 (
+	.A(n_13430),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.Y(n_13462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499939 (
+	.A(n_13430),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.Y(n_13461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499940 (
+	.A(n_13430),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.Y(n_13460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499941 (
+	.A(n_13384),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.Y(n_13459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499942 (
+	.A(n_13384),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.Y(n_13458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g499943 (
+	.A(n_44522),
+	.B(n_13240),
+	.Y(n_13457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g499944 (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B(n_13387),
+	.Y(n_13471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499945 (
+	.A(n_25312),
+	.B(n_13427),
+	.Y(n_13470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g499946 (
+	.A(soc_top_data_we),
+	.B(n_67160),
+	.Y(n_13468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g499948 (
+	.A(n_13454),
+	.Y(n_13455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g499949 (
+	.A(n_13452),
+	.Y(n_13453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g499950 (
+	.A(n_13449),
+	.Y(n_13450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g499951 (
+	.A0(n_13360),
+	.A1(n_13359),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.X(n_25709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499952 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(soc_top_data_wdata[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499953 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(soc_top_data_wdata[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499954 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(soc_top_data_wdata[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499955 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(soc_top_data_wdata[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499957 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(soc_top_data_wdata[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499958 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(soc_top_data_wdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g499961 (
+	.A1(n_13352),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.B1(n_13106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Y(n_13445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499963 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(soc_top_data_wdata[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499964 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(soc_top_data_wdata[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499965 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(soc_top_data_wdata[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499966 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(soc_top_data_wdata[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499967 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(soc_top_data_wdata[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g499968 (
+	.A(n_13424),
+	.B_N(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(soc_top_data_wdata[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499969 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(soc_top_data_wdata[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499970 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(soc_top_data_wdata[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499971 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(soc_top_data_wdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g499972 (
+	.A(n_13425),
+	.B(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(soc_top_data_wdata[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g499973 (
+	.A1(n_54575),
+	.A2(n_13003),
+	.B1(n_13429),
+	.Y(n_13443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g499977 (
+	.A1(n_13237),
+	.A2(n_66193),
+	.B1(n_13372),
+	.Y(n_13439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499978 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.A2(n_13105),
+	.B1(n_13365),
+	.Y(n_25957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499979 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.A2(n_13105),
+	.B1(n_67159),
+	.Y(n_25958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499980 (
+	.A(n_13228),
+	.B(n_13227),
+	.C(n_13345),
+	.Y(n_25954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g499981 (
+	.A(n_13226),
+	.B(n_13229),
+	.C(n_13343),
+	.Y(n_25955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g499982 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.A2(n_13105),
+	.B1(n_12864),
+	.B2(n_13107),
+	.C1(n_13344),
+	.Y(n_25956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g499983 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.A2(n_13105),
+	.B1(n_67158),
+	.Y(n_25959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g499984 (
+	.A1(n_13098),
+	.A2(n_13300),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.B2(n_13337),
+	.Y(n_13438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g499985 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.C(n_13196),
+	.D(\soc_top_ifu_to_xbar[a_valid] ),
+	.X(n_13437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g499986 (
+	.A(n_40573),
+	.B(n_13388),
+	.C(n_30124),
+	.Y(n_13436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g499987 (
+	.A(n_12881),
+	.B(n_12870),
+	.C(n_13311),
+	.Y(n_13435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g499988 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
+	.X(n_25279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499989 (
+	.A1(n_13235),
+	.A2(n_27312),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[4]),
+	.C1(n_13373),
+	.X(n_25263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499990 (
+	.A1(n_13235),
+	.A2(n_27313),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[5]),
+	.C1(n_13368),
+	.X(n_25261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499991 (
+	.A1(n_13235),
+	.A2(n_27314),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[6]),
+	.C1(n_13378),
+	.X(n_25205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499992 (
+	.A1(n_13235),
+	.A2(n_27315),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[7]),
+	.C1(n_13362),
+	.X(n_25204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499993 (
+	.A1(n_13235),
+	.A2(n_27316),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[8]),
+	.C1(n_13363),
+	.X(n_25198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499994 (
+	.A1(n_27317),
+	.A2(n_13235),
+	.B1(n_13236),
+	.B2(soc_top_u_top_u_core_csr_mepc[9]),
+	.C1(n_13364),
+	.X(n_25195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499995 (
+	.A1(n_13235),
+	.A2(n_27310),
+	.B1(n_13236),
+	.B2(n_29250),
+	.C1(n_13377),
+	.X(n_25264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g499996 (
+	.A1(n_13235),
+	.A2(n_27311),
+	.B1(n_13236),
+	.B2(n_29251),
+	.C1(n_13374),
+	.X(n_25262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g499997 (
+	.A_N(n_13387),
+	.B(n_13356),
+	.Y(n_13454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g499998 (
+	.A1(n_13201),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_n_753),
+	.A3(n_13111),
+	.B1(n_13369),
+	.X(\soc_top_xbar_to_timer[a_mask] [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499999 (
+	.A(n_66191),
+	.B(n_13384),
+	.Y(n_13452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500000 (
+	.A(n_12887),
+	.B(n_13426),
+	.Y(n_13451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500001 (
+	.A(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28),
+	.B(n_13370),
+	.Y(n_13449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500002 (
+	.A(n_13434),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500003 (
+	.A(n_13433),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500004 (
+	.A(n_13432),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500005 (
+	.A(n_13431),
+	.Y(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500007 (
+	.A(\soc_top_ifu_to_xbar[a_valid] ),
+	.Y(n_13426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500008 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Y(\soc_top_dccm_adapter_data_mem_wmask_int[0] [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500009 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[6]),
+	.Y(n_13423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500010 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[4]),
+	.Y(n_13422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500011 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[26]),
+	.Y(n_13421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500012 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[30]),
+	.Y(n_13420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500013 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[8]),
+	.Y(n_13419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500014 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[24]),
+	.Y(n_13418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500015 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[20]),
+	.Y(n_13417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500016 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[22]),
+	.Y(n_13416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500017 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[0]),
+	.Y(n_13415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500018 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[1]),
+	.Y(n_13414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500019 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[2]),
+	.Y(n_13413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500020 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[10]),
+	.Y(n_13412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500021 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[3]),
+	.Y(n_13411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500022 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[5]),
+	.Y(n_13410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500023 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[7]),
+	.Y(n_13409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500024 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[9]),
+	.Y(n_13408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500025 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[11]),
+	.Y(n_13407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500026 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[12]),
+	.Y(n_13406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500027 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[13]),
+	.Y(n_13405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500028 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[15]),
+	.Y(n_13404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500029 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[16]),
+	.Y(n_13403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500030 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[17]),
+	.Y(n_13402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500031 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[19]),
+	.Y(n_13401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500032 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[21]),
+	.Y(n_13400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500033 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[23]),
+	.Y(n_13399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500034 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[25]),
+	.Y(n_13398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500035 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[27]),
+	.Y(n_13397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500036 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[28]),
+	.Y(n_13396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500037 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[29]),
+	.Y(n_13395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500038 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[31]),
+	.Y(n_13394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500039 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[18]),
+	.Y(n_13393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500040 (
+	.A(n_13352),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.Y(n_13392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500041 (
+	.A(n_13331),
+	.B_N(soc_top_u_spi_host_spi_host_rx[14]),
+	.Y(n_13391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g500043 (
+	.A_N(n_25196),
+	.B(n_13178),
+	.C(n_13195),
+	.D(n_13062),
+	.Y(n_13389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500044 (
+	.A1(n_13233),
+	.A2(soc_top_u_spi_host_spi_host_ss[3]),
+	.B1(n_13277),
+	.C1(n_13354),
+	.Y(io_out[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500045 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Y(\soc_top_dccm_adapter_data_mem_wmask_int[0] [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500046 (
+	.A1(n_13233),
+	.A2(soc_top_u_spi_host_spi_host_ss[2]),
+	.B1(n_13291),
+	.C1(n_13354),
+	.Y(io_out[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500047 (
+	.A1(n_13233),
+	.A2(soc_top_u_spi_host_spi_host_ss[0]),
+	.B1(n_13281),
+	.C1(n_13354),
+	.Y(io_out[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500048 (
+	.A1(n_13233),
+	.A2(soc_top_u_spi_host_spi_host_ss[1]),
+	.B1(n_13288),
+	.C1(n_13354),
+	.Y(io_out[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500049 (
+	.A1(n_13159),
+	.A2(soc_top_u_top_u_core_lsu_wdata[31]),
+	.B1(n_13158),
+	.B2(FE_DBTN73_n_31180),
+	.C1(n_53336),
+	.X(\soc_top_xbar_to_timer[a_data] [31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500050 (
+	.A(n_25218),
+	.B(n_25217),
+	.X(n_25216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500051 (
+	.A(n_29942),
+	.B(soc_top_iccm_adapter_rvalid),
+	.Y(n_25297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500052 (
+	.A_N(n_25288),
+	.B(soc_top_instr_rdata[16]),
+	.Y(n_13434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500053 (
+	.A_N(n_25288),
+	.B(soc_top_instr_rdata[1]),
+	.Y(n_13433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500054 (
+	.A_N(n_25288),
+	.B(soc_top_instr_rdata[0]),
+	.Y(n_13432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500055 (
+	.A(\soc_top_xbar_to_timer[a_mask] [0]),
+	.B(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Y(n_25221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500058 (
+	.A1(n_13159),
+	.A2(n_74767),
+	.B1(n_13158),
+	.B2(n_36918),
+	.C1(n_35677),
+	.X(\soc_top_xbar_to_timer[a_data] [24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500059 (
+	.A_N(n_25288),
+	.B(soc_top_instr_rdata[17]),
+	.Y(n_13431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500060 (
+	.A(n_13351),
+	.B(n_13112),
+	.Y(n_13430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500061 (
+	.A(n_13250),
+	.B_N(n_61466),
+	.Y(n_13429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500063 (
+	.A(n_61466),
+	.B(n_13250),
+	.Y(n_13427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500064 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(n_25302),
+	.Y(\soc_top_ifu_to_xbar[a_valid] ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500065 (
+	.A(soc_top_data_we),
+	.B(\soc_top_xbar_to_timer[a_mask] [0]),
+	.X(n_13425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500066 (
+	.A(soc_top_data_we),
+	.B(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Y(n_13424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500067 (
+	.A(n_73493),
+	.Y(n_13388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500070 (
+	.A(n_64181),
+	.Y(n_13380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500071 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[6]),
+	.B1(n_13234),
+	.B2(n_29223),
+	.X(n_13378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500072 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[2]),
+	.B1(n_13234),
+	.B2(n_29219),
+	.X(n_13377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500075 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[3]),
+	.B1(n_13234),
+	.B2(n_29220),
+	.X(n_13374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500076 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[4]),
+	.B1(n_13234),
+	.B2(n_29221),
+	.X(n_13373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g500077 (
+	.A(n_31465),
+	.B(n_13300),
+	.C(n_13356),
+	.Y(n_13372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500079 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.A2(n_13105),
+	.B1(n_13310),
+	.Y(n_25962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g500080 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.A2(n_13105),
+	.B1(n_12845),
+	.B2(n_13107),
+	.C1(n_13280),
+	.Y(n_25961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g500081 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.A2(n_13105),
+	.B1(n_12856),
+	.B2(n_13107),
+	.C1(n_13278),
+	.Y(n_25960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g500082 (
+	.A(n_13256),
+	.B(n_13044),
+	.C(n_13109),
+	.D(n_13258),
+	.Y(n_13370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g500083 (
+	.A1(n_12928),
+	.A2(n_13303),
+	.B1(n_13244),
+	.B2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.C1(soc_top_u_top_data_we),
+	.Y(n_13369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500084 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[5]),
+	.B1(n_13234),
+	.B2(n_29222),
+	.X(n_13368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500087 (
+	.A1(n_13297),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.B1(n_13106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.Y(n_13365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500088 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[9]),
+	.B1(n_13234),
+	.B2(n_29226),
+	.X(n_13364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500089 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[8]),
+	.B1(n_13234),
+	.B2(n_29225),
+	.X(n_13363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500090 (
+	.A1(n_13292),
+	.A2(soc_top_u_top_u_core_csr_mtvec[7]),
+	.B1(n_13234),
+	.B2(n_29224),
+	.X(n_13362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500091 (
+	.A0(n_13302),
+	.A1(n_13301),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.X(n_25708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500092 (
+	.A1(n_13157),
+	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
+	.B1(n_13158),
+	.B2(n_51105),
+	.C1(n_13274),
+	.X(\soc_top_xbar_to_timer[a_data] [5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500093 (
+	.A1(n_13157),
+	.A2(n_30399),
+	.B1(n_13158),
+	.B2(n_68801),
+	.C1(n_13283),
+	.X(\soc_top_xbar_to_timer[a_data] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500094 (
+	.A1(n_13158),
+	.A2(n_16415),
+	.B1(n_13159),
+	.B2(n_16220),
+	.C1(n_13260),
+	.X(\soc_top_xbar_to_timer[a_data] [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500095 (
+	.A1(n_13158),
+	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
+	.B1(n_13159),
+	.B2(n_51105),
+	.C1(n_13263),
+	.X(\soc_top_xbar_to_timer[a_data] [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500096 (
+	.A1(n_13158),
+	.A2(n_30399),
+	.B1(n_13159),
+	.B2(n_68801),
+	.C1(n_13270),
+	.X(\soc_top_xbar_to_timer[a_data] [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500097 (
+	.A1(n_13159),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1_N(n_13325),
+	.X(\soc_top_xbar_to_timer[a_data] [18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500098 (
+	.A1(n_13160),
+	.A2(n_16415),
+	.B1_N(n_13321),
+	.X(\soc_top_xbar_to_timer[a_data] [14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500099 (
+	.A1(n_13160),
+	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
+	.B1_N(n_13320),
+	.X(\soc_top_xbar_to_timer[a_data] [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500100 (
+	.A1(n_13160),
+	.A2(n_30399),
+	.B1_N(n_13316),
+	.X(\soc_top_xbar_to_timer[a_data] [9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500101 (
+	.A1(n_13160),
+	.A2(soc_top_u_top_u_core_lsu_wdata[31]),
+	.B1_N(n_53340),
+	.X(\soc_top_xbar_to_timer[a_data] [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500102 (
+	.A1(n_13158),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1(n_13159),
+	.B2(n_71047),
+	.C1(n_13269),
+	.X(\soc_top_xbar_to_timer[a_data] [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500103 (
+	.A1(n_13160),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1_N(n_13317),
+	.X(\soc_top_xbar_to_timer[a_data] [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500104 (
+	.A1(n_13159),
+	.A2(n_54453),
+	.B1_N(n_13326),
+	.X(\soc_top_xbar_to_timer[a_data] [19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500105 (
+	.A1(n_13160),
+	.A2(n_74767),
+	.B1_N(n_35680),
+	.X(\soc_top_xbar_to_timer[a_data] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500106 (
+	.A1(n_13157),
+	.A2(soc_top_u_top_u_core_lsu_wdata[31]),
+	.B1_N(n_53339),
+	.X(\soc_top_xbar_to_timer[a_data] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500107 (
+	.A1(n_13157),
+	.A2(n_74767),
+	.B1_N(n_35681),
+	.X(\soc_top_xbar_to_timer[a_data] [8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500108 (
+	.A1(n_13158),
+	.A2(soc_top_u_top_u_core_lsu_wdata[31]),
+	.B1_N(n_53338),
+	.X(\soc_top_xbar_to_timer[a_data] [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g500109 (
+	.A(n_25210),
+	.B(n_25211),
+	.C(n_25212),
+	.Y(n_25209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500110 (
+	.A1(n_13158),
+	.A2(n_74767),
+	.B1(n_13157),
+	.B2(n_36918),
+	.C1(n_35678),
+	.X(\soc_top_xbar_to_timer[a_data] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500111 (
+	.A1(n_13157),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1(n_13158),
+	.B2(n_71047),
+	.C1(n_13282),
+	.X(\soc_top_xbar_to_timer[a_data] [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500112 (
+	.A1(n_13157),
+	.A2(n_67060),
+	.B1(n_13158),
+	.B2(n_44200),
+	.C1(n_13275),
+	.X(\soc_top_xbar_to_timer[a_data] [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g500113 (
+	.A1(n_13157),
+	.A2(n_54453),
+	.B1(n_13158),
+	.B2(n_16134),
+	.C1(n_13276),
+	.X(\soc_top_xbar_to_timer[a_data] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500114 (
+	.A1(n_13158),
+	.A2(n_67060),
+	.B1(n_13159),
+	.B2(n_44200),
+	.C1(n_13267),
+	.X(\soc_top_xbar_to_timer[a_data] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g500115 (
+	.A1(n_13158),
+	.A2(n_54453),
+	.B1(n_13159),
+	.B2(n_16134),
+	.C1(n_13268),
+	.X(\soc_top_xbar_to_timer[a_data] [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500116 (
+	.A1(n_13159),
+	.A2(n_16415),
+	.B1_N(n_13329),
+	.X(\soc_top_xbar_to_timer[a_data] [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500117 (
+	.A1(n_13159),
+	.A2(soc_top_u_top_u_core_lsu_wdata[21]),
+	.B1_N(n_13328),
+	.X(\soc_top_xbar_to_timer[a_data] [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500118 (
+	.A1(n_13159),
+	.A2(n_30399),
+	.B1_N(n_13324),
+	.X(\soc_top_xbar_to_timer[a_data] [17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500119 (
+	.A1(n_13159),
+	.A2(n_67060),
+	.B1_N(n_13327),
+	.X(\soc_top_xbar_to_timer[a_data] [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500120 (
+	.A1(n_25372),
+	.A2(n_12963),
+	.B1(n_13257),
+	.C1(n_13254),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500121 (
+	.A1(n_13160),
+	.A2(n_67060),
+	.B1_N(n_13319),
+	.X(\soc_top_xbar_to_timer[a_data] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500122 (
+	.A1(n_13160),
+	.A2(n_54453),
+	.B1_N(n_13318),
+	.X(\soc_top_xbar_to_timer[a_data] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g500123 (
+	.A1(n_13157),
+	.A2(n_16415),
+	.B1_N(n_13312),
+	.X(\soc_top_xbar_to_timer[a_data] [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g500125 (
+	.A(n_30648),
+	.B(n_13355),
+	.Y(n_13387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g500128 (
+	.A(n_13252),
+	.B(n_13351),
+	.Y(n_13384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500132 (
+	.A(n_13359),
+	.Y(n_13360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500133 (
+	.A(n_13355),
+	.Y(n_13356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g500134 (
+	.A(n_13352),
+	.Y(n_13351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500135 (
+	.A1(n_62317),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
+	.B1(n_16415),
+	.Y(n_13350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500140 (
+	.A(n_13296),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.Y(n_13345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500141 (
+	.A(n_13296),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.Y(n_13344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500142 (
+	.A(n_13296),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.Y(n_13343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g500144 (
+	.A_N(n_25197),
+	.B(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.D(soc_top_system_rst_ni),
+	.X(n_13341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500145 (
+	.A(soc_top_u_spi_host_spi_host_divider[12]),
+	.B(soc_top_u_spi_host_spi_host_divider[13]),
+	.C(n_13176),
+	.D(n_13180),
+	.X(n_13340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500146 (
+	.A(n_53337),
+	.B(n_67582),
+	.Y(n_13339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500147 (
+	.A1(n_13164),
+	.A2(n_25107),
+	.B1(n_25214),
+	.Y(n_13338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500148 (
+	.A(n_67778),
+	.B(n_13279),
+	.Y(n_13337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g500151 (
+	.A(n_25199),
+	.B(n_25203),
+	.Y(soc_top_u_uart_u_uart_core_tx_fifo_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g500152 (
+	.A_N(n_25278),
+	.B(n_25523),
+	.C(n_13187),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_n_879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g500153 (
+	.A_N(n_25278),
+	.B(n_25554),
+	.C(n_13187),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_n_803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g500154 (
+	.A_N(n_25197),
+	.B(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.C(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.D(soc_top_system_rst_ni),
+	.X(n_13334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g500155 (
+	.A1(n_69523),
+	.A2(n_73094),
+	.A3(n_13209),
+	.B1(n_15653),
+	.X(n_13333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g500156 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.A2(n_13247),
+	.B1_N(n_66115),
+	.Y(n_13359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500157 (
+	.A_N(n_25260),
+	.B(\soc_top_xbar_to_timer[a_address] [8]),
+	.Y(n_25217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500159 (
+	.A(n_13304),
+	.B(n_13174),
+	.Y(n_13357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g500160 (
+	.A1(n_25149),
+	.A2(n_12963),
+	.B1(n_13125),
+	.C1(n_13207),
+	.D1(n_13255),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500161 (
+	.A1(n_13188),
+	.A2(n_13189),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.Y(soc_top_iccm_adapter_inst_mem_reqfifo_wready), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500162 (
+	.A(n_13299),
+	.B(n_13194),
+	.Y(n_13355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g500163 (
+	.A(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.B(soc_top_u_spi_host_spi_host_ctrl[13]),
+	.C(sd_oe),
+	.Y(n_13354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g500165 (
+	.A(n_13072),
+	.B(n_13295),
+	.Y(n_13352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g500166 (
+	.A(n_13175),
+	.B_N(n_25285),
+	.X(n_25288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500169 (
+	.A1(n_30396),
+	.A2(n_13157),
+	.B1(n_39713),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_16220),
+	.Y(n_13329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500170 (
+	.A1(n_44566),
+	.A2(n_13157),
+	.B1(n_66457),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_51105),
+	.Y(n_13328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500171 (
+	.A1(n_30395),
+	.A2(n_13157),
+	.B1(n_55031),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_44200),
+	.Y(n_13327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500172 (
+	.A1(n_48302),
+	.A2(n_13157),
+	.B1(n_66776),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_16134),
+	.Y(n_13326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500173 (
+	.A1(n_30415),
+	.A2(n_13157),
+	.B1(n_50715),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_71047),
+	.Y(n_13325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500174 (
+	.A1(n_44104),
+	.A2(n_13157),
+	.B1(n_16135),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_68801),
+	.Y(n_13324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500177 (
+	.A1(n_39713),
+	.A2(n_13159),
+	.B1(n_30396),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_16220),
+	.Y(n_13321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500178 (
+	.A1(n_66457),
+	.A2(n_13159),
+	.B1(n_44566),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_51105),
+	.Y(n_13320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500179 (
+	.A1(n_55031),
+	.A2(n_13159),
+	.B1(n_30395),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_44200),
+	.Y(n_13319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500180 (
+	.A1(n_66776),
+	.A2(n_13159),
+	.B1(n_48302),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_16134),
+	.Y(n_13318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500181 (
+	.A1(n_50715),
+	.A2(n_13159),
+	.B1(n_30415),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_71047),
+	.Y(n_13317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500182 (
+	.A1(n_16135),
+	.A2(n_13159),
+	.B1(n_44104),
+	.B2(n_13158),
+	.C1(n_13157),
+	.C2(n_68801),
+	.Y(n_13316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500186 (
+	.A1(n_30396),
+	.A2(n_13159),
+	.B1(n_39713),
+	.B2(n_13160),
+	.C1(n_13158),
+	.C2(n_16220),
+	.Y(n_13312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g500187 (
+	.A(n_68324),
+	.B(n_51874),
+	.Y(n_13311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g500188 (
+	.A(n_25293),
+	.B(n_25106),
+	.C(n_25281),
+	.Y(soc_top_u_spi_host_spi_host_n_189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g500189 (
+	.A0(n_13245),
+	.A1(n_13246),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.X(n_25707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500190 (
+	.A0(gpio_o[30]),
+	.A1(sd_o),
+	.S(sd_oe),
+	.X(io_out[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500191 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.A2(n_13105),
+	.B1(n_13287),
+	.Y(n_25964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500192 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.A2(n_13105),
+	.B1(n_13289),
+	.Y(n_25963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500193 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.A2(n_13105),
+	.B1(n_13285),
+	.Y(n_25965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g500194 (
+	.A(n_13204),
+	.B(soc_top_u_top_u_core_lsu_store_err),
+	.C(soc_top_u_top_u_core_lsu_load_err),
+	.D_N(n_27234),
+	.Y(n_27166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500195 (
+	.A1(n_13241),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.B1(n_13106),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.Y(n_13310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500197 (
+	.A1(n_13200),
+	.A2(soc_top_u_top_u_core_pc_set),
+	.B1(n_938),
+	.C1(soc_top_u_top_u_core_instr_req_int),
+	.Y(n_25302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g500198 (
+	.A1(n_12925),
+	.A2(n_13249),
+	.B1(n_13244),
+	.C1(soc_top_u_top_data_we),
+	.Y(\soc_top_xbar_to_timer[a_mask] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g500199 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_n_753),
+	.A2(n_13110),
+	.B1(n_25),
+	.C1(n_13273),
+	.X(\soc_top_xbar_to_timer[a_mask] [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_2 g500200 (
+	.A(n_12752),
+	.B(n_25274),
+	.C(\soc_top_xbar_to_timer[a_address] [6]),
+	.D(n_25275),
+	.X(n_13331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500201 (
+	.A(n_13240),
+	.Y(n_13308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g500289 (
+	.A(n_53625),
+	.Y(n_13306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500291 (
+	.A(n_13301),
+	.Y(n_13302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500292 (
+	.A(n_13299),
+	.Y(n_13300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500293 (
+	.A(n_13295),
+	.Y(n_13296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500294 (
+	.A(n_13293),
+	.Y(n_13294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_8 g500296 (
+	.A0(n_13116),
+	.A1(n_13115),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.X(n_25706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500305 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[19]),
+	.Y(io_oeb[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500306 (
+	.A(gpio_o[20]),
+	.B(n_13233),
+	.Y(n_13291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g500308 (
+	.A0(n_25967),
+	.A1(n_13106),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Y(n_13290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500309 (
+	.A(n_25272),
+	.B_N(n_33202),
+	.Y(soc_top_data_addr[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500310 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [8]),
+	.Y(soc_top_data_addr[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500311 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [7]),
+	.Y(soc_top_data_addr[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500312 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(soc_top_data_addr[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500313 (
+	.A(n_25272),
+	.B_N(n_33203),
+	.Y(soc_top_data_addr[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500314 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [4]),
+	.Y(soc_top_data_addr[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500315 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(soc_top_data_addr[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500316 (
+	.A(n_25272),
+	.B_N(\soc_top_xbar_to_timer[a_address] [2]),
+	.Y(soc_top_data_addr[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500317 (
+	.A1(n_13106),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.B1(n_13163),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.Y(n_13289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500318 (
+	.A(gpio_o[19]),
+	.B(n_13233),
+	.Y(n_13288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500319 (
+	.A1(n_13106),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.B1(n_13163),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.Y(n_13287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500321 (
+	.A1(n_13106),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.B1(n_13163),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.Y(n_13285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500323 (
+	.A1(n_13159),
+	.A2(n_44104),
+	.B1(n_13160),
+	.B2(n_16135),
+	.X(n_13283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500324 (
+	.A1(n_13159),
+	.A2(n_30415),
+	.B1(n_13160),
+	.B2(n_50715),
+	.X(n_13282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500325 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[30]),
+	.Y(io_oeb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500326 (
+	.A(gpio_o[31]),
+	.B(n_13233),
+	.Y(n_13281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g500327 (
+	.A(n_25332),
+	.B(n_25272),
+	.X(n_25228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500328 (
+	.A(n_13241),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.Y(n_13280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500329 (
+	.A(n_33467),
+	.B_N(n_13237),
+	.Y(n_13279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500330 (
+	.A(n_13241),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.Y(n_13278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500331 (
+	.A(gpio_o[21]),
+	.B(n_13233),
+	.Y(n_13277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500332 (
+	.A1(n_13159),
+	.A2(n_48302),
+	.B1(n_13160),
+	.B2(n_66776),
+	.X(n_13276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500333 (
+	.A1(n_13159),
+	.A2(n_30395),
+	.B1(n_13160),
+	.B2(n_55031),
+	.X(n_13275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500334 (
+	.A1(n_13159),
+	.A2(n_44566),
+	.B1(n_13160),
+	.B2(n_66457),
+	.X(n_13274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500335 (
+	.A(n_13243),
+	.B(soc_top_u_top_u_core_load_store_unit_i_n_753),
+	.Y(n_13273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500337 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[21]),
+	.Y(io_oeb[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500338 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[31]),
+	.Y(io_oeb[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500339 (
+	.A(sd_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[20]),
+	.Y(io_oeb[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500341 (
+	.A(n_12762),
+	.B(n_13173),
+	.Y(n_25969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500342 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_13172),
+	.Y(n_25966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500343 (
+	.A1(n_13157),
+	.A2(n_16135),
+	.B1(n_13160),
+	.B2(n_44104),
+	.X(n_13270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500344 (
+	.A1(n_13157),
+	.A2(n_50715),
+	.B1(n_13160),
+	.B2(n_30415),
+	.X(n_13269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500345 (
+	.A1(n_13157),
+	.A2(n_66776),
+	.B1(n_13160),
+	.B2(n_48302),
+	.X(n_13268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500346 (
+	.A1(n_13157),
+	.A2(n_55031),
+	.B1(n_13160),
+	.B2(n_30395),
+	.X(n_13267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g500349 (
+	.A(n_51888),
+	.B(n_13231),
+	.Y(n_13264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500350 (
+	.A1(n_13157),
+	.A2(n_66457),
+	.B1(n_13160),
+	.B2(n_44566),
+	.X(n_13263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g500351 (
+	.A1(n_74752),
+	.A2(n_66885),
+	.A3(n_41564),
+	.B1(n_50085),
+	.Y(n_13262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500353 (
+	.A1(n_13157),
+	.A2(n_39713),
+	.B1(n_13160),
+	.B2(n_30396),
+	.X(n_13260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g500355 (
+	.A(n_25484),
+	.B(n_25478),
+	.C(n_25479),
+	.D(n_13177),
+	.Y(n_13258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g500356 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.A2(n_12867),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.B2(n_12858),
+	.C1(n_12846),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.Y(n_13257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g500357 (
+	.A(n_25485),
+	.B(n_25483),
+	.C(n_25477),
+	.D(n_25410),
+	.Y(n_13256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g500358 (
+	.A1(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.A2(pwm2_oe),
+	.A3(soc_top_u_pwm_pwm_core_pts_2),
+	.B1(gpio_o[26]),
+	.B2(n_12951),
+	.X(io_out[34]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g500359 (
+	.A1(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.A2(pwm1_oe),
+	.A3(soc_top_u_pwm_pwm_core_pts),
+	.B1(gpio_o[25]),
+	.B2(n_12830),
+	.X(io_out[33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g500360 (
+	.A(n_13094),
+	.B(n_13059),
+	.C(n_13005),
+	.D(n_13101),
+	.Y(n_13255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g500361 (
+	.A(n_13083),
+	.B(n_13080),
+	.C(n_13081),
+	.D(n_13126),
+	.Y(n_13254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500362 (
+	.A(n_25293),
+	.B(n_66054),
+	.C(\soc_top_xbar_to_timer[a_address] [8]),
+	.D(n_25227),
+	.X(n_25213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500363 (
+	.A_N(n_13188),
+	.B(n_13189),
+	.Y(n_13304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500364 (
+	.A(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_122),
+	.B(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.Y(n_25285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500365 (
+	.A_N(n_25282),
+	.B(soc_top_u_top_data_we),
+	.Y(n_25281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500366 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_13243),
+	.Y(n_13303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500367 (
+	.A_N(n_66115),
+	.B(n_13247),
+	.Y(n_13301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500368 (
+	.A(n_25227),
+	.B(n_25222),
+	.X(n_25212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500369 (
+	.A(n_25224),
+	.B(n_13242),
+	.X(n_25210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500370 (
+	.A(n_25225),
+	.B(n_13242),
+	.X(n_25211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500371 (
+	.A_N(n_25271),
+	.B(soc_top_u_top_data_we),
+	.Y(n_25203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g500372 (
+	.A(n_68027),
+	.B(n_67186),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500373 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.B(n_13237),
+	.Y(n_13299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500374 (
+	.A(n_25293),
+	.B(n_13242),
+	.X(n_25214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g500375 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.B(n_25189),
+	.X(n_25260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500377 (
+	.A1(n_13109),
+	.A2(n_12964),
+	.B1(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28),
+	.Y(n_13297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g500378 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_13205),
+	.Y(n_13295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500379 (
+	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.B(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_320),
+	.Y(n_13293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g500380 (
+	.A(n_25),
+	.B(n_25272),
+	.Y(soc_top_data_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500381 (
+	.A(n_13193),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.X(n_13292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500383 (
+	.A(n_13208),
+	.Y(n_13252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500385 (
+	.A(n_13245),
+	.Y(n_13246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500387 (
+	.A(n_25266),
+	.Y(n_13236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500390 (
+	.A(sd_oe),
+	.Y(n_13233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500392 (
+	.A1(n_26034),
+	.A2(n_12762),
+	.B1(n_26033),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500393 (
+	.A(n_13167),
+	.B(n_12848),
+	.Y(n_13231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g500395 (
+	.A1(n_26035),
+	.A2(n_12762),
+	.B1(n_26034),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500396 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Y(n_13229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500397 (
+	.A1(n_26036),
+	.A2(n_12762),
+	.B1(n_26035),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500398 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.B(n_25967),
+	.Y(n_13228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500399 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.Y(n_13227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500400 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.B(n_25967),
+	.Y(n_13226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500401 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Y(n_13225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500402 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.B(n_25967),
+	.Y(n_13224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500404 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.B(n_25967),
+	.Y(n_13222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500405 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.Y(n_13221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500406 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Y(n_13220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500407 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.B(n_25967),
+	.Y(n_13219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500408 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Y(n_13218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500409 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.Y(n_13217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500412 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Y(n_13214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500413 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Y(n_13213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500415 (
+	.A(n_13106),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Y(n_13211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500416 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.B(n_25967),
+	.Y(n_13210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500417 (
+	.A1(n_26037),
+	.A2(n_12762),
+	.B1(n_26036),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500418 (
+	.A1(n_26038),
+	.A2(n_12762),
+	.B1(n_26037),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500419 (
+	.A1(n_12855),
+	.A2(n_30151),
+	.B1(n_15521),
+	.Y(n_13209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500420 (
+	.A(n_13112),
+	.B(n_13007),
+	.Y(n_13208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g500421 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.A2(n_12867),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.B2(n_12866),
+	.Y(n_13207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g500422 (
+	.A(n_69523),
+	.B(n_30147),
+	.C_N(n_15521),
+	.X(n_13206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g500424 (
+	.A_N(n_25475),
+	.B(n_13109),
+	.C(n_12964),
+	.Y(n_13205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500425 (
+	.A1(n_26033),
+	.A2(n_12762),
+	.B1(n_26032),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g500426 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.C(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.Y(n_13204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500427 (
+	.A1(n_26039),
+	.A2(n_12762),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500428 (
+	.A1(n_1057),
+	.A2(n_16135),
+	.B1(n_66776),
+	.Y(n_13203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500429 (
+	.A1(n_67669),
+	.A2(n_30415),
+	.B1(n_56271),
+	.Y(n_13202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g500430 (
+	.A1(soc_top_u_uart_u_uart_core_n_195),
+	.A2(soc_top_u_uart_u_uart_core_tx_done),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.X(soc_top_u_uart_u_uart_core_tx_fifo_re), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500431 (
+	.A1(soc_top_u_top_u_core_lsu_type[1]),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.Y(n_13201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g500432 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Y(n_13200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500433 (
+	.A(n_13105),
+	.B(n_13092),
+	.Y(n_26000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g500437 (
+	.A(n_27375),
+	.B(soc_top_u_top_u_core_ctrl_busy),
+	.C(n_25424),
+	.X(n_13196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500438 (
+	.A1(n_26040),
+	.A2(n_12762),
+	.B1(n_26039),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g500439 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.C_N(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.Y(n_13195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g500440 (
+	.A(n_68926),
+	.B(n_30144),
+	.C(n_31465),
+	.Y(n_13194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500441 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
+	.A2(n_12762),
+	.B1(n_26040),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g500442 (
+	.A(soc_top_u_top_u_core_exc_pc_mux_id[1]),
+	.B(soc_top_u_top_u_core_pc_mux_id[0]),
+	.C(soc_top_u_top_u_core_pc_mux_id[2]),
+	.Y(n_13193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500444 (
+	.A1(n_26041),
+	.A2(n_12762),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g500446 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.Y(n_25828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500447 (
+	.A1(n_26053),
+	.A2(n_12762),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.X(n_25998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500448 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.A2(n_12762),
+	.B1(n_26052),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500449 (
+	.A1(n_26052),
+	.A2(n_12762),
+	.B1(n_26051),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500450 (
+	.A1(n_26051),
+	.A2(n_12762),
+	.B1(n_26050),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500451 (
+	.A1(n_26050),
+	.A2(n_12762),
+	.B1(n_26049),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500452 (
+	.A1(n_26048),
+	.A2(n_12762),
+	.B1(n_26047),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500453 (
+	.A1(n_26047),
+	.A2(n_12762),
+	.B1(n_26046),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500454 (
+	.A1(n_26046),
+	.A2(n_12762),
+	.B1(n_26045),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500455 (
+	.A1(n_26045),
+	.A2(n_12762),
+	.B1(n_26044),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500456 (
+	.A0(n_26044),
+	.A1(n_26043),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500457 (
+	.A1(n_26043),
+	.A2(n_12762),
+	.B1(n_26042),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500458 (
+	.A1(n_26042),
+	.A2(n_12762),
+	.B1(n_26041),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g500460 (
+	.A(n_25270),
+	.B(n_25226),
+	.X(n_25222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500465 (
+	.A(n_13165),
+	.B(n_13131),
+	.X(n_13250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500467 (
+	.A(n_25225),
+	.B(n_25107),
+	.X(n_25199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g500471 (
+	.A(n_27304),
+	.B(n_25273),
+	.X(n_25189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g500473 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.A2(soc_top_u_top_u_core_lsu_type[1]),
+	.B1(n_13117),
+	.Y(n_13249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500475 (
+	.A(n_25224),
+	.B(n_25107),
+	.X(n_25201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_4 g500479 (
+	.A(\soc_top_uart_to_xbar[d_valid] ),
+	.B(n_27307),
+	.C(n_25273),
+	.X(n_25271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_2 g500486 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.B(n_27305),
+	.C(n_25273),
+	.X(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500498 (
+	.A_N(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.B(n_13114),
+	.Y(n_13247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500500 (
+	.A(n_66115),
+	.B(n_13114),
+	.Y(n_13245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500501 (
+	.A_N(soc_top_u_top_u_core_lsu_type[0]),
+	.B(n_13117),
+	.Y(n_13244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500502 (
+	.A(soc_top_u_top_u_core_lsu_type[0]),
+	.B(n_13111),
+	.Y(n_13243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g500505 (
+	.A(soc_top_u_spi_host_spi_host_last_bit),
+	.B(soc_top_u_spi_host_spi_host_pos_edge),
+	.C(soc_top_u_spi_host_spi_host_tip),
+	.Y(n_25197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g500506 (
+	.A(n_25226),
+	.B(n_25227),
+	.X(n_13242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500507 (
+	.A(n_13109),
+	.B(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28),
+	.Y(n_13241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500508 (
+	.A(n_29963),
+	.B(n_68619),
+	.Y(n_13240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500510 (
+	.A(n_51657),
+	.B(n_66193),
+	.Y(n_13237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500511 (
+	.A(n_13121),
+	.B(soc_top_u_top_u_core_pc_mux_id[1]),
+	.Y(n_25266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g500512 (
+	.A_N(soc_top_u_top_u_core_pc_mux_id[1]),
+	.B(n_13121),
+	.X(n_13235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g500513 (
+	.A_N(soc_top_u_top_u_core_pc_mux_id[0]),
+	.B(n_12937),
+	.C(soc_top_u_top_u_core_pc_mux_id[2]),
+	.X(n_13234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g500514 (
+	.A(n_27372),
+	.B(n_25273),
+	.X(n_25272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500517 (
+	.A1(n_26031),
+	.A2(n_12762),
+	.B1(n_26030),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500518 (
+	.A0(n_26030),
+	.A1(n_26028),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500519 (
+	.A0(n_26078),
+	.A1(n_26079),
+	.S(n_12762),
+	.X(n_26024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500520 (
+	.A0(n_26029),
+	.A1(n_26080),
+	.S(n_12762),
+	.X(n_26025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500521 (
+	.A0(n_26077),
+	.A1(n_26078),
+	.S(n_12762),
+	.X(n_26023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500522 (
+	.A0(n_26077),
+	.A1(n_26076),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500523 (
+	.A0(n_26075),
+	.A1(n_26076),
+	.S(n_12762),
+	.X(n_26021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500524 (
+	.A1(n_26075),
+	.A2(n_12762),
+	.B1(n_26074),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500525 (
+	.A1(n_26074),
+	.A2(n_12762),
+	.B1(n_26073),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500526 (
+	.A1(n_26073),
+	.A2(n_12762),
+	.B1(n_26072),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500527 (
+	.A1(n_26072),
+	.A2(n_12762),
+	.B1(n_26071),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500528 (
+	.A1(n_26071),
+	.A2(n_12762),
+	.B1(n_26070),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500529 (
+	.A1(n_26070),
+	.A2(n_12762),
+	.B1(n_26069),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500530 (
+	.A1(n_26069),
+	.A2(n_12762),
+	.B1(n_26068),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500531 (
+	.A1(n_26068),
+	.A2(n_12762),
+	.B1(n_26067),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500532 (
+	.A1(n_26067),
+	.A2(n_12762),
+	.B1(n_26066),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500533 (
+	.A1(n_26066),
+	.A2(n_12762),
+	.B1(n_26065),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500534 (
+	.A1(n_26065),
+	.A2(n_12762),
+	.B1(n_26064),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500535 (
+	.A1(n_26064),
+	.A2(n_12762),
+	.B1(n_26063),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500536 (
+	.A1(n_26063),
+	.A2(n_12762),
+	.B1(n_26062),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500537 (
+	.A1(n_26062),
+	.A2(n_12762),
+	.B1(n_26061),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500538 (
+	.A1(n_26061),
+	.A2(n_12762),
+	.B1(n_26060),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500539 (
+	.A1(n_26060),
+	.A2(n_12762),
+	.B1(n_26059),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500540 (
+	.A1(n_26049),
+	.A2(n_12762),
+	.B1(n_26048),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500542 (
+	.A1(n_26058),
+	.A2(n_12762),
+	.B1(n_26057),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500543 (
+	.A1(n_26057),
+	.A2(n_12762),
+	.B1(n_26056),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500544 (
+	.A1(n_26056),
+	.A2(n_12762),
+	.B1(n_26055),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_26001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500545 (
+	.A1(n_26054),
+	.A2(n_12762),
+	.B1(n_26053),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500550 (
+	.A(soc_top_u_spi_host_spi_host_divider[1]),
+	.B(soc_top_u_spi_host_spi_host_divider[5]),
+	.C(soc_top_u_spi_host_spi_host_divider[3]),
+	.D(soc_top_u_spi_host_spi_host_divider[2]),
+	.X(n_13181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500551 (
+	.A(soc_top_u_spi_host_spi_host_divider[6]),
+	.B(soc_top_u_spi_host_spi_host_divider[10]),
+	.C(soc_top_u_spi_host_spi_host_divider[7]),
+	.D(soc_top_u_spi_host_spi_host_divider[8]),
+	.X(n_13180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500552 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.D(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.X(n_13179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g500553 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.C(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.D(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.Y(n_13178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g500554 (
+	.A1(n_26032),
+	.A2(n_12762),
+	.B1(n_26031),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500555 (
+	.A(n_25482),
+	.B(n_25481),
+	.C(n_25476),
+	.D(n_25480),
+	.X(n_13177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g500556 (
+	.A(soc_top_u_spi_host_spi_host_divider[9]),
+	.B(soc_top_u_spi_host_spi_host_divider[15]),
+	.C(soc_top_u_spi_host_spi_host_divider[11]),
+	.D(soc_top_u_spi_host_spi_host_divider[14]),
+	.X(n_13176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500557 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500558 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500559 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500560 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500561 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500562 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500563 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500564 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500565 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500566 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500567 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.X(n_25840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500569 (
+	.A(n_61826),
+	.B(n_33159),
+	.Y(n_26846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g500571 (
+	.A1_N(n_61826),
+	.A2_N(n_33158),
+	.B1(n_61826),
+	.B2(n_33158),
+	.Y(n_26848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500573 (
+	.A(n_13009),
+	.B(n_13008),
+	.Y(n_25083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500574 (
+	.A(n_61826),
+	.B(n_33156),
+	.Y(n_26850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500577 (
+	.A(n_13136),
+	.B(n_13069),
+	.Y(n_26832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500579 (
+	.A(n_29962),
+	.B(n_13070),
+	.Y(n_26827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g500580 (
+	.A(n_13148),
+	.B(n_13015),
+	.Y(n_25068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500581 (
+	.A(n_13041),
+	.B(n_13039),
+	.Y(n_25079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500582 (
+	.A(n_29953),
+	.B(n_13050),
+	.Y(n_26829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g500583 (
+	.A(n_61826),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
+	.Y(n_26853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500589 (
+	.A(n_13088),
+	.B(n_13085),
+	.Y(n_25076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500591 (
+	.A(n_13018),
+	.B(n_13145),
+	.Y(n_26837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500592 (
+	.A(n_13147),
+	.B(n_13051),
+	.Y(n_26838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g500597 (
+	.A1_N(n_61826),
+	.A2_N(n_26874),
+	.B1(n_61826),
+	.B2(n_26874),
+	.Y(n_25074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g500599 (
+	.A0(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.A1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.S(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(n_13175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500600 (
+	.A0(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.S(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.X(n_13174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g500601 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.X(n_13173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g500602 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.B(n_26029),
+	.X(n_13172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500604 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500605 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500606 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_13189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g500607 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.X(n_13188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500608 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g500609 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.Y(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g500610 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.C(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.D_N(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_13187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500611 (
+	.A0(io_in[37]),
+	.A1(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.X(n_25259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500612 (
+	.A0(io_in[36]),
+	.A1(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.X(n_25258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500613 (
+	.A0(io_in[35]),
+	.A1(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.X(n_25257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500614 (
+	.A0(io_in[34]),
+	.A1(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.X(n_25256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500615 (
+	.A0(io_in[33]),
+	.A1(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.X(n_25255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500616 (
+	.A0(io_in[32]),
+	.A1(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.X(n_25254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500617 (
+	.A0(io_in[31]),
+	.A1(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.X(n_25253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500618 (
+	.A0(io_in[30]),
+	.A1(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.X(n_25252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500619 (
+	.A0(io_in[29]),
+	.A1(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.X(n_25251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500620 (
+	.A0(io_in[28]),
+	.A1(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.X(n_25250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500621 (
+	.A0(io_in[27]),
+	.A1(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.X(n_25249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500622 (
+	.A0(io_in[25]),
+	.A1(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.X(n_25248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500623 (
+	.A0(io_in[24]),
+	.A1(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.X(n_25247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500624 (
+	.A0(io_in[23]),
+	.A1(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.X(n_25246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500625 (
+	.A0(io_in[22]),
+	.A1(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.X(n_25245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500626 (
+	.A0(io_in[21]),
+	.A1(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.X(n_25244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500627 (
+	.A0(io_in[20]),
+	.A1(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.X(n_25243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500628 (
+	.A0(io_in[19]),
+	.A1(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.X(n_25242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500629 (
+	.A0(io_in[18]),
+	.A1(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.X(n_25241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500630 (
+	.A0(io_in[17]),
+	.A1(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.X(n_25240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500631 (
+	.A0(io_in[16]),
+	.A1(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.X(n_25239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500632 (
+	.A0(io_in[15]),
+	.A1(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.X(n_25238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500633 (
+	.A0(io_in[14]),
+	.A1(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.X(n_25237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500634 (
+	.A0(io_in[13]),
+	.A1(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.X(n_25236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500635 (
+	.A0(io_in[12]),
+	.A1(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.X(n_25235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500636 (
+	.A0(io_in[11]),
+	.A1(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.X(n_25234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500637 (
+	.A0(io_in[10]),
+	.A1(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.X(n_25233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500638 (
+	.A0(io_in[9]),
+	.A1(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.X(n_25232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500639 (
+	.A0(io_in[8]),
+	.A1(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.X(n_25231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500640 (
+	.A0(io_in[1]),
+	.A1(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.X(n_25229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g500641 (
+	.A0(io_in[3]),
+	.A1(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.S(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.X(n_25230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500645 (
+	.A(n_13166),
+	.Y(n_13167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500646 (
+	.A(n_13164),
+	.Y(n_25274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500648 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[8]),
+	.Y(soc_top_instr_wdata[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g500716 (
+	.A(n_26344),
+	.B(n_68600),
+	.Y(n_13156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500717 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25486),
+	.Y(n_13155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500719 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[31]),
+	.Y(soc_top_instr_wdata[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500721 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[4]),
+	.Y(soc_top_instr_wdata[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500722 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25487),
+	.Y(n_13152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500727 (
+	.A(n_12762),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[1] [0]),
+	.Y(n_25968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500728 (
+	.A(n_12859),
+	.B(n_65193),
+	.Y(n_13148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500729 (
+	.A(n_12965),
+	.B(n_65193),
+	.Y(n_13147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500730 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[12]),
+	.Y(soc_top_instr_wdata[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500731 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[23]),
+	.Y(soc_top_instr_wdata[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500732 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[15]),
+	.Y(soc_top_instr_wdata[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500734 (
+	.A(n_26869),
+	.B(n_61826),
+	.Y(n_13145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500736 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[30]),
+	.Y(soc_top_instr_wdata[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500737 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[29]),
+	.Y(soc_top_instr_wdata[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500739 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[28]),
+	.Y(soc_top_instr_wdata[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500740 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[27]),
+	.Y(soc_top_instr_wdata[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500741 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[26]),
+	.Y(soc_top_instr_wdata[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500742 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[25]),
+	.Y(soc_top_instr_wdata[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500743 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[24]),
+	.Y(soc_top_instr_wdata[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500745 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[22]),
+	.Y(soc_top_instr_wdata[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500746 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[21]),
+	.Y(soc_top_instr_wdata[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500748 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[20]),
+	.Y(soc_top_instr_wdata[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500750 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[18]),
+	.Y(soc_top_instr_wdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500751 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[17]),
+	.Y(soc_top_instr_wdata[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500753 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[16]),
+	.Y(soc_top_instr_wdata[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500754 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.X(n_13138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500755 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[14]),
+	.Y(soc_top_instr_wdata[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500756 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[13]),
+	.Y(soc_top_instr_wdata[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500758 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[10]),
+	.Y(soc_top_instr_wdata[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500759 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[9]),
+	.Y(soc_top_instr_wdata[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500760 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.Y(n_25839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500761 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.Y(n_25838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500762 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[7]),
+	.Y(soc_top_instr_wdata[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500763 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[6]),
+	.Y(soc_top_instr_wdata[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500764 (
+	.A(n_12841),
+	.B(n_65193),
+	.Y(n_13136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500765 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[5]),
+	.Y(soc_top_instr_wdata[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500767 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[3]),
+	.Y(soc_top_instr_wdata[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500768 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[2]),
+	.Y(soc_top_instr_wdata[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500769 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.X(n_13134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500770 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[1]),
+	.Y(soc_top_instr_wdata[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500771 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[0]),
+	.Y(soc_top_instr_wdata[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500772 (
+	.A(soc_top_u_uart_u_uart_core_n_195),
+	.B(soc_top_u_uart_u_uart_core_tx_en),
+	.X(soc_top_u_uart_u_uart_core_tx_en_sel), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500775 (
+	.A(n_66457),
+	.B(n_55031),
+	.Y(n_13131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500777 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.X(n_13129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500778 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.B(n_68683),
+	.Y(n_13128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500780 (
+	.A(n_25371),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.Y(n_13126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500781 (
+	.A_N(n_25372),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.Y(n_13125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500783 (
+	.A_N(\soc_top_xbar_to_timer[a_address] [2]),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_25270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500784 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.B(soc_top_u_spi_host_spi_host_tip),
+	.Y(n_25196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500785 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_37444),
+	.Y(n_13166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g500786 (
+	.A(n_25293),
+	.B(n_25107),
+	.X(n_25218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500787 (
+	.A(n_39713),
+	.B(n_54575),
+	.Y(n_13165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500788 (
+	.A_N(\soc_top_xbar_to_timer[a_address] [4]),
+	.B(n_33203),
+	.Y(n_25275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g500789 (
+	.A_N(soc_top_prog_rst_ni),
+	.B(soc_top_iccm_ctrl_we),
+	.X(soc_top_instr_we), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500790 (
+	.A_N(\soc_top_xbar_to_timer[a_address] [3]),
+	.B(\soc_top_xbar_to_timer[a_address] [2]),
+	.Y(n_25225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500791 (
+	.A(\soc_top_xbar_to_timer[a_address] [2]),
+	.B(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_13164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500792 (
+	.A(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28),
+	.B_N(n_25472),
+	.Y(n_13163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g500794 (
+	.A(\soc_top_xbar_to_timer[a_address] [7]),
+	.B(\soc_top_xbar_to_timer[a_address] [6]),
+	.X(n_25227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g500795 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.X(n_13160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g500796 (
+	.A(n_12925),
+	.B(n_12928),
+	.X(n_13159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g500797 (
+	.A(n_12925),
+	.B(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.X(n_13158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g500798 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B(n_12928),
+	.X(n_13157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500804 (
+	.A(n_13115),
+	.Y(n_13116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500805 (
+	.A(n_13111),
+	.Y(n_13110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g500806 (
+	.A(n_13107),
+	.Y(n_13106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g500807 (
+	.A(n_25967),
+	.Y(n_13105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500808 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.X(n_13104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500811 (
+	.A(n_25371),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.Y(n_13101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500814 (
+	.A(n_31465),
+	.B_N(n_30144),
+	.Y(n_13098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500816 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.X(n_13096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500818 (
+	.A(n_25370),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.Y(n_13094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500820 (
+	.A(n_26054),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_13092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500821 (
+	.A(n_26867),
+	.B(n_61826),
+	.Y(n_13091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500824 (
+	.A(n_12865),
+	.B(n_65193),
+	.Y(n_13088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500825 (
+	.A(n_26027),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500826 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.X(n_13087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500827 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.X(n_13086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500828 (
+	.A(n_26866),
+	.B(n_61826),
+	.Y(n_13085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500829 (
+	.A(n_36786),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.Y(n_13084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500830 (
+	.A(n_25149),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.Y(n_13083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500831 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.X(n_13082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500832 (
+	.A(n_14841),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.Y(n_13081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500833 (
+	.A(n_14857),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.Y(n_13080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g500834 (
+	.A(n_43972),
+	.B(n_26363),
+	.Y(n_13079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500841 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25476),
+	.Y(n_13072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500842 (
+	.A(n_30151),
+	.B(n_48306),
+	.Y(n_13071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500843 (
+	.A(n_33198),
+	.B(n_61826),
+	.Y(n_13070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500844 (
+	.A(n_26864),
+	.B(n_61826),
+	.Y(n_13069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500847 (
+	.A_N(soc_top_u_top_u_core_pc_mux_id[0]),
+	.B(n_12937),
+	.Y(n_25268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500848 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.X(n_13066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500852 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.B(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.Y(n_13062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500854 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.Y(n_25837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500855 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25484),
+	.Y(n_13060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500856 (
+	.A(n_25147),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.Y(n_13059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500857 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.X(n_13058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g500858 (
+	.A(n_74752),
+	.B(n_50085),
+	.X(n_13057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500860 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.Y(n_25836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500862 (
+	.A(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.B_N(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.Y(n_13054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500863 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.X(n_13053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500865 (
+	.A(n_26870),
+	.B(n_61826),
+	.Y(n_13051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500866 (
+	.A(n_26861),
+	.B(n_61826),
+	.Y(n_13050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500867 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.Y(n_25834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500869 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.Y(n_25833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500870 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.X(n_13048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500871 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.X(n_13047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500872 (
+	.A(n_26461),
+	.B(n_64744),
+	.Y(n_13046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500873 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.X(n_13045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500874 (
+	.A(n_26197),
+	.B(n_25447),
+	.Y(n_13044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500875 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.Y(n_25832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500877 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.X(n_13042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500878 (
+	.A(n_12842),
+	.B(n_65193),
+	.Y(n_13041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500879 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.X(n_13040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500880 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.Y(n_25831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500881 (
+	.A(n_26860),
+	.B(n_61826),
+	.Y(n_13039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500882 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.Y(n_25830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500883 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[19]),
+	.Y(soc_top_instr_wdata[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500886 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.Y(n_25829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500888 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.X(n_13035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500889 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.X(n_13034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500890 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.X(n_13033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500892 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.X(n_13031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500893 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.X(n_13030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500894 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.X(n_13029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500895 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.X(n_13028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500896 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.X(n_13027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500897 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.X(n_13026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500898 (
+	.A(n_64744),
+	.B(n_67643),
+	.Y(n_13025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500899 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.X(n_13024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500900 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.X(n_13023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500901 (
+	.A(n_33192),
+	.B(n_61826),
+	.Y(n_13022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500902 (
+	.A(n_26449),
+	.B(n_64744),
+	.Y(n_13021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500903 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.X(n_13020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500905 (
+	.A(n_12844),
+	.B(n_65193),
+	.Y(n_13018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500906 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.X(n_13017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500907 (
+	.A(n_64744),
+	.B(n_49295),
+	.Y(n_13016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500908 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.B(n_61826),
+	.Y(n_13015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500909 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.X(n_13014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500910 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.X(n_13013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500914 (
+	.A(n_497),
+	.B(n_65193),
+	.Y(n_13009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500915 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.Y(n_25835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500916 (
+	.A(n_33200),
+	.B(n_61826),
+	.Y(n_13008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g500917 (
+	.A(n_26026),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_25970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500918 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25478),
+	.Y(n_13007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500920 (
+	.A(n_14841),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.Y(n_13005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500921 (
+	.A(pwm2_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[26]),
+	.Y(io_oeb[34]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g500922 (
+	.A(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.B(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.X(n_13004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500923 (
+	.A(n_39713),
+	.B_N(n_66457),
+	.Y(n_13003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500927 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25480),
+	.Y(n_12999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500928 (
+	.A(pwm1_oe),
+	.B(soc_top_GPIO_cio_gpio_en_q[25]),
+	.Y(io_oeb[33]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500932 (
+	.A(soc_top_prog_rst_ni),
+	.B_N(soc_top_iccm_ctrl_data[11]),
+	.Y(soc_top_instr_wdata[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500934 (
+	.A_N(io_in[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(soc_top_u_uart_u_uart_core_n_139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500935 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25482),
+	.Y(n_12994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g500939 (
+	.A(n_51477),
+	.B(n_25117),
+	.X(n_12990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g500941 (
+	.A(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B_N(soc_top_u_top_u_core_pc_mux_id[0]),
+	.Y(n_13121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500946 (
+	.A(soc_top_u_top_u_core_lsu_type[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_13117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500947 (
+	.A_N(n_66115),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(n_13115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500948 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(n_13114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500950 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25477),
+	.Y(n_13112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500951 (
+	.A_N(n_33203),
+	.B(\soc_top_xbar_to_timer[a_address] [4]),
+	.Y(n_25226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g500952 (
+	.A_N(soc_top_u_top_u_core_lsu_type[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.Y(n_13111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500953 (
+	.A(\soc_top_xbar_to_timer[a_address] [3]),
+	.B(\soc_top_xbar_to_timer[a_address] [2]),
+	.Y(n_25224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g500954 (
+	.A(n_25473),
+	.B(n_25472),
+	.Y(n_13109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g500955 (
+	.A(n_25423),
+	.B(\soc_top_lsu_to_xbar[a_valid] ),
+	.Y(n_25273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g500957 (
+	.A(n_26029),
+	.B(n_12762),
+	.Y(n_13107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g500958 (
+	.A(n_12825),
+	.B(n_12762),
+	.X(n_25967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500961 (
+	.A(n_26366),
+	.Y(n_12984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500963 (
+	.A(n_29882),
+	.Y(n_12982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500967 (
+	.A(soc_top_GPIO_cio_gpio_en_q[0]),
+	.Y(io_oeb[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500968 (
+	.A(soc_top_GPIO_cio_gpio_en_q[24]),
+	.Y(io_oeb[32]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500971 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500975 (
+	.A(soc_top_GPIO_cio_gpio_en_q[10]),
+	.Y(io_oeb[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500976 (
+	.A(soc_top_GPIO_cio_gpio_en_q[15]),
+	.Y(io_oeb[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500984 (
+	.A(n_26870),
+	.Y(n_12965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g500985 (
+	.A(n_25474),
+	.Y(n_12964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500986 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.Y(n_12963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500994 (
+	.A(n_33200),
+	.Y(n_497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g500998 (
+	.A(pwm2_oe),
+	.Y(n_12951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g500999 (
+	.A(n_61588),
+	.Y(n_12950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501000 (
+	.A(n_26449),
+	.Y(n_12949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501001 (
+	.A(n_69665),
+	.Y(n_12948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501005 (
+	.A(n_68321),
+	.Y(n_12944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501007 (
+	.A(n_69436),
+	.Y(n_12942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501010 (
+	.A(n_26444),
+	.Y(n_12939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501012 (
+	.A(soc_top_u_top_u_core_pc_mux_id[1]),
+	.Y(n_12937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501013 (
+	.A(n_50715),
+	.Y(n_1057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501018 (
+	.A(n_30396),
+	.Y(n_12931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501019 (
+	.A(soc_top_u_top_u_core_lsu_wdata[21]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501021 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.Y(n_12928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501022 (
+	.A(soc_top_u_top_u_core_lsu_wdata[18]),
+	.Y(n_1030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501024 (
+	.A(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_12925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501062 (
+	.A(soc_top_prog_rst_ni),
+	.Y(n_12887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501064 (
+	.A(soc_top_GPIO_cio_gpio_en_q[27]),
+	.Y(io_oeb[35]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501065 (
+	.A(soc_top_GPIO_cio_gpio_en_q[22]),
+	.Y(io_oeb[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501066 (
+	.A(soc_top_GPIO_cio_gpio_en_q[29]),
+	.Y(io_oeb[37]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501068 (
+	.A(soc_top_GPIO_cio_gpio_en_q[5]),
+	.Y(io_oeb[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501070 (
+	.A(soc_top_GPIO_cio_gpio_en_q[13]),
+	.Y(io_oeb[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501072 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501073 (
+	.A(n_26374),
+	.Y(n_12881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501074 (
+	.A(soc_top_GPIO_cio_gpio_en_q[6]),
+	.Y(io_oeb[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501078 (
+	.A(soc_top_GPIO_cio_gpio_en_q[1]),
+	.Y(io_oeb[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501079 (
+	.A(soc_top_GPIO_cio_gpio_en_q[4]),
+	.Y(io_oeb[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501080 (
+	.A(soc_top_GPIO_cio_gpio_en_q[17]),
+	.Y(io_oeb[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501081 (
+	.A(soc_top_GPIO_cio_gpio_en_q[3]),
+	.Y(io_oeb[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501082 (
+	.A(soc_top_GPIO_cio_gpio_en_q[14]),
+	.Y(io_oeb[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501083 (
+	.A(soc_top_GPIO_cio_gpio_en_q[7]),
+	.Y(io_oeb[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501085 (
+	.A(soc_top_GPIO_cio_gpio_en_q[8]),
+	.Y(io_oeb[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501086 (
+	.A(soc_top_GPIO_cio_gpio_en_q[16]),
+	.Y(io_oeb[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g501095 (
+	.A(n_26367),
+	.Y(n_12873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501096 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501098 (
+	.A(n_49355),
+	.Y(n_12870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501102 (
+	.A(n_14856),
+	.Y(n_12867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501103 (
+	.A(n_14857),
+	.Y(n_12866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501104 (
+	.A(n_26866),
+	.Y(n_12865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501105 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Y(n_12864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501110 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.Y(n_12859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501113 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Y(n_12856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501124 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Y(n_12845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501125 (
+	.A(n_26869),
+	.Y(n_12844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501127 (
+	.A(n_26860),
+	.Y(n_12842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501128 (
+	.A(n_26864),
+	.Y(n_12841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501131 (
+	.A(n_26459),
+	.Y(n_12838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501132 (
+	.A(n_26448),
+	.Y(n_12837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501133 (
+	.A(n_26464),
+	.Y(n_12836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501136 (
+	.A(n_26446),
+	.Y(n_12833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501137 (
+	.A(n_26458),
+	.Y(n_12832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g501138 (
+	.A(n_26454),
+	.Y(n_12831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g501141 (
+	.A(n_67060),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g501144 (
+	.A(n_26029),
+	.Y(n_12825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(n_11510),
+	.Q(soc_top_GPIO_cio_gpio_en_q[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(n_11518),
+	.Q(soc_top_GPIO_cio_gpio_en_q[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_11515),
+	.Q(soc_top_GPIO_cio_gpio_en_q[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_11519),
+	.Q(soc_top_GPIO_cio_gpio_en_q[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[5]  (
+	.CLK(CTS_128),
+	.D(n_11516),
+	.Q(soc_top_GPIO_cio_gpio_en_q[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(n_11514),
+	.Q(soc_top_GPIO_cio_gpio_en_q[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(n_11513),
+	.Q(soc_top_GPIO_cio_gpio_en_q[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(n_11512),
+	.Q(soc_top_GPIO_cio_gpio_en_q[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_11509),
+	.Q(soc_top_GPIO_cio_gpio_en_q[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(n_11506),
+	.Q(soc_top_GPIO_cio_gpio_en_q[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(n_11505),
+	.Q(soc_top_GPIO_cio_gpio_en_q[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(n_11504),
+	.Q(soc_top_GPIO_cio_gpio_en_q[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[16]  (
+	.CLK(CTS_73),
+	.D(n_11080),
+	.Q(soc_top_GPIO_cio_gpio_en_q[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[17]  (
+	.CLK(CTS_48),
+	.D(n_11079),
+	.Q(soc_top_GPIO_cio_gpio_en_q[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[18]  (
+	.CLK(CTS_48),
+	.D(n_11078),
+	.Q(soc_top_GPIO_cio_gpio_en_q[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[19]  (
+	.CLK(CTS_48),
+	.D(n_11077),
+	.Q(soc_top_GPIO_cio_gpio_en_q[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[20]  (
+	.CLK(CTS_48),
+	.D(n_11076),
+	.Q(soc_top_GPIO_cio_gpio_en_q[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[21]  (
+	.CLK(CTS_48),
+	.D(n_11075),
+	.Q(soc_top_GPIO_cio_gpio_en_q[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[22]  (
+	.CLK(CTS_73),
+	.D(n_11074),
+	.Q(soc_top_GPIO_cio_gpio_en_q[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[24]  (
+	.CLK(CTS_73),
+	.D(n_11072),
+	.Q(soc_top_GPIO_cio_gpio_en_q[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[25]  (
+	.CLK(CTS_48),
+	.D(n_11069),
+	.Q(soc_top_GPIO_cio_gpio_en_q[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[26]  (
+	.CLK(CTS_48),
+	.D(n_11071),
+	.Q(soc_top_GPIO_cio_gpio_en_q[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[27]  (
+	.CLK(CTS_73),
+	.D(n_11070),
+	.Q(soc_top_GPIO_cio_gpio_en_q[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[29]  (
+	.CLK(CTS_70),
+	.D(n_11067),
+	.Q(soc_top_GPIO_cio_gpio_en_q[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[30]  (
+	.CLK(CTS_73),
+	.D(n_11066),
+	.Q(soc_top_GPIO_cio_gpio_en_q[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_en_q_reg[31]  (
+	.CLK(CTS_73),
+	.D(n_11065),
+	.Q(soc_top_GPIO_cio_gpio_en_q[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(n_11064),
+	.Q(io_out[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(n_11063),
+	.Q(io_out[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_11062),
+	.Q(io_out[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_11061),
+	.Q(io_out[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(n_11060),
+	.Q(io_out[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(n_11059),
+	.Q(io_out[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(n_11058),
+	.Q(io_out[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[7]  (
+	.CLK(CTS_68),
+	.D(n_11057),
+	.Q(io_out[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(n_11056),
+	.Q(io_out[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_11055),
+	.Q(io_out[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_11053),
+	.Q(io_out[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_11054),
+	.Q(io_out[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(n_11052),
+	.Q(io_out[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(n_11051),
+	.Q(io_out[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(n_11050),
+	.Q(io_out[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(n_11049),
+	.Q(io_out[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[16]  (
+	.CLK(CTS_73),
+	.D(n_10708),
+	.Q(io_out[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[17]  (
+	.CLK(CTS_48),
+	.D(n_10705),
+	.Q(io_out[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[18]  (
+	.CLK(CTS_48),
+	.D(n_10707),
+	.Q(soc_top_GPIO_cio_gpio_q[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[19]  (
+	.CLK(CTS_48),
+	.D(n_10704),
+	.Q(gpio_o[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[20]  (
+	.CLK(CTS_73),
+	.D(n_10702),
+	.Q(gpio_o[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[21]  (
+	.CLK(CTS_48),
+	.D(n_10703),
+	.Q(gpio_o[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[22]  (
+	.CLK(CTS_73),
+	.D(n_10701),
+	.Q(io_out[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[23]  (
+	.CLK(CTS_73),
+	.D(n_10700),
+	.Q(io_out[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[24]  (
+	.CLK(CTS_73),
+	.D(n_10825),
+	.Q(io_out[32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[25]  (
+	.CLK(CTS_48),
+	.D(n_10699),
+	.Q(gpio_o[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[26]  (
+	.CLK(CTS_48),
+	.D(n_10698),
+	.Q(gpio_o[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[27]  (
+	.CLK(CTS_73),
+	.D(n_10696),
+	.Q(io_out[35]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[28]  (
+	.CLK(CTS_73),
+	.D(n_10695),
+	.Q(io_out[36]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[29]  (
+	.CLK(CTS_73),
+	.D(n_10694),
+	.Q(io_out[37]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[30]  (
+	.CLK(CTS_73),
+	.D(n_10693),
+	.Q(gpio_o[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_cio_gpio_q_reg[31]  (
+	.CLK(CTS_73),
+	.D(n_10692),
+	.Q(gpio_o[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3789),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4682),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8470),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[0].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8469),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[0].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[0].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[8]),
+	.SCE(n_3408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3897),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4323),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8490),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[1].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8468),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[1].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[1].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[9]),
+	.SCE(n_3384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3801),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4369),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8464),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[2].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8497),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[2].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[2].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[10]),
+	.SCE(n_3397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3898),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4685),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8467),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[3].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8466),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[3].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[3].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[11]),
+	.SCE(n_3391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3899),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4428),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8465),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[4].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8471),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[4].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[4].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[12]),
+	.SCE(n_3385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_47),
+	.D(n_3894),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_47),
+	.D(n_4340),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_47),
+	.D(n_8463),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[5].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_47),
+	.D(n_8462),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[5].filter_stored_value_q_reg  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[5].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[13]),
+	.SCE(n_3388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_47),
+	.D(n_3891),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_47),
+	.D(n_4521),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_47),
+	.D(n_8460),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[6].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_47),
+	.D(n_8461),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[6].filter_stored_value_q_reg  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[6].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[14]),
+	.SCE(n_3403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_47),
+	.D(n_3621),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_47),
+	.D(n_4333),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_47),
+	.D(n_8459),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[7].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_47),
+	.D(n_8458),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[7].filter_stored_value_q_reg  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[7].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[15]),
+	.SCE(n_3414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_47),
+	.D(n_3895),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_47),
+	.D(n_4641),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8457),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[8].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_47),
+	.D(n_8456),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[8].filter_stored_value_q_reg  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[8].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[16]),
+	.SCE(n_3417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_47),
+	.D(n_3885),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_47),
+	.D(n_4383),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_47),
+	.D(n_8453),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[9].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8455),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[9].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[9].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[17]),
+	.SCE(n_3419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_128),
+	.D(n_3791),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_128),
+	.D(n_4533),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_128),
+	.D(n_8454),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[10].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_128),
+	.D(n_8452),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[10].filter_stored_value_q_reg  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[10].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[18]),
+	.SCE(n_3398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3900),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4328),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8451),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[11].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8450),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[11].filter_stored_value_q_reg  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[11].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[19]),
+	.SCE(n_3394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3794),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4596),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8449),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[12].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8448),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[12].filter_stored_value_q_reg  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[12].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[20]),
+	.SCE(n_3392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3890),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4321),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8447),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[13].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8446),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[13].filter_stored_value_q_reg  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[13].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[21]),
+	.SCE(n_3396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3792),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4285),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8444),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[14].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8445),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[14].filter_stored_value_q_reg  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[14].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[22]),
+	.SCE(n_3395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3902),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4319),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8443),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[15].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8442),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[15].filter_stored_value_q_reg  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[15].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[23]),
+	.SCE(n_3393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_68),
+	.D(n_3903),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_68),
+	.D(n_4318),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_68),
+	.D(n_8441),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[16].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_68),
+	.D(n_8440),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[16].filter_stored_value_q_reg  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[16].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[24]),
+	.SCE(n_3420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_70),
+	.D(n_3904),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_70),
+	.D(n_4317),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_70),
+	.D(n_8439),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[17].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_70),
+	.D(n_8438),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[17].filter_stored_value_q_reg  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[17].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[25]),
+	.SCE(n_3421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_70),
+	.D(n_3907),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_70),
+	.D(n_4316),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_70),
+	.D(n_8437),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[19].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_70),
+	.D(n_8436),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[19].filter_stored_value_q_reg  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[19].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[27]),
+	.SCE(n_3412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3909),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_70),
+	.D(n_4315),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_70),
+	.D(n_8435),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[20].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_8434),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[20].filter_stored_value_q_reg  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[20].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[28]),
+	.SCE(n_3411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3910),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_71),
+	.D(n_4314),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_8433),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[21].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_8432),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[21].filter_stored_value_q_reg  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[21].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[29]),
+	.SCE(n_3410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3911),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_71),
+	.D(n_4312),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_8431),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[22].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_8430),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[22].filter_stored_value_q_reg  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[22].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[30]),
+	.SCE(n_3386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3912),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_4311),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_8429),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[23].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_8428),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[23].filter_stored_value_q_reg  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[23].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[31]),
+	.SCE(n_3409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_3804),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_4309),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_8427),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[24].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_8426),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[24].filter_stored_value_q_reg  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[24].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[32]),
+	.SCE(n_3407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3802),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_71),
+	.D(n_4308),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_8422),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[25].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_8425),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[25].filter_stored_value_q_reg  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[25].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[33]),
+	.SCE(n_3406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_71),
+	.D(n_3800),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_71),
+	.D(n_4306),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_71),
+	.D(n_8424),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[26].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_71),
+	.D(n_8423),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[26].filter_stored_value_q_reg  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[26].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[34]),
+	.SCE(n_3401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_3799),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_4303),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_8420),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[27].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_8421),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[27].filter_stored_value_q_reg  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[27].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[35]),
+	.SCE(n_3405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_3798),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_4302),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_8419),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[28].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_8418),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[28].filter_stored_value_q_reg  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[28].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[36]),
+	.SCE(n_3404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_3797),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_4301),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_69),
+	.D(n_8416),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[29].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_69),
+	.D(n_8417),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[29].filter_stored_value_q_reg  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[29].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[37]),
+	.SCE(n_3402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_3796),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_4300),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_54),
+	.D(n_8414),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[30].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_54),
+	.D(n_8415),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[30].filter_stored_value_q_reg  (
+	.CLK(CTS_54),
+	.D(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[30].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[1]),
+	.SCE(n_3400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_3795),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_4297),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[2]  (
+	.CLK(CTS_54),
+	.D(n_8413),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_gen_filter[31].filter_diff_ctr_q_reg[3]  (
+	.CLK(CTS_54),
+	.D(n_8412),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_gen_filter[31].filter_stored_value_q_reg  (
+	.CLK(CTS_54),
+	.D(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.Q(\soc_top_GPIO_gen_filter[31].filter_stored_value_q ),
+	.RESET_B(n_544),
+	.SCD(io_in[3]),
+	.SCE(n_3399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[0]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[2]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[4]  (
+	.CLK(CTS_128),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[16]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.RESET_B(n_544),
+	.SCD(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[28]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_ctrl_en_input_filter_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.SCE(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[8]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[10]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[11]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[14]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[21]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_falling_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.SCE(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[2]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[4]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[8]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[10]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[28]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvlhigh_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.SCE(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[1]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[21]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[22]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_lvllow_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.SCE(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[0]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[2]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[8]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[13]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[14]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[20]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [24]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [25]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [26]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[28]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_ctrl_en_rising_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [31]),
+	.SCE(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[1]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[4]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[7]  (
+	.CLK(CTS_48),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[8]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[10]  (
+	.CLK(CTS_47),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[11]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[12]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[14]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[15]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[16]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[17]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[21]  (
+	.CLK(CTS_72),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [24]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [25]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [26]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[27]  (
+	.CLK(CTS_75),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[28]  (
+	.CLK(CTS_69),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[29]  (
+	.CLK(CTS_75),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_intr_enable_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.Q(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [31]),
+	.SCE(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(n_11499),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[1]  (
+	.CLK(CTS_48),
+	.D(n_11496),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_11501),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_11500),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[4]  (
+	.CLK(CTS_48),
+	.D(n_11498),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[5]  (
+	.CLK(CTS_47),
+	.D(n_11497),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[6]  (
+	.CLK(CTS_47),
+	.D(n_11495),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[7]  (
+	.CLK(CTS_47),
+	.D(n_11494),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[8]  (
+	.CLK(CTS_73),
+	.D(n_11493),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[9]  (
+	.CLK(CTS_47),
+	.D(n_11492),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_11491),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_11490),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[12]  (
+	.CLK(CTS_70),
+	.D(n_11652),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_11653),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[14]  (
+	.CLK(CTS_70),
+	.D(n_11655),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[15]  (
+	.CLK(CTS_68),
+	.D(n_11654),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[16]  (
+	.CLK(CTS_70),
+	.D(n_11656),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[17]  (
+	.CLK(CTS_70),
+	.D(n_11657),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[18]  (
+	.CLK(CTS_73),
+	.D(n_10990),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[19]  (
+	.CLK(CTS_70),
+	.D(n_11658),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[20]  (
+	.CLK(CTS_70),
+	.D(n_11659),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[21]  (
+	.CLK(CTS_70),
+	.D(n_11662),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[22]  (
+	.CLK(CTS_71),
+	.D(n_11663),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[23]  (
+	.CLK(CTS_71),
+	.D(n_11664),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[24]  (
+	.CLK(CTS_69),
+	.D(n_11665),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_11667),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_11424),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[27]  (
+	.CLK(CTS_69),
+	.D(n_11552),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[28]  (
+	.CLK(CTS_69),
+	.D(n_11555),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[29]  (
+	.CLK(CTS_69),
+	.D(n_11551),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[30]  (
+	.CLK(CTS_52),
+	.D(n_11550),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_intr_state_q_reg[31]  (
+	.CLK(CTS_52),
+	.D(n_11547),
+	.Q(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_54),
+	.D(n_8532),
+	.Q(\soc_top_gpio_to_xbarp[d_error] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_gpio_to_xbarp[d_error] ),
+	.SCE(n_2365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_GPIO_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_54),
+	.D(n_2364),
+	.Q(\soc_top_gpio_to_xbarp[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_gpio_to_xbarp[d_valid] ),
+	.SCE(n_2941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_52),
+	.D(n_10183),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_52),
+	.D(n_10181),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_10180),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_48),
+	.D(n_10179),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_48),
+	.D(n_10176),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_48),
+	.D(n_10178),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_48),
+	.D(n_10174),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_48),
+	.D(n_10173),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_73),
+	.D(n_10167),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_47),
+	.D(n_10171),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_10169),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_73),
+	.D(n_10168),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_70),
+	.D(n_10166),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_10161),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_73),
+	.D(n_10163),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_73),
+	.D(n_10160),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_70),
+	.D(n_10159),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_70),
+	.D(n_10157),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_73),
+	.D(n_10155),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_70),
+	.D(n_10156),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_70),
+	.D(n_10154),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_72),
+	.D(n_10153),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_71),
+	.D(n_10151),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_72),
+	.D(n_10152),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_69),
+	.D(n_10149),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_71),
+	.D(n_10150),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_71),
+	.D(n_10148),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_69),
+	.D(n_10147),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_10146),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_69),
+	.D(n_10143),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_52),
+	.D(n_10145),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_52),
+	.D(n_10144),
+	.Q(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_GPIO_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_25),
+	.Q(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_gpio_to_xbarp[d_opcode] [0]),
+	.SCE(n_2365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_53),
+	.D(n_3121),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_63),
+	.D(n_4293),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_63),
+	.D(n_2757),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_63),
+	.D(n_3914),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_63),
+	.D(n_5030),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_63),
+	.D(n_3793),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_53),
+	.D(n_4179),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_2132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_63),
+	.D(n_4274),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_2029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_53),
+	.D(n_4273),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.RESET_B(n_2028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_53),
+	.D(n_4633),
+	.Q(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.RESET_B(n_2151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_63),
+	.D(n_3117),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_63),
+	.D(n_4291),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_63),
+	.D(n_2758),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_61),
+	.D(n_5025),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_61),
+	.D(n_8803),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_63),
+	.D(n_9612),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_60),
+	.D(n_1311),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_60),
+	.D(n_1615),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_60),
+	.D(n_1627),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_60),
+	.D(n_1618),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_60),
+	.D(n_1611),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_60),
+	.D(n_1610),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_60),
+	.D(n_1623),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_60),
+	.D(n_1328),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_60),
+	.D(n_29646),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_63),
+	.D(n_1605),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_53),
+	.D(n_1622),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_53),
+	.D(n_1607),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_60),
+	.D(n_1609),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_63),
+	.D(n_1603),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_53),
+	.D(n_1620),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_61),
+	.D(n_1619),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_61),
+	.D(n_1629),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_61),
+	.D(n_1616),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_61),
+	.D(n_1628),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_61),
+	.D(n_1612),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_63),
+	.D(n_1325),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_63),
+	.D(n_1310),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_61),
+	.D(n_1626),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_63),
+	.D(n_1330),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_61),
+	.D(n_1604),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_63),
+	.D(n_1621),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_60),
+	.D(n_1608),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_61),
+	.D(n_1321),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_53),
+	.D(n_1309),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_61),
+	.D(n_1308),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_61),
+	.D(n_1617),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_61),
+	.D(n_1318),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.RESET_B(n_1920),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.SCE(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1919),
+	.SCD(n_1311),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_1919),
+	.SCD(n_1615),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_1919),
+	.SCD(n_1627),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_1919),
+	.SCD(n_1618),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.RESET_B(n_1919),
+	.SCD(n_1611),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.RESET_B(n_1919),
+	.SCD(n_1610),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.RESET_B(n_1919),
+	.SCD(n_1623),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.RESET_B(n_1919),
+	.SCD(n_1328),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_60),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_1919),
+	.SCD(n_29646),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.RESET_B(n_1919),
+	.SCD(n_1605),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_53),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_1919),
+	.SCD(n_1622),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_53),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.RESET_B(n_1919),
+	.SCD(n_1607),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.RESET_B(n_1919),
+	.SCD(n_1609),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.RESET_B(n_1919),
+	.SCD(n_1603),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.RESET_B(n_1919),
+	.SCD(n_1620),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.RESET_B(n_1919),
+	.SCD(n_1619),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.RESET_B(n_1919),
+	.SCD(n_1629),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.RESET_B(n_1919),
+	.SCD(n_1616),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.RESET_B(n_1919),
+	.SCD(n_1628),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.RESET_B(n_1919),
+	.SCD(n_1612),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.RESET_B(n_1919),
+	.SCD(n_1325),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.RESET_B(n_1919),
+	.SCD(n_1310),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.RESET_B(n_1919),
+	.SCD(n_1626),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.RESET_B(n_1919),
+	.SCD(n_1330),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.RESET_B(n_1919),
+	.SCD(n_1604),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.RESET_B(n_1919),
+	.SCD(n_1621),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.RESET_B(n_1919),
+	.SCD(n_1608),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.RESET_B(n_1919),
+	.SCD(n_1321),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_63),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.RESET_B(n_1919),
+	.SCD(n_1309),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.RESET_B(n_1919),
+	.SCD(n_1308),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.RESET_B(n_1919),
+	.SCD(n_1617),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_61),
+	.D(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.RESET_B(n_1919),
+	.SCD(n_1318),
+	.SCE(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_60),
+	.D(n_1311),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [1]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_60),
+	.D(n_1615),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [2]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_60),
+	.D(n_1627),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [3]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_60),
+	.D(n_1618),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [4]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][5]  (
+	.CLK(CTS_60),
+	.D(n_1611),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [5]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][6]  (
+	.CLK(CTS_60),
+	.D(n_1610),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [6]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][7]  (
+	.CLK(CTS_60),
+	.D(n_1623),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [7]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][8]  (
+	.CLK(CTS_60),
+	.D(n_1328),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [8]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][9]  (
+	.CLK(CTS_60),
+	.D(n_29646),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [9]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][10]  (
+	.CLK(CTS_63),
+	.D(n_1605),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [10]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][11]  (
+	.CLK(CTS_63),
+	.D(n_1622),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [11]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][12]  (
+	.CLK(CTS_53),
+	.D(n_1607),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [12]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][13]  (
+	.CLK(CTS_63),
+	.D(n_1609),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [13]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][14]  (
+	.CLK(CTS_63),
+	.D(n_1603),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [14]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][15]  (
+	.CLK(CTS_63),
+	.D(n_1620),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [15]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][16]  (
+	.CLK(CTS_60),
+	.D(n_1619),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][17]  (
+	.CLK(CTS_63),
+	.D(n_1629),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [17]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][18]  (
+	.CLK(CTS_61),
+	.D(n_1616),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [18]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][19]  (
+	.CLK(CTS_61),
+	.D(n_1628),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [19]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][20]  (
+	.CLK(CTS_61),
+	.D(n_1612),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [20]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][21]  (
+	.CLK(CTS_63),
+	.D(n_1325),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [21]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][22]  (
+	.CLK(CTS_63),
+	.D(n_1310),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][23]  (
+	.CLK(CTS_61),
+	.D(n_1626),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [23]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][24]  (
+	.CLK(CTS_61),
+	.D(n_1330),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [24]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][25]  (
+	.CLK(CTS_61),
+	.D(n_1604),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][26]  (
+	.CLK(CTS_61),
+	.D(n_1621),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][27]  (
+	.CLK(CTS_60),
+	.D(n_1608),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [27]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][28]  (
+	.CLK(CTS_61),
+	.D(n_1321),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [28]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][29]  (
+	.CLK(CTS_63),
+	.D(n_1309),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [29]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][30]  (
+	.CLK(CTS_61),
+	.D(n_1308),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][31]  (
+	.CLK(CTS_61),
+	.D(n_1617),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [31]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[2][32]  (
+	.CLK(CTS_61),
+	.D(n_1318),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.RESET_B(n_1917),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [32]),
+	.SCE(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_60),
+	.D(n_1311),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_60),
+	.D(n_1615),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [2]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_60),
+	.D(n_1627),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_60),
+	.D(n_1618),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][5]  (
+	.CLK(CTS_60),
+	.D(n_1611),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [5]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][6]  (
+	.CLK(CTS_60),
+	.D(n_1610),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [6]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][7]  (
+	.CLK(CTS_63),
+	.D(n_1623),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [7]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][8]  (
+	.CLK(CTS_63),
+	.D(n_1328),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [8]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][9]  (
+	.CLK(CTS_60),
+	.D(n_29646),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [9]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][10]  (
+	.CLK(CTS_53),
+	.D(n_1605),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [10]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][11]  (
+	.CLK(CTS_53),
+	.D(n_1622),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [11]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][12]  (
+	.CLK(CTS_53),
+	.D(n_1607),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [12]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][13]  (
+	.CLK(CTS_60),
+	.D(n_1609),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [13]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][14]  (
+	.CLK(CTS_63),
+	.D(n_1603),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [14]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][15]  (
+	.CLK(CTS_53),
+	.D(n_1620),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [15]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][16]  (
+	.CLK(CTS_61),
+	.D(n_1619),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [16]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][17]  (
+	.CLK(CTS_61),
+	.D(n_1629),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [17]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][18]  (
+	.CLK(CTS_61),
+	.D(n_1616),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [18]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][19]  (
+	.CLK(CTS_61),
+	.D(n_1628),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [19]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][20]  (
+	.CLK(CTS_61),
+	.D(n_1612),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [20]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][21]  (
+	.CLK(CTS_61),
+	.D(n_1325),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [21]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][22]  (
+	.CLK(CTS_63),
+	.D(n_1310),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [22]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][23]  (
+	.CLK(CTS_61),
+	.D(n_1626),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][24]  (
+	.CLK(CTS_63),
+	.D(n_1330),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [24]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][25]  (
+	.CLK(CTS_63),
+	.D(n_1604),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [25]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][26]  (
+	.CLK(CTS_63),
+	.D(n_1621),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [26]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][27]  (
+	.CLK(CTS_60),
+	.D(n_1608),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [27]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][28]  (
+	.CLK(CTS_61),
+	.D(n_1321),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [28]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][29]  (
+	.CLK(CTS_53),
+	.D(n_1309),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [29]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][30]  (
+	.CLK(CTS_61),
+	.D(n_1308),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [30]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][31]  (
+	.CLK(CTS_61),
+	.D(n_1617),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [31]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage_reg[3][32]  (
+	.CLK(CTS_61),
+	.D(n_1318),
+	.Q(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.RESET_B(n_2379),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [32]),
+	.SCE(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_60),
+	.D(n_3784),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_60),
+	.D(n_4225),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[2]  (
+	.CLK(CTS_60),
+	.D(n_4304),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_60),
+	.D(n_4711),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_60),
+	.D(n_8802),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[2]  (
+	.CLK(CTS_60),
+	.D(n_9632),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_2466),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.SCE(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_61),
+	.D(n_67160),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_2466),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [2]),
+	.SCE(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_2466),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [3]),
+	.SCE(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_2466),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [4]),
+	.SCE(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1963),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.SCE(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_61),
+	.D(n_67160),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_1963),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [2]),
+	.SCE(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_1963),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [3]),
+	.SCE(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_60),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_1963),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [4]),
+	.SCE(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][1]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.RESET_B(n_2476),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [1]),
+	.SCE(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][2]  (
+	.CLK(CTS_61),
+	.D(n_67160),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.RESET_B(n_2476),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [2]),
+	.SCE(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][3]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.RESET_B(n_2476),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [3]),
+	.SCE(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[2][4]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.RESET_B(n_2476),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[2] [4]),
+	.SCE(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][1]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.RESET_B(n_2473),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [1]),
+	.SCE(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][2]  (
+	.CLK(CTS_61),
+	.D(n_67160),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.RESET_B(n_2473),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [2]),
+	.SCE(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][3]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [2]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.RESET_B(n_2473),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [3]),
+	.SCE(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[3][4]  (
+	.CLK(CTS_61),
+	.D(\soc_top_xbar_to_timer[a_mask] [3]),
+	.Q(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.RESET_B(n_2473),
+	.SCD(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.storage[3] [4]),
+	.SCE(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 soc_top_dccm_adapter_rvalid_o_reg (
+	.CLK(CTS_63),
+	.D(n_1885),
+	.Q(soc_top_dccm_adapter_rvalid_o), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_93),
+	.D(n_3107),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_93),
+	.D(n_4307),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_93),
+	.D(n_6710),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_142),
+	.D(n_5766),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_1513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_142),
+	.D(n_5317),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_1529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_142),
+	.D(n_3183),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_4292),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_142),
+	.D(n_4710),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_6260),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][2]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [2]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][3]  (
+	.CLK(CTS_129),
+	.D(n_1705),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][4]  (
+	.CLK(CTS_129),
+	.D(n_1718),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][5]  (
+	.CLK(CTS_129),
+	.D(n_1676),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][6]  (
+	.CLK(CTS_129),
+	.D(n_1665),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][7]  (
+	.CLK(CTS_129),
+	.D(n_1670),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][8]  (
+	.CLK(CTS_129),
+	.D(n_1727),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][9]  (
+	.CLK(CTS_129),
+	.D(n_1731),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][10]  (
+	.CLK(CTS_129),
+	.D(n_1398),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][11]  (
+	.CLK(CTS_129),
+	.D(n_1714),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][12]  (
+	.CLK(CTS_142),
+	.D(n_1403),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][13]  (
+	.CLK(CTS_142),
+	.D(n_1706),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][14]  (
+	.CLK(CTS_129),
+	.D(n_1427),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][15]  (
+	.CLK(CTS_129),
+	.D(n_1658),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][16]  (
+	.CLK(CTS_129),
+	.D(n_1413),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][17]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [17]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][18]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [18]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][19]  (
+	.CLK(CTS_142),
+	.D(n_1702),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][20]  (
+	.CLK(CTS_142),
+	.D(n_1657),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][21]  (
+	.CLK(CTS_142),
+	.D(n_1388),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][22]  (
+	.CLK(CTS_142),
+	.D(n_1417),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][23]  (
+	.CLK(CTS_142),
+	.D(n_1380),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][24]  (
+	.CLK(CTS_142),
+	.D(n_1383),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][25]  (
+	.CLK(CTS_142),
+	.D(n_1385),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][26]  (
+	.CLK(CTS_142),
+	.D(n_1386),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][27]  (
+	.CLK(CTS_142),
+	.D(n_1382),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][28]  (
+	.CLK(CTS_142),
+	.D(n_1717),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][29]  (
+	.CLK(CTS_142),
+	.D(n_1686),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][30]  (
+	.CLK(CTS_142),
+	.D(n_1409),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][31]  (
+	.CLK(CTS_142),
+	.D(n_1395),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[0][32]  (
+	.CLK(CTS_142),
+	.D(n_1685),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.RESET_B(n_1266),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.SCE(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [0]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [1]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][2]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [1]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [2]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][3]  (
+	.CLK(CTS_129),
+	.D(n_1705),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][4]  (
+	.CLK(CTS_129),
+	.D(n_1718),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][5]  (
+	.CLK(CTS_129),
+	.D(n_1676),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][6]  (
+	.CLK(CTS_129),
+	.D(n_1665),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][7]  (
+	.CLK(CTS_129),
+	.D(n_1670),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][8]  (
+	.CLK(CTS_129),
+	.D(n_1727),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][9]  (
+	.CLK(CTS_129),
+	.D(n_1731),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][10]  (
+	.CLK(CTS_129),
+	.D(n_1398),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][11]  (
+	.CLK(CTS_129),
+	.D(n_1714),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][12]  (
+	.CLK(CTS_142),
+	.D(n_1403),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][13]  (
+	.CLK(CTS_142),
+	.D(n_1706),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][14]  (
+	.CLK(CTS_129),
+	.D(n_1427),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][15]  (
+	.CLK(CTS_129),
+	.D(n_1658),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][16]  (
+	.CLK(CTS_129),
+	.D(n_1413),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][17]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [16]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [17]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][18]  (
+	.CLK(CTS_142),
+	.D(\soc_top_iccm_adapter_inst_mem_rdata[0] [17]),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [18]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][19]  (
+	.CLK(CTS_142),
+	.D(n_1702),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][20]  (
+	.CLK(CTS_142),
+	.D(n_1657),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][21]  (
+	.CLK(CTS_142),
+	.D(n_1388),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][22]  (
+	.CLK(CTS_142),
+	.D(n_1417),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][23]  (
+	.CLK(CTS_142),
+	.D(n_1380),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][24]  (
+	.CLK(CTS_142),
+	.D(n_1383),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][25]  (
+	.CLK(CTS_142),
+	.D(n_1385),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][26]  (
+	.CLK(CTS_142),
+	.D(n_1386),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][27]  (
+	.CLK(CTS_142),
+	.D(n_1382),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][28]  (
+	.CLK(CTS_142),
+	.D(n_1717),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][29]  (
+	.CLK(CTS_142),
+	.D(n_1686),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][30]  (
+	.CLK(CTS_142),
+	.D(n_1409),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][31]  (
+	.CLK(CTS_142),
+	.D(n_1395),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage_reg[1][32]  (
+	.CLK(CTS_142),
+	.D(n_1685),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.RESET_B(n_1576),
+	.SCD(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.SCE(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[0]  (
+	.CLK(CTS_142),
+	.D(n_4709),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_6265),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[1]  (
+	.CLK(CTS_142),
+	.D(n_6711),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[0][1]  (
+	.CLK(CTS_142),
+	.D(n_5769),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.RESET_B(n_1159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage_reg[1][1]  (
+	.CLK(CTS_142),
+	.D(n_5260),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.RESET_B(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 soc_top_iccm_adapter_rvalid_reg (
+	.CLK(CTS_93),
+	.D(n_1889),
+	.Q(soc_top_iccm_adapter_rvalid), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[1]  (
+	.CLK(CTS_74),
+	.D(n_12251),
+	.Q(soc_top_intr_controller_u_gateway_ia[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[2]  (
+	.CLK(CTS_74),
+	.D(n_30007),
+	.Q(soc_top_intr_controller_u_gateway_ia[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[3]  (
+	.CLK(CTS_74),
+	.D(n_30012),
+	.Q(soc_top_intr_controller_u_gateway_ia[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[4]  (
+	.CLK(CTS_52),
+	.D(n_12248),
+	.Q(soc_top_intr_controller_u_gateway_ia[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[5]  (
+	.CLK(CTS_74),
+	.D(n_12247),
+	.Q(soc_top_intr_controller_u_gateway_ia[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[6]  (
+	.CLK(CTS_74),
+	.D(n_30008),
+	.Q(soc_top_intr_controller_u_gateway_ia[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[7]  (
+	.CLK(CTS_74),
+	.D(n_30010),
+	.Q(soc_top_intr_controller_u_gateway_ia[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[8]  (
+	.CLK(CTS_73),
+	.D(n_12252),
+	.Q(soc_top_intr_controller_u_gateway_ia[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[9]  (
+	.CLK(CTS_73),
+	.D(n_12254),
+	.Q(soc_top_intr_controller_u_gateway_ia[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[10]  (
+	.CLK(CTS_73),
+	.D(n_12255),
+	.Q(soc_top_intr_controller_u_gateway_ia[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[11]  (
+	.CLK(CTS_73),
+	.D(n_12256),
+	.Q(soc_top_intr_controller_u_gateway_ia[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[12]  (
+	.CLK(CTS_73),
+	.D(n_12257),
+	.Q(soc_top_intr_controller_u_gateway_ia[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[13]  (
+	.CLK(CTS_70),
+	.D(n_12258),
+	.Q(soc_top_intr_controller_u_gateway_ia[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[14]  (
+	.CLK(CTS_70),
+	.D(n_12259),
+	.Q(soc_top_intr_controller_u_gateway_ia[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[15]  (
+	.CLK(CTS_73),
+	.D(n_12260),
+	.Q(soc_top_intr_controller_u_gateway_ia[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[16]  (
+	.CLK(CTS_73),
+	.D(n_30016),
+	.Q(soc_top_intr_controller_u_gateway_ia[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[17]  (
+	.CLK(CTS_72),
+	.D(n_30015),
+	.Q(soc_top_intr_controller_u_gateway_ia[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[18]  (
+	.CLK(CTS_73),
+	.D(n_30014),
+	.Q(soc_top_intr_controller_u_gateway_ia[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[19]  (
+	.CLK(CTS_72),
+	.D(n_30004),
+	.Q(soc_top_intr_controller_u_gateway_ia[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[20]  (
+	.CLK(CTS_70),
+	.D(n_30009),
+	.Q(soc_top_intr_controller_u_gateway_ia[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[21]  (
+	.CLK(CTS_72),
+	.D(n_30017),
+	.Q(soc_top_intr_controller_u_gateway_ia[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[22]  (
+	.CLK(CTS_72),
+	.D(n_30006),
+	.Q(soc_top_intr_controller_u_gateway_ia[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[23]  (
+	.CLK(CTS_72),
+	.D(n_30005),
+	.Q(soc_top_intr_controller_u_gateway_ia[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[24]  (
+	.CLK(CTS_72),
+	.D(n_12240),
+	.Q(soc_top_intr_controller_u_gateway_ia[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[25]  (
+	.CLK(CTS_75),
+	.D(n_12238),
+	.Q(soc_top_intr_controller_u_gateway_ia[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[26]  (
+	.CLK(CTS_72),
+	.D(n_30013),
+	.Q(soc_top_intr_controller_u_gateway_ia[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[27]  (
+	.CLK(CTS_72),
+	.D(n_12237),
+	.Q(soc_top_intr_controller_u_gateway_ia[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_12235),
+	.Q(soc_top_intr_controller_u_gateway_ia[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[29]  (
+	.CLK(CTS_75),
+	.D(n_12234),
+	.Q(soc_top_intr_controller_u_gateway_ia[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[30]  (
+	.CLK(CTS_72),
+	.D(n_12233),
+	.Q(soc_top_intr_controller_u_gateway_ia[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[31]  (
+	.CLK(CTS_74),
+	.D(n_12232),
+	.Q(soc_top_intr_controller_u_gateway_ia[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[32]  (
+	.CLK(CTS_52),
+	.D(n_12231),
+	.Q(soc_top_intr_controller_u_gateway_ia[32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[34]  (
+	.CLK(CTS_52),
+	.D(n_12230),
+	.Q(soc_top_intr_controller_u_gateway_ia[34]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[35]  (
+	.CLK(CTS_52),
+	.D(n_30011),
+	.Q(soc_top_intr_controller_u_gateway_ia[35]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ia_reg[36]  (
+	.CLK(CTS_52),
+	.D(n_2532),
+	.Q(soc_top_intr_controller_u_gateway_ia[36]),
+	.RESET_B(n_544),
+	.SCD(n_12052),
+	.SCE(soc_top_intr_controller_u_gateway_ia[36]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[1]  (
+	.CLK(CTS_74),
+	.D(n_9263),
+	.Q(soc_top_intr_controller_ip[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[2]  (
+	.CLK(CTS_74),
+	.D(n_2923),
+	.Q(soc_top_intr_controller_ip[2]),
+	.RESET_B(n_544),
+	.SCD(n_6472),
+	.SCE(soc_top_intr_controller_ip[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[3]  (
+	.CLK(CTS_74),
+	.D(n_2904),
+	.Q(soc_top_intr_controller_ip[3]),
+	.RESET_B(n_544),
+	.SCD(n_6461),
+	.SCE(soc_top_intr_controller_ip[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[4]  (
+	.CLK(CTS_74),
+	.D(n_9264),
+	.Q(soc_top_intr_controller_ip[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[5]  (
+	.CLK(CTS_74),
+	.D(n_9265),
+	.Q(soc_top_intr_controller_ip[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[6]  (
+	.CLK(CTS_74),
+	.D(n_2921),
+	.Q(soc_top_intr_controller_ip[6]),
+	.RESET_B(n_544),
+	.SCD(n_6459),
+	.SCE(soc_top_intr_controller_ip[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[7]  (
+	.CLK(CTS_74),
+	.D(n_2915),
+	.Q(soc_top_intr_controller_ip[7]),
+	.RESET_B(n_544),
+	.SCD(n_6460),
+	.SCE(soc_top_intr_controller_ip[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[8]  (
+	.CLK(CTS_73),
+	.D(n_9116),
+	.Q(soc_top_intr_controller_ip[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[9]  (
+	.CLK(CTS_73),
+	.D(n_9115),
+	.Q(soc_top_intr_controller_ip[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[10]  (
+	.CLK(CTS_73),
+	.D(n_9117),
+	.Q(soc_top_intr_controller_ip[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[11]  (
+	.CLK(CTS_74),
+	.D(n_9118),
+	.Q(soc_top_intr_controller_ip[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[12]  (
+	.CLK(CTS_73),
+	.D(n_9119),
+	.Q(soc_top_intr_controller_ip[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[13]  (
+	.CLK(CTS_72),
+	.D(n_9121),
+	.Q(soc_top_intr_controller_ip[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[14]  (
+	.CLK(CTS_72),
+	.D(n_9122),
+	.Q(soc_top_intr_controller_ip[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[15]  (
+	.CLK(CTS_73),
+	.D(n_9120),
+	.Q(soc_top_intr_controller_ip[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[16]  (
+	.CLK(CTS_73),
+	.D(n_2892),
+	.Q(soc_top_intr_controller_ip[16]),
+	.RESET_B(n_544),
+	.SCD(n_6495),
+	.SCE(soc_top_intr_controller_ip[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[17]  (
+	.CLK(CTS_72),
+	.D(n_2897),
+	.Q(soc_top_intr_controller_ip[17]),
+	.RESET_B(n_544),
+	.SCD(n_6482),
+	.SCE(soc_top_intr_controller_ip[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[18]  (
+	.CLK(CTS_74),
+	.D(n_2899),
+	.Q(soc_top_intr_controller_ip[18]),
+	.RESET_B(n_544),
+	.SCD(n_6496),
+	.SCE(soc_top_intr_controller_ip[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[19]  (
+	.CLK(CTS_72),
+	.D(n_2934),
+	.Q(soc_top_intr_controller_ip[19]),
+	.RESET_B(n_544),
+	.SCD(n_6502),
+	.SCE(soc_top_intr_controller_ip[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[20]  (
+	.CLK(CTS_70),
+	.D(n_2919),
+	.Q(soc_top_intr_controller_ip[20]),
+	.RESET_B(n_544),
+	.SCD(n_6483),
+	.SCE(soc_top_intr_controller_ip[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[21]  (
+	.CLK(CTS_72),
+	.D(n_2888),
+	.Q(soc_top_intr_controller_ip[21]),
+	.RESET_B(n_544),
+	.SCD(n_6484),
+	.SCE(soc_top_intr_controller_ip[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[22]  (
+	.CLK(CTS_72),
+	.D(n_2925),
+	.Q(soc_top_intr_controller_ip[22]),
+	.RESET_B(n_544),
+	.SCD(n_6501),
+	.SCE(soc_top_intr_controller_ip[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[23]  (
+	.CLK(CTS_72),
+	.D(n_2929),
+	.Q(soc_top_intr_controller_ip[23]),
+	.RESET_B(n_544),
+	.SCD(n_6497),
+	.SCE(soc_top_intr_controller_ip[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[24]  (
+	.CLK(CTS_72),
+	.D(n_9123),
+	.Q(soc_top_intr_controller_ip[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[25]  (
+	.CLK(CTS_75),
+	.D(n_9124),
+	.Q(soc_top_intr_controller_ip[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[26]  (
+	.CLK(CTS_72),
+	.D(n_2901),
+	.Q(soc_top_intr_controller_ip[26]),
+	.RESET_B(n_544),
+	.SCD(n_6449),
+	.SCE(soc_top_intr_controller_ip[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[27]  (
+	.CLK(CTS_72),
+	.D(n_9266),
+	.Q(soc_top_intr_controller_ip[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_9125),
+	.Q(soc_top_intr_controller_ip[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[29]  (
+	.CLK(CTS_75),
+	.D(n_9126),
+	.Q(soc_top_intr_controller_ip[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[30]  (
+	.CLK(CTS_72),
+	.D(n_9267),
+	.Q(soc_top_intr_controller_ip[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[31]  (
+	.CLK(CTS_74),
+	.D(n_9268),
+	.Q(soc_top_intr_controller_ip[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[32]  (
+	.CLK(CTS_52),
+	.D(n_9545),
+	.Q(soc_top_intr_controller_ip[32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[34]  (
+	.CLK(CTS_52),
+	.D(n_9544),
+	.Q(soc_top_intr_controller_ip[34]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[35]  (
+	.CLK(CTS_52),
+	.D(n_2912),
+	.Q(soc_top_intr_controller_ip[35]),
+	.RESET_B(n_544),
+	.SCD(n_6204),
+	.SCE(soc_top_intr_controller_ip[35]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_gateway_ip_o_reg[36]  (
+	.CLK(CTS_52),
+	.D(n_2534),
+	.Q(soc_top_intr_controller_ip[36]),
+	.RESET_B(n_544),
+	.SCD(n_29983),
+	.SCE(soc_top_intr_controller_ip[36]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_0_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_1_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_2_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_3_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_4_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_5_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_6_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_7_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_8_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_9_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_10_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_11_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_12_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_13_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_14_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_15_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_16_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_17_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_18_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_19_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_20_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_21_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_22_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_23_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_24_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [24]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_25_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [25]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_26_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [26]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_27_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [27]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_28_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [28]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_29_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [29]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_30_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [30]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_0_e_31_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [31]),
+	.SCE(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_32_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_33_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_34_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_35_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_ie0_1_e_36_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.Q(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_0_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.RESET_B(n_544),
+	.SCD(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_1_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_2_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_3_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_4_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_5_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_6_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_7_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_8_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_9_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_10_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_11_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_12_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_13_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_14_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_15_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_16_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_17_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_18_q_reg[0]  (
+	.CLK(CTS_73),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_19_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_20_q_reg[0]  (
+	.CLK(CTS_70),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_21_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_22_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_23_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_24_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_25_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_26_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_27_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_28_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_29_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_30_q_reg[0]  (
+	.CLK(CTS_72),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_0_le_31_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.SCE(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_32_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.SCE(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_33_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(soc_top_intr_controller_u_reg_le_1_le_33_qs),
+	.RESET_B(n_544),
+	.SCD(soc_top_intr_controller_u_reg_le_1_le_33_qs),
+	.SCE(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_34_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.SCE(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_35_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.SCE(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_le_1_le_36_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.SCE(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_msip0_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_12029),
+	.Q(soc_top_intr_controller_u_reg_msip0_qs),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11708),
+	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio0_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_11750),
+	.Q(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[0]  (
+	.CLK(CTS_74),
+	.D(n_11751),
+	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio1_q_reg[1]  (
+	.CLK(CTS_74),
+	.D(n_11858),
+	.Q(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_12102),
+	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio2_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_12103),
+	.Q(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.SCE(n_11154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio3_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.SCE(n_11154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12104),
+	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio4_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12105),
+	.Q(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11865),
+	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio5_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11905),
+	.Q(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_12106),
+	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio6_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_12107),
+	.Q(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_12110),
+	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio7_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_12108),
+	.Q(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12109),
+	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio8_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12111),
+	.Q(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.SCE(n_11270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio9_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.SCE(n_11270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11931),
+	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio10_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11907),
+	.Q(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11929),
+	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio11_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11932),
+	.Q(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11934),
+	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio12_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11936),
+	.Q(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11937),
+	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio13_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11938),
+	.Q(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_11940),
+	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio14_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11939),
+	.Q(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11941),
+	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio15_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11942),
+	.Q(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11943),
+	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio16_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11944),
+	.Q(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11945),
+	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio17_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11946),
+	.Q(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12112),
+	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio18_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12113),
+	.Q(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12114),
+	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio19_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12115),
+	.Q(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12116),
+	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio20_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12117),
+	.Q(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.SCE(n_11271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio21_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.SCE(n_11271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12118),
+	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio22_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12119),
+	.Q(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11947),
+	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio23_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11948),
+	.Q(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_11949),
+	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio24_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_11950),
+	.Q(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_11951),
+	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio25_q_reg[1]  (
+	.CLK(CTS_69),
+	.D(n_11952),
+	.Q(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12120),
+	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio26_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12121),
+	.Q(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12122),
+	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio27_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12123),
+	.Q(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12124),
+	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio28_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12125),
+	.Q(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_11675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio29_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_11675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_12126),
+	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio30_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12127),
+	.Q(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[0]  (
+	.CLK(CTS_75),
+	.D(n_12129),
+	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio31_q_reg[1]  (
+	.CLK(CTS_75),
+	.D(n_12128),
+	.Q(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11953),
+	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio32_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_11954),
+	.Q(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_11674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_prio33_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.Q(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_11674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11955),
+	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio34_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_11956),
+	.Q(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11957),
+	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_prio35_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_11958),
+	.Q(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_54),
+	.D(\soc_top_plic_resp[d_error] ),
+	.Q(\soc_top_plic_resp[d_error] ),
+	.RESET_B(n_544),
+	.SCD(n_11015),
+	.SCE(n_2362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_intr_controller_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_54),
+	.D(n_2362),
+	.Q(\soc_top_plic_resp[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_plic_resp[d_valid] ),
+	.SCE(n_2945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_74),
+	.D(n_11904),
+	.Q(\soc_top_plic_resp[d_data] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_74),
+	.D(n_12036),
+	.Q(\soc_top_plic_resp[d_data] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_11651),
+	.Q(\soc_top_plic_resp[d_data] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_52),
+	.D(n_11649),
+	.Q(\soc_top_plic_resp[d_data] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_52),
+	.D(n_11650),
+	.Q(\soc_top_plic_resp[d_data] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_74),
+	.D(n_11101),
+	.Q(\soc_top_plic_resp[d_data] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_74),
+	.D(n_11135),
+	.Q(\soc_top_plic_resp[d_data] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_74),
+	.D(n_11134),
+	.Q(\soc_top_plic_resp[d_data] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_74),
+	.D(n_11133),
+	.Q(\soc_top_plic_resp[d_data] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_74),
+	.D(n_11132),
+	.Q(\soc_top_plic_resp[d_data] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_52),
+	.D(n_11131),
+	.Q(\soc_top_plic_resp[d_data] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_74),
+	.D(n_11130),
+	.Q(\soc_top_plic_resp[d_data] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_72),
+	.D(n_11129),
+	.Q(\soc_top_plic_resp[d_data] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_72),
+	.D(n_11128),
+	.Q(\soc_top_plic_resp[d_data] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_72),
+	.D(n_11127),
+	.Q(\soc_top_plic_resp[d_data] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_72),
+	.D(n_11126),
+	.Q(\soc_top_plic_resp[d_data] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_72),
+	.D(n_11125),
+	.Q(\soc_top_plic_resp[d_data] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_72),
+	.D(n_11124),
+	.Q(\soc_top_plic_resp[d_data] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_74),
+	.D(n_11123),
+	.Q(\soc_top_plic_resp[d_data] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_74),
+	.D(n_11122),
+	.Q(\soc_top_plic_resp[d_data] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_72),
+	.D(n_11121),
+	.Q(\soc_top_plic_resp[d_data] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_72),
+	.D(n_11120),
+	.Q(\soc_top_plic_resp[d_data] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_72),
+	.D(n_11119),
+	.Q(\soc_top_plic_resp[d_data] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_75),
+	.D(n_11118),
+	.Q(\soc_top_plic_resp[d_data] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_75),
+	.D(n_11117),
+	.Q(\soc_top_plic_resp[d_data] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_75),
+	.D(n_11116),
+	.Q(\soc_top_plic_resp[d_data] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_72),
+	.D(n_11115),
+	.Q(\soc_top_plic_resp[d_data] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_75),
+	.D(n_11114),
+	.Q(\soc_top_plic_resp[d_data] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_11112),
+	.Q(\soc_top_plic_resp[d_data] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_75),
+	.D(n_11111),
+	.Q(\soc_top_plic_resp[d_data] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_74),
+	.D(n_11113),
+	.Q(\soc_top_plic_resp[d_data] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_74),
+	.D(n_11110),
+	.Q(\soc_top_plic_resp[d_data] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_intr_controller_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_25),
+	.Q(\soc_top_plic_resp[d_opcode] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_plic_resp[d_opcode] [0]),
+	.SCE(n_2363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_11961),
+	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_intr_controller_u_reg_u_threshold0_q_reg[1]  (
+	.CLK(CTS_54),
+	.D(n_11959),
+	.Q(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[0]  (
+	.CLK(CTS_53),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[0]),
+	.SCE(n_24588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[1]  (
+	.CLK(CTS_53),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[1]),
+	.SCE(n_24588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_main_swith_host_lsu_dev_select_outstanding_reg[2]  (
+	.CLK(CTS_53),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.Q(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.SCE(n_24588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_err_resp_err_opcode_reg[0]  (
+	.CLK(CTS_53),
+	.D(n_25221),
+	.Q(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_main_swith_host_lsu_err_resp_err_opcode[0]),
+	.SCE(n_2865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_main_swith_host_lsu_err_resp_err_opcode_reg[2]  (
+	.CLK(CTS_53),
+	.D(n_25),
+	.Q(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.SCD(soc_top_main_swith_host_lsu_err_resp_err_opcode[2]),
+	.SCE(n_2865),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_main_swith_host_lsu_err_resp_err_req_pending_reg (
+	.CLK(CTS_53),
+	.D(n_2866),
+	.Q(\soc_top_main_swith_host_lsu_tl_u_i[7][d_valid] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[0]  (
+	.CLK(CTS_53),
+	.D(n_2397),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.RESET_B(n_544),
+	.SCD(n_2396),
+	.SCE(soc_top_main_swith_host_lsu_num_req_outstanding[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[1]  (
+	.CLK(CTS_53),
+	.D(n_4263),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[2]  (
+	.CLK(CTS_53),
+	.D(n_4184),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[3]  (
+	.CLK(CTS_53),
+	.D(n_5623),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[4]  (
+	.CLK(CTS_53),
+	.D(n_6379),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[5]  (
+	.CLK(CTS_53),
+	.D(n_8994),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[6]  (
+	.CLK(CTS_53),
+	.D(n_9269),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[7]  (
+	.CLK(CTS_53),
+	.D(n_9686),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[8]  (
+	.CLK(CTS_53),
+	.D(n_9995),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[9]  (
+	.CLK(CTS_53),
+	.D(n_10281),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[10]  (
+	.CLK(CTS_53),
+	.D(n_10443),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[11]  (
+	.CLK(CTS_53),
+	.D(n_10882),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[12]  (
+	.CLK(CTS_53),
+	.D(n_11010),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[13]  (
+	.CLK(CTS_53),
+	.D(n_11328),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[14]  (
+	.CLK(CTS_53),
+	.D(n_11960),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[15]  (
+	.CLK(CTS_53),
+	.D(n_12130),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_main_swith_host_lsu_num_req_outstanding_reg[16]  (
+	.CLK(CTS_53),
+	.D(n_12148),
+	.Q(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[3]  (
+	.CLK(CTS_57),
+	.D(n_10346),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[4]  (
+	.CLK(CTS_57),
+	.D(n_10581),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[5]  (
+	.CLK(CTS_57),
+	.D(n_11588),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[8]  (
+	.CLK(CTS_50),
+	.D(n_12369),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[9]  (
+	.CLK(CTS_50),
+	.D(n_12453),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[11]  (
+	.CLK(CTS_49),
+	.D(n_12496),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_gen_harts[0].u_intr_hw_intr_o_reg[0]  (
+	.CLK(CTS_69),
+	.D(n_1184),
+	.Q(soc_top_intr_timer),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[0]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[1]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[2]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[3]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[4]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[5]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[6]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[7]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[8]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[9]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[10]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_prescale_q_reg[11]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[0]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.SCD(\soc_top_xbar_to_timer[a_data] [16]),
+	.SCE(n_1574),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[1]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [17]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[2]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [18]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[3]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [19]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[4]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [20]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[5]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [21]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[6]  (
+	.CLK(CTS_52),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [22]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_cfg0_step_q_reg[7]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.Q(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [23]),
+	.SCE(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[1]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[2]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[3]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[4]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[5]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[6]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[7]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[8]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[9]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[10]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[11]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[12]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[13]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[14]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[15]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[16]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[17]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[18]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[19]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[20]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[21]  (
+	.CLK(CTS_54),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[22]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[23]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[24]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[25]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[26]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[27]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[28]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[29]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[30]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_lower0_0_q_reg[31]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.SCD(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.SCE(n_25200),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[1]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[2]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[3]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[4]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[5]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[6]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[7]  (
+	.CLK(CTS_49),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[8]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[9]  (
+	.CLK(CTS_49),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[10]  (
+	.CLK(CTS_48),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[11]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[12]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[13]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[14]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[15]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[16]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[17]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[18]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[19]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[20]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[21]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[22]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[23]  (
+	.CLK(CTS_51),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[24]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[25]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[26]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[27]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[28]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[29]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[30]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_timer0_u_reg_u_compare_upper0_0_q_reg[31]  (
+	.CLK(CTS_55),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.SCD(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.SCE(n_25202),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_ctrl_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Q(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_1434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_intr_enable0_q_reg[0]  (
+	.CLK(CTS_57),
+	.D(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.Q(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_1121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_intr_state0_q_reg[0]  (
+	.CLK(CTS_57),
+	.D(n_5645),
+	.Q(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.SCE(n_3460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_error_reg (
+	.CLK(CTS_54),
+	.D(n_9270),
+	.Q(\soc_top_timer_to_xbar[d_error] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_timer_to_xbar[d_error] ),
+	.SCE(n_25260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_timer0_u_reg_u_reg_if_outstanding_reg (
+	.CLK(CTS_55),
+	.D(n_656),
+	.Q(\soc_top_timer_to_xbar[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_timer_to_xbar[d_valid] ),
+	.SCE(n_1119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_9694),
+	.Q(\soc_top_timer_to_xbar[d_data] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_51),
+	.D(n_9701),
+	.Q(\soc_top_timer_to_xbar[d_data] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_57),
+	.D(n_9699),
+	.Q(\soc_top_timer_to_xbar[d_data] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_51),
+	.D(n_9700),
+	.Q(\soc_top_timer_to_xbar[d_data] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_57),
+	.D(n_9702),
+	.Q(\soc_top_timer_to_xbar[d_data] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_57),
+	.D(n_9704),
+	.Q(\soc_top_timer_to_xbar[d_data] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_57),
+	.D(n_9703),
+	.Q(\soc_top_timer_to_xbar[d_data] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_57),
+	.D(n_9705),
+	.Q(\soc_top_timer_to_xbar[d_data] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_51),
+	.D(n_9706),
+	.Q(\soc_top_timer_to_xbar[d_data] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_51),
+	.D(n_9707),
+	.Q(\soc_top_timer_to_xbar[d_data] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_51),
+	.D(n_9708),
+	.Q(\soc_top_timer_to_xbar[d_data] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_51),
+	.D(n_9709),
+	.Q(\soc_top_timer_to_xbar[d_data] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_51),
+	.D(n_9933),
+	.Q(\soc_top_timer_to_xbar[d_data] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_51),
+	.D(n_9932),
+	.Q(\soc_top_timer_to_xbar[d_data] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_51),
+	.D(n_9931),
+	.Q(\soc_top_timer_to_xbar[d_data] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_51),
+	.D(n_9930),
+	.Q(\soc_top_timer_to_xbar[d_data] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_51),
+	.D(n_9710),
+	.Q(\soc_top_timer_to_xbar[d_data] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_51),
+	.D(n_9711),
+	.Q(\soc_top_timer_to_xbar[d_data] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_51),
+	.D(n_9712),
+	.Q(\soc_top_timer_to_xbar[d_data] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_51),
+	.D(n_9713),
+	.Q(\soc_top_timer_to_xbar[d_data] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_52),
+	.D(n_9714),
+	.Q(\soc_top_timer_to_xbar[d_data] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_55),
+	.D(n_9635),
+	.Q(\soc_top_timer_to_xbar[d_data] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_52),
+	.D(n_9634),
+	.Q(\soc_top_timer_to_xbar[d_data] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_55),
+	.D(n_9715),
+	.Q(\soc_top_timer_to_xbar[d_data] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_55),
+	.D(n_9929),
+	.Q(\soc_top_timer_to_xbar[d_data] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_55),
+	.D(n_9928),
+	.Q(\soc_top_timer_to_xbar[d_data] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_55),
+	.D(n_9926),
+	.Q(\soc_top_timer_to_xbar[d_data] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_55),
+	.D(n_9927),
+	.Q(\soc_top_timer_to_xbar[d_data] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_55),
+	.D(n_9925),
+	.Q(\soc_top_timer_to_xbar[d_data] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_55),
+	.D(n_9924),
+	.Q(\soc_top_timer_to_xbar[d_data] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_55),
+	.D(n_9923),
+	.Q(\soc_top_timer_to_xbar[d_data] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_55),
+	.D(n_9922),
+	.Q(\soc_top_timer_to_xbar[d_data] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_timer0_u_reg_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_25),
+	.Q(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_timer_to_xbar[d_opcode] [0]),
+	.SCE(n_25260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_11755),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[1]  (
+	.CLK(CTS_51),
+	.D(n_11754),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[2]  (
+	.CLK(CTS_51),
+	.D(n_11838),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[3]  (
+	.CLK(CTS_51),
+	.D(n_11841),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[4]  (
+	.CLK(CTS_51),
+	.D(n_11842),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[5]  (
+	.CLK(CTS_51),
+	.D(n_11847),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[7]  (
+	.CLK(CTS_51),
+	.D(n_11863),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_11857),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_11856),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[10]  (
+	.CLK(CTS_48),
+	.D(n_11854),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[11]  (
+	.CLK(CTS_48),
+	.D(n_11855),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[12]  (
+	.CLK(CTS_48),
+	.D(n_11862),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[14]  (
+	.CLK(CTS_48),
+	.D(n_11860),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[15]  (
+	.CLK(CTS_48),
+	.D(n_11859),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[16]  (
+	.CLK(CTS_55),
+	.D(n_12022),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[18]  (
+	.CLK(CTS_54),
+	.D(n_12024),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[19]  (
+	.CLK(CTS_54),
+	.D(n_12023),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[20]  (
+	.CLK(CTS_54),
+	.D(n_12021),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[21]  (
+	.CLK(CTS_54),
+	.D(n_12020),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[22]  (
+	.CLK(CTS_54),
+	.D(n_12019),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[23]  (
+	.CLK(CTS_54),
+	.D(n_12018),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[24]  (
+	.CLK(CTS_55),
+	.D(n_12017),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[25]  (
+	.CLK(CTS_55),
+	.D(n_12016),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[27]  (
+	.CLK(CTS_55),
+	.D(n_12014),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[28]  (
+	.CLK(CTS_55),
+	.D(n_12013),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[29]  (
+	.CLK(CTS_51),
+	.D(n_12012),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[30]  (
+	.CLK(CTS_51),
+	.D(n_12011),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[31]  (
+	.CLK(CTS_51),
+	.D(n_12010),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_11753),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[1]  (
+	.CLK(CTS_51),
+	.D(n_11752),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[4]  (
+	.CLK(CTS_51),
+	.D(n_11824),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_11852),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_11846),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_11844),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[10]  (
+	.CLK(CTS_48),
+	.D(n_11845),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[12]  (
+	.CLK(CTS_48),
+	.D(n_11851),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[15]  (
+	.CLK(CTS_48),
+	.D(n_11848),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[16]  (
+	.CLK(CTS_51),
+	.D(n_12009),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[17]  (
+	.CLK(CTS_51),
+	.D(n_12008),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[18]  (
+	.CLK(CTS_51),
+	.D(n_12007),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[19]  (
+	.CLK(CTS_51),
+	.D(n_12006),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[20]  (
+	.CLK(CTS_55),
+	.D(n_12005),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[22]  (
+	.CLK(CTS_55),
+	.D(n_12003),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[23]  (
+	.CLK(CTS_55),
+	.D(n_12002),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[24]  (
+	.CLK(CTS_55),
+	.D(n_12001),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[25]  (
+	.CLK(CTS_55),
+	.D(n_12000),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[26]  (
+	.CLK(CTS_55),
+	.D(n_11997),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[28]  (
+	.CLK(CTS_55),
+	.D(n_11998),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[29]  (
+	.CLK(CTS_55),
+	.D(n_11996),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[31]  (
+	.CLK(CTS_55),
+	.D(n_11994),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_6143),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_6142),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_6141),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6140),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_6139),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6138),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_6136),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[8]  (
+	.CLK(CTS_128),
+	.D(n_6135),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6134),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[10]  (
+	.CLK(CTS_49),
+	.D(n_6133),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[11]  (
+	.CLK(CTS_49),
+	.D(n_6132),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_6131),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_6130),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_6129),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_6128),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_6127),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_6126),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_6125),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6124),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_6123),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6122),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6121),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_6120),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_6119),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6118),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[10]  (
+	.CLK(CTS_49),
+	.D(n_6117),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[11]  (
+	.CLK(CTS_49),
+	.D(n_6116),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_6115),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_6114),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_6113),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_DC_2_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_6112),
+	.Q(soc_top_u_pwm_pwm_core_DC_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p1_reg (
+	.CLK(CTS_50),
+	.D(n_670),
+	.Q(soc_top_u_pwm_pwm_core_clock_p1),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_pwm_pwm_core_clock_p1),
+	.SCE(n_12267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_clock_p2_reg (
+	.CLK(CTS_49),
+	.D(n_671),
+	.Q(soc_top_u_pwm_pwm_core_clock_p2),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_pwm_pwm_core_clock_p2),
+	.SCE(n_12336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_12277),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.RESET_B(n_544),
+	.SCD(n_674),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_12333),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[5]  (
+	.CLK(CTS_50),
+	.D(n_12325),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[9]  (
+	.CLK(CTS_127),
+	.D(n_12324),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[13]  (
+	.CLK(CTS_50),
+	.D(n_12313),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.RESET_B(n_544),
+	.SCD(n_12314),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p1[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_12347),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.RESET_B(n_544),
+	.SCD(n_676),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_12409),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[5]  (
+	.CLK(CTS_127),
+	.D(n_12408),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[9]  (
+	.CLK(CTS_127),
+	.D(n_12407),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[12]  (
+	.CLK(CTS_127),
+	.D(n_12442),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[13]  (
+	.CLK(CTS_127),
+	.D(n_12391),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.RESET_B(n_544),
+	.SCD(n_12389),
+	.SCE(soc_top_u_pwm_pwm_core_counter_p2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_6110),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_6108),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_6107),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_6105),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_6104),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_6103),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_6102),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_6100),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6098),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[7]  (
+	.CLK(CTS_127),
+	.D(n_6097),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[8]  (
+	.CLK(CTS_127),
+	.D(n_6096),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[10]  (
+	.CLK(CTS_127),
+	.D(n_6094),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[11]  (
+	.CLK(CTS_127),
+	.D(n_6093),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[14]  (
+	.CLK(CTS_127),
+	.D(n_6090),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[15]  (
+	.CLK(CTS_49),
+	.D(n_6089),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_6088),
+	.Q(soc_top_u_pwm_pwm_core_divisor[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_6087),
+	.Q(soc_top_u_pwm_pwm_core_divisor[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_6086),
+	.Q(soc_top_u_pwm_pwm_core_divisor[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[4]  (
+	.CLK(CTS_50),
+	.D(n_6083),
+	.Q(soc_top_u_pwm_pwm_core_divisor[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[6]  (
+	.CLK(CTS_127),
+	.D(n_6081),
+	.Q(soc_top_u_pwm_pwm_core_divisor[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_6080),
+	.Q(soc_top_u_pwm_pwm_core_divisor[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[8]  (
+	.CLK(CTS_127),
+	.D(n_6079),
+	.Q(soc_top_u_pwm_pwm_core_divisor[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[10]  (
+	.CLK(CTS_127),
+	.D(n_6077),
+	.Q(soc_top_u_pwm_pwm_core_divisor[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[11]  (
+	.CLK(CTS_127),
+	.D(n_6076),
+	.Q(soc_top_u_pwm_pwm_core_divisor[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[12]  (
+	.CLK(CTS_127),
+	.D(n_6075),
+	.Q(soc_top_u_pwm_pwm_core_divisor[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[14]  (
+	.CLK(CTS_127),
+	.D(n_6073),
+	.Q(soc_top_u_pwm_pwm_core_divisor[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_divisor_reg[15]  (
+	.CLK(CTS_50),
+	.D(n_6072),
+	.Q(soc_top_u_pwm_pwm_core_divisor[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_pwm_pwm_core_oe_pwm2_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_2083),
+	.Q(pwm2_oe),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_6071),
+	.Q(soc_top_u_pwm_pwm_core_period_2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_6070),
+	.Q(soc_top_u_pwm_pwm_core_period_2[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_6069),
+	.Q(soc_top_u_pwm_pwm_core_period_2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6068),
+	.Q(soc_top_u_pwm_pwm_core_period_2[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[4]  (
+	.CLK(CTS_127),
+	.D(n_6067),
+	.Q(soc_top_u_pwm_pwm_core_period_2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6066),
+	.Q(soc_top_u_pwm_pwm_core_period_2[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_6064),
+	.Q(soc_top_u_pwm_pwm_core_period_2[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_6063),
+	.Q(soc_top_u_pwm_pwm_core_period_2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6062),
+	.Q(soc_top_u_pwm_pwm_core_period_2[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_6061),
+	.Q(soc_top_u_pwm_pwm_core_period_2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[11]  (
+	.CLK(CTS_128),
+	.D(n_6060),
+	.Q(soc_top_u_pwm_pwm_core_period_2[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_6059),
+	.Q(soc_top_u_pwm_pwm_core_period_2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_6058),
+	.Q(soc_top_u_pwm_pwm_core_period_2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_6057),
+	.Q(soc_top_u_pwm_pwm_core_period_2[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_2_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_6056),
+	.Q(soc_top_u_pwm_pwm_core_period_2[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[0]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12364),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.RESET_B(n_544),
+	.SCD(n_1585),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[1]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12402),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.RESET_B(n_544),
+	.SCD(n_12380),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[4]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12393),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[4]),
+	.RESET_B(n_544),
+	.SCD(n_12384),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[6]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12403),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.RESET_B(n_544),
+	.SCD(n_12388),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[8]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12436),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.RESET_B(n_544),
+	.SCD(n_12418),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[11]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12400),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.RESET_B(n_544),
+	.SCD(n_12390),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[13]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12437),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.RESET_B(n_544),
+	.SCD(n_12435),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter1[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[0]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12349),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.RESET_B(n_544),
+	.SCD(n_1591),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[2]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12375),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.RESET_B(n_544),
+	.SCD(n_12363),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[4]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12374),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.RESET_B(n_544),
+	.SCD(n_12366),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[6]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12401),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.RESET_B(n_544),
+	.SCD(n_12392),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[8]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12439),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.RESET_B(n_544),
+	.SCD(n_12434),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[10]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12456),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.RESET_B(n_544),
+	.SCD(n_12454),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[12]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12469),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.RESET_B(n_544),
+	.SCD(n_12466),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[13]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12479),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.RESET_B(n_544),
+	.SCD(n_12468),
+	.SCE(soc_top_u_pwm_pwm_core_period_counter2[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_6055),
+	.Q(soc_top_u_pwm_pwm_core_period[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_6054),
+	.Q(soc_top_u_pwm_pwm_core_period[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_6053),
+	.Q(soc_top_u_pwm_pwm_core_period[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6052),
+	.Q(soc_top_u_pwm_pwm_core_period[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_6051),
+	.Q(soc_top_u_pwm_pwm_core_period[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6050),
+	.Q(soc_top_u_pwm_pwm_core_period[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_6048),
+	.Q(soc_top_u_pwm_pwm_core_period[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_6047),
+	.Q(soc_top_u_pwm_pwm_core_period[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[10]  (
+	.CLK(CTS_48),
+	.D(n_6045),
+	.Q(soc_top_u_pwm_pwm_core_period[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[11]  (
+	.CLK(CTS_48),
+	.D(n_6044),
+	.Q(soc_top_u_pwm_pwm_core_period[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[12]  (
+	.CLK(CTS_48),
+	.D(n_6043),
+	.Q(soc_top_u_pwm_pwm_core_period[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[13]  (
+	.CLK(CTS_48),
+	.D(n_6042),
+	.Q(soc_top_u_pwm_pwm_core_period[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[14]  (
+	.CLK(CTS_48),
+	.D(n_6041),
+	.Q(soc_top_u_pwm_pwm_core_period[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_pwm_pwm_core_period_reg[15]  (
+	.CLK(CTS_48),
+	.D(n_6040),
+	.Q(soc_top_u_pwm_pwm_core_period[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_pts_2_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12441),
+	.Q(soc_top_u_pwm_pwm_core_pts_2),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_pwm_pwm_core_pts_2),
+	.SCE(n_1591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_pwm_core_pts_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12395),
+	.Q(soc_top_u_pwm_pwm_core_pts),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_pwm_pwm_core_pts),
+	.SCE(n_1585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_pwm_u_reg_if_outstanding_reg (
+	.CLK(CTS_55),
+	.D(n_1921),
+	.Q(\soc_top_pwm_to_xbar[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_pwm_to_xbar[d_valid] ),
+	.SCE(n_2944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_49),
+	.D(n_6259),
+	.Q(\soc_top_pwm_to_xbar[d_data] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_6447),
+	.Q(\soc_top_pwm_to_xbar[d_data] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_6258),
+	.Q(\soc_top_pwm_to_xbar[d_data] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6446),
+	.Q(\soc_top_pwm_to_xbar[d_data] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_49),
+	.D(n_6445),
+	.Q(\soc_top_pwm_to_xbar[d_data] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6444),
+	.Q(\soc_top_pwm_to_xbar[d_data] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6443),
+	.Q(\soc_top_pwm_to_xbar[d_data] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_49),
+	.D(n_6442),
+	.Q(\soc_top_pwm_to_xbar[d_data] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_49),
+	.D(n_6441),
+	.Q(\soc_top_pwm_to_xbar[d_data] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6440),
+	.Q(\soc_top_pwm_to_xbar[d_data] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_128),
+	.D(n_6439),
+	.Q(\soc_top_pwm_to_xbar[d_data] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_128),
+	.D(n_6438),
+	.Q(\soc_top_pwm_to_xbar[d_data] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_128),
+	.D(n_6437),
+	.Q(\soc_top_pwm_to_xbar[d_data] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_128),
+	.D(n_6436),
+	.Q(\soc_top_pwm_to_xbar[d_data] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_128),
+	.D(n_6435),
+	.Q(\soc_top_pwm_to_xbar[d_data] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_pwm_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_128),
+	.D(n_6614),
+	.Q(\soc_top_pwm_to_xbar[d_data] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_pwm_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_25),
+	.Q(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_pwm_to_xbar[d_opcode] [0]),
+	.SCE(n_1922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_spi_host_clgen_clk_out_reg (
+	.CLK(CTS_56),
+	.D(n_12535),
+	.Q(io_out[4]),
+	.RESET_B(n_544),
+	.SCD(n_12531),
+	.SCE(io_out[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[0]  (
+	.CLK(CTS_56),
+	.D(n_994),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[0]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[1]  (
+	.CLK(CTS_56),
+	.D(n_1859),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[1]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[2]  (
+	.CLK(CTS_55),
+	.D(n_4168),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[2]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[3]  (
+	.CLK(CTS_55),
+	.D(n_8370),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[3]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[4]  (
+	.CLK(CTS_56),
+	.D(n_9775),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[4]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[5]  (
+	.CLK(CTS_55),
+	.D(n_10629),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[5]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[6]  (
+	.CLK(CTS_56),
+	.D(n_11722),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[6]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[7]  (
+	.CLK(CTS_56),
+	.D(n_12211),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[7]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[8]  (
+	.CLK(CTS_56),
+	.D(n_12303),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[8]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[9]  (
+	.CLK(CTS_56),
+	.D(n_12381),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[9]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[10]  (
+	.CLK(CTS_56),
+	.D(n_12461),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[10]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[11]  (
+	.CLK(CTS_56),
+	.D(n_12492),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[11]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[12]  (
+	.CLK(CTS_56),
+	.D(n_12500),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[12]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[13]  (
+	.CLK(CTS_56),
+	.D(n_12511),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[13]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[14]  (
+	.CLK(CTS_56),
+	.D(n_12521),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[14]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_spi_host_spi_host_clgen_cnt_reg[15]  (
+	.CLK(CTS_56),
+	.D(n_12530),
+	.Q(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.SCD(soc_top_u_spi_host_spi_host_divider[15]),
+	.SCE(n_12534),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_spi_host_spi_host_clgen_pos_edge_reg (
+	.CLK(CTS_56),
+	.D(n_3747),
+	.Q(soc_top_u_spi_host_spi_host_pos_edge),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[1]  (
+	.CLK(CTS_56),
+	.D(n_6776),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[2]  (
+	.CLK(CTS_56),
+	.D(n_6775),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[3]  (
+	.CLK(CTS_56),
+	.D(n_6774),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[4]  (
+	.CLK(CTS_56),
+	.D(n_6773),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[8]  (
+	.CLK(CTS_56),
+	.D(n_6039),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[9]  (
+	.CLK(CTS_56),
+	.D(n_6038),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[10]  (
+	.CLK(CTS_56),
+	.D(n_6037),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[11]  (
+	.CLK(CTS_56),
+	.D(n_6036),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[12]  (
+	.CLK(CTS_53),
+	.D(n_6035),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[13]  (
+	.CLK(CTS_54),
+	.D(n_6034),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[14]  (
+	.CLK(CTS_53),
+	.D(n_6033),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[15]  (
+	.CLK(CTS_53),
+	.D(n_6032),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[0]  (
+	.CLK(CTS_55),
+	.D(n_6768),
+	.Q(soc_top_u_spi_host_spi_host_divider[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[1]  (
+	.CLK(CTS_55),
+	.D(n_6767),
+	.Q(soc_top_u_spi_host_spi_host_divider[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[2]  (
+	.CLK(CTS_55),
+	.D(n_6766),
+	.Q(soc_top_u_spi_host_spi_host_divider[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[3]  (
+	.CLK(CTS_55),
+	.D(n_6765),
+	.Q(soc_top_u_spi_host_spi_host_divider[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[4]  (
+	.CLK(CTS_55),
+	.D(n_6764),
+	.Q(soc_top_u_spi_host_spi_host_divider[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[5]  (
+	.CLK(CTS_55),
+	.D(n_6763),
+	.Q(soc_top_u_spi_host_spi_host_divider[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[6]  (
+	.CLK(CTS_55),
+	.D(n_6762),
+	.Q(soc_top_u_spi_host_spi_host_divider[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[7]  (
+	.CLK(CTS_55),
+	.D(n_6761),
+	.Q(soc_top_u_spi_host_spi_host_divider[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[8]  (
+	.CLK(CTS_56),
+	.D(n_6031),
+	.Q(soc_top_u_spi_host_spi_host_divider[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[9]  (
+	.CLK(CTS_56),
+	.D(n_6030),
+	.Q(soc_top_u_spi_host_spi_host_divider[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[10]  (
+	.CLK(CTS_56),
+	.D(n_6196),
+	.Q(soc_top_u_spi_host_spi_host_divider[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[11]  (
+	.CLK(CTS_56),
+	.D(n_6197),
+	.Q(soc_top_u_spi_host_spi_host_divider[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[12]  (
+	.CLK(CTS_56),
+	.D(n_6199),
+	.Q(soc_top_u_spi_host_spi_host_divider[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[13]  (
+	.CLK(CTS_56),
+	.D(n_6146),
+	.Q(soc_top_u_spi_host_spi_host_divider[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[14]  (
+	.CLK(CTS_56),
+	.D(n_6205),
+	.Q(soc_top_u_spi_host_spi_host_divider[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_divider_reg[15]  (
+	.CLK(CTS_56),
+	.D(n_6206),
+	.Q(soc_top_u_spi_host_spi_host_divider[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[0]  (
+	.CLK(CTS_51),
+	.D(n_6755),
+	.Q(soc_top_u_spi_host_spi_host_ss[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[1]  (
+	.CLK(CTS_52),
+	.D(n_6754),
+	.Q(soc_top_u_spi_host_spi_host_ss[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_6753),
+	.Q(soc_top_u_spi_host_spi_host_ss[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_spi_host_spi_host_ss_reg[3]  (
+	.CLK(CTS_52),
+	.D(n_6750),
+	.Q(soc_top_u_spi_host_spi_host_ss[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_spi_host_u_reg_if_outstanding_reg (
+	.CLK(CTS_53),
+	.D(n_655),
+	.Q(\soc_top_spi_to_xbar[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_valid] ),
+	.SCE(n_1114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[0]),
+	.Q(\soc_top_spi_to_xbar[d_data] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [0]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[1]),
+	.Q(\soc_top_spi_to_xbar[d_data] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [1]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[2]),
+	.Q(\soc_top_spi_to_xbar[d_data] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [2]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[3]),
+	.Q(\soc_top_spi_to_xbar[d_data] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [3]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[4]),
+	.Q(\soc_top_spi_to_xbar[d_data] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [4]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[5]),
+	.Q(\soc_top_spi_to_xbar[d_data] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [5]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[6]),
+	.Q(\soc_top_spi_to_xbar[d_data] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [6]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[7]),
+	.Q(\soc_top_spi_to_xbar[d_data] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [7]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[8]),
+	.Q(\soc_top_spi_to_xbar[d_data] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [8]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[9]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[9]),
+	.Q(\soc_top_spi_to_xbar[d_data] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [9]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[10]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[10]),
+	.Q(\soc_top_spi_to_xbar[d_data] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [10]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[11]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[11]),
+	.Q(\soc_top_spi_to_xbar[d_data] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [11]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[12]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[12]),
+	.Q(\soc_top_spi_to_xbar[d_data] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [12]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[13]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[13]),
+	.Q(\soc_top_spi_to_xbar[d_data] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [13]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[14]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[14]),
+	.Q(\soc_top_spi_to_xbar[d_data] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [14]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[15]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[15]),
+	.Q(\soc_top_spi_to_xbar[d_data] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [15]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[16]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[16]),
+	.Q(\soc_top_spi_to_xbar[d_data] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [16]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[17]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[17]),
+	.Q(\soc_top_spi_to_xbar[d_data] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [17]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[18]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[18]),
+	.Q(\soc_top_spi_to_xbar[d_data] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [18]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[19]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[19]),
+	.Q(\soc_top_spi_to_xbar[d_data] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [19]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[20]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[20]),
+	.Q(\soc_top_spi_to_xbar[d_data] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [20]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[21]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[21]),
+	.Q(\soc_top_spi_to_xbar[d_data] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [21]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[22]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[22]),
+	.Q(\soc_top_spi_to_xbar[d_data] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [22]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[23]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[23]),
+	.Q(\soc_top_spi_to_xbar[d_data] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [23]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[24]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[24]),
+	.Q(\soc_top_spi_to_xbar[d_data] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [24]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[25]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[25]),
+	.Q(\soc_top_spi_to_xbar[d_data] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [25]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[26]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[26]),
+	.Q(\soc_top_spi_to_xbar[d_data] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [26]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[27]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[27]),
+	.Q(\soc_top_spi_to_xbar[d_data] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [27]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[28]  (
+	.CLK(CTS_56),
+	.D(soc_top_u_spi_host_rdata[28]),
+	.Q(\soc_top_spi_to_xbar[d_data] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [28]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[29]  (
+	.CLK(CTS_54),
+	.D(soc_top_u_spi_host_rdata[29]),
+	.Q(\soc_top_spi_to_xbar[d_data] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [29]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[30]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[30]),
+	.Q(\soc_top_spi_to_xbar[d_data] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [30]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rdata_reg[31]  (
+	.CLK(CTS_55),
+	.D(soc_top_u_spi_host_rdata[31]),
+	.Q(\soc_top_spi_to_xbar[d_data] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_data] [31]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_spi_host_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_54),
+	.D(n_25),
+	.Q(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_spi_to_xbar[d_opcode] [0]),
+	.SCE(n_25282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[0]  (
+	.CLK(CTS_102),
+	.D(n_43393),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[1]  (
+	.CLK(CTS_102),
+	.D(n_49339),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[2]  (
+	.CLK(CTS_102),
+	.D(n_12308),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[3]  (
+	.CLK(CTS_79),
+	.D(n_11720),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_fflags_csr_rdata_q_reg[4]  (
+	.CLK(CTS_105),
+	.D(n_12288),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[0]  (
+	.CLK(CTS_79),
+	.D(n_12095),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_12097),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_frm_csr_rdata_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(n_12209),
+	.Q(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_control_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_11557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.SCE(n_9687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[0]  (
+	.CLK(CTS_107),
+	.D(n_10836),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_10832),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_11557),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_10830),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11141),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_10838),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_10840),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_10834),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_11151),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_10868),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_11149),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11139),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(n_10554),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[13]  (
+	.CLK(CTS_109),
+	.D(n_11145),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_10866),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_10848),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_10850),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_10550),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_10852),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_10854),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[20]  (
+	.CLK(CTS_112),
+	.D(n_11143),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_10552),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[22]  (
+	.CLK(CTS_97),
+	.D(n_10857),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[23]  (
+	.CLK(CTS_97),
+	.D(n_10859),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[24]  (
+	.CLK(CTS_97),
+	.D(n_10861),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[25]  (
+	.CLK(CTS_97),
+	.D(n_10863),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[26]  (
+	.CLK(CTS_97),
+	.D(n_10864),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_10557),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[28]  (
+	.CLK(CTS_97),
+	.D(n_10847),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_10845),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11148),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.g_dbg_tmatch_reg[0].u_tmatch_value_csr_rdata_q_reg[31]  (
+	.CLK(CTS_106),
+	.D(n_10842),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.SCE(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[0]  (
+	.CLK(CTS_107),
+	.D(n_10836),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.SCE(n_4980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_11557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.SCE(n_4980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_107),
+	.D(n_11990),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_108),
+	.D(n_11992),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_108),
+	.D(n_12205),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_108),
+	.D(n_11993),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_110),
+	.D(n_12056),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_110),
+	.D(n_11989),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_110),
+	.D(n_11988),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_110),
+	.D(n_11991),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_12071),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_11975),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_110),
+	.D(n_12072),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_12030),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_11568),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_109),
+	.D(n_12060),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_109),
+	.D(n_11974),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_109),
+	.D(n_11986),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_109),
+	.D(n_11987),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_109),
+	.D(n_11567),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_109),
+	.D(n_11985),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_109),
+	.D(n_11984),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_109),
+	.D(n_12061),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_112),
+	.D(n_11566),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_113),
+	.D(n_11983),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_98),
+	.D(n_11982),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_113),
+	.D(n_11981),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_113),
+	.D(n_11980),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_113),
+	.D(n_11979),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_11565),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_113),
+	.D(n_11978),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_109),
+	.D(n_11977),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_109),
+	.D(n_12062),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_109),
+	.D(n_11976),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_110),
+	.D(n_11825),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_108),
+	.D(n_11832),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_108),
+	.D(n_12189),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_108),
+	.D(n_11837),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_110),
+	.D(n_12059),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_108),
+	.D(n_11807),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_108),
+	.D(n_11806),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_108),
+	.D(n_11831),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_110),
+	.D(n_12076),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_110),
+	.D(n_11762),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_111),
+	.D(n_12077),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_111),
+	.D(n_12040),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_111),
+	.D(n_11486),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_111),
+	.D(n_12065),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_111),
+	.D(n_11761),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_109),
+	.D(n_11805),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_109),
+	.D(n_11804),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_109),
+	.D(n_11485),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_109),
+	.D(n_11803),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_113),
+	.D(n_11802),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_98),
+	.D(n_12066),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_113),
+	.D(n_11484),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_113),
+	.D(n_11801),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_113),
+	.D(n_11800),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_113),
+	.D(n_11799),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_113),
+	.D(n_11798),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_113),
+	.D(n_11796),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_113),
+	.D(n_11476),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_113),
+	.D(n_11797),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_109),
+	.D(n_11795),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_109),
+	.D(n_12067),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_mcycle_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_109),
+	.D(n_11794),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[0]  (
+	.CLK(CTS_108),
+	.D(n_11809),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[1]  (
+	.CLK(CTS_108),
+	.D(n_11829),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[2]  (
+	.CLK(CTS_108),
+	.D(n_12190),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[3]  (
+	.CLK(CTS_108),
+	.D(n_11834),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[4]  (
+	.CLK(CTS_108),
+	.D(n_12063),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_11792),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_11791),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_11828),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_12078),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_11760),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_12079),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_12057),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_11482),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(n_12068),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[14]  (
+	.CLK(CTS_111),
+	.D(n_11759),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[15]  (
+	.CLK(CTS_109),
+	.D(n_11790),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[16]  (
+	.CLK(CTS_109),
+	.D(n_11789),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[17]  (
+	.CLK(CTS_109),
+	.D(n_11481),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[18]  (
+	.CLK(CTS_109),
+	.D(n_11788),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[19]  (
+	.CLK(CTS_109),
+	.D(n_11787),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[20]  (
+	.CLK(CTS_113),
+	.D(n_12069),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[21]  (
+	.CLK(CTS_113),
+	.D(n_11480),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[22]  (
+	.CLK(CTS_113),
+	.D(n_11786),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[23]  (
+	.CLK(CTS_113),
+	.D(n_11785),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[24]  (
+	.CLK(CTS_113),
+	.D(n_11784),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[25]  (
+	.CLK(CTS_113),
+	.D(n_11783),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[26]  (
+	.CLK(CTS_113),
+	.D(n_11782),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[27]  (
+	.CLK(CTS_113),
+	.D(n_11475),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[28]  (
+	.CLK(CTS_113),
+	.D(n_11781),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[29]  (
+	.CLK(CTS_109),
+	.D(n_11780),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[30]  (
+	.CLK(CTS_109),
+	.D(n_12070),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[31]  (
+	.CLK(CTS_109),
+	.D(n_11779),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[32]  (
+	.CLK(CTS_110),
+	.D(n_11808),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[33]  (
+	.CLK(CTS_110),
+	.D(n_11827),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[34]  (
+	.CLK(CTS_108),
+	.D(n_12191),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[35]  (
+	.CLK(CTS_110),
+	.D(n_11833),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[36]  (
+	.CLK(CTS_110),
+	.D(n_12064),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[37]  (
+	.CLK(CTS_110),
+	.D(n_11776),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[38]  (
+	.CLK(CTS_110),
+	.D(n_11775),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[39]  (
+	.CLK(CTS_110),
+	.D(n_11826),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[40]  (
+	.CLK(CTS_110),
+	.D(n_12080),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[41]  (
+	.CLK(CTS_110),
+	.D(n_11758),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[42]  (
+	.CLK(CTS_110),
+	.D(n_12081),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[43]  (
+	.CLK(CTS_111),
+	.D(n_12058),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[44]  (
+	.CLK(CTS_111),
+	.D(n_11479),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[45]  (
+	.CLK(CTS_111),
+	.D(n_12073),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[46]  (
+	.CLK(CTS_111),
+	.D(n_11757),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[47]  (
+	.CLK(CTS_111),
+	.D(n_11774),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[48]  (
+	.CLK(CTS_109),
+	.D(n_11773),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[49]  (
+	.CLK(CTS_109),
+	.D(n_11478),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[50]  (
+	.CLK(CTS_109),
+	.D(n_11772),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[51]  (
+	.CLK(CTS_109),
+	.D(n_11771),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[52]  (
+	.CLK(CTS_113),
+	.D(n_12074),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[53]  (
+	.CLK(CTS_113),
+	.D(n_11477),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[54]  (
+	.CLK(CTS_113),
+	.D(n_11770),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[55]  (
+	.CLK(CTS_113),
+	.D(n_11769),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[56]  (
+	.CLK(CTS_113),
+	.D(n_11768),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[57]  (
+	.CLK(CTS_113),
+	.D(n_11767),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[58]  (
+	.CLK(CTS_113),
+	.D(n_11766),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[59]  (
+	.CLK(CTS_113),
+	.D(n_11474),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[60]  (
+	.CLK(CTS_113),
+	.D(n_11765),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[61]  (
+	.CLK(CTS_109),
+	.D(n_11764),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[62]  (
+	.CLK(CTS_109),
+	.D(n_12075),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_minstret_counter_i_counter_q_reg[63]  (
+	.CLK(CTS_109),
+	.D(n_11763),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_4599),
+	.Q(soc_top_u_top_u_core_priv_mode_id[0]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_cs_registers_i_priv_lvl_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_4598),
+	.Q(soc_top_u_top_u_core_priv_mode_id[1]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(soc_top_u_top_u_core_priv_mode_id[0]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.SCD(n_11912),
+	.SCE(n_1583),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(soc_top_u_top_u_core_priv_mode_id[1]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.SCD(n_11911),
+	.SCE(n_1583),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_11557),
+	.Q(soc_top_u_top_u_core_debug_single_step),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_debug_single_step),
+	.SCE(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[6]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_debug_cause[0]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.SCE(n_1583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[7]  (
+	.CLK(CTS_92),
+	.D(soc_top_u_top_u_core_debug_cause[1]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.SCE(n_1583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[8]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_debug_cause[2]),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.SCE(n_1583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11139),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.SCE(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(n_10554),
+	.Q(soc_top_u_top_u_core_debug_ebreaku),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_debug_ebreaku),
+	.SCE(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(n_11145),
+	.Q(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.SCE(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dcsr_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_10848),
+	.Q(soc_top_u_top_u_core_debug_ebreakm),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_debug_ebreakm),
+	.SCE(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[0]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.RESET_B(n_544),
+	.SCD(n_10836),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.RESET_B(n_544),
+	.SCD(n_10832),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.RESET_B(n_544),
+	.SCD(n_11557),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[3]  (
+	.CLK(CTS_110),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.RESET_B(n_544),
+	.SCD(n_10830),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.RESET_B(n_544),
+	.SCD(n_11141),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[5]  (
+	.CLK(CTS_110),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.RESET_B(n_544),
+	.SCD(n_10838),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[6]  (
+	.CLK(CTS_110),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.RESET_B(n_544),
+	.SCD(n_10840),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[7]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.RESET_B(n_544),
+	.SCD(n_10834),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.RESET_B(n_544),
+	.SCD(n_11151),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.RESET_B(n_544),
+	.SCD(n_10868),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.RESET_B(n_544),
+	.SCD(n_11149),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.RESET_B(n_544),
+	.SCD(n_11139),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.RESET_B(n_544),
+	.SCD(n_10554),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.RESET_B(n_544),
+	.SCD(n_11145),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.RESET_B(n_544),
+	.SCD(n_10866),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.RESET_B(n_544),
+	.SCD(n_10848),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.RESET_B(n_544),
+	.SCD(n_10850),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[17]  (
+	.CLK(CTS_109),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.RESET_B(n_544),
+	.SCD(n_10550),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.RESET_B(n_544),
+	.SCD(n_10852),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[19]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.RESET_B(n_544),
+	.SCD(n_10854),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[20]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.RESET_B(n_544),
+	.SCD(n_11143),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[21]  (
+	.CLK(CTS_112),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.RESET_B(n_544),
+	.SCD(n_10552),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[22]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.RESET_B(n_544),
+	.SCD(n_10857),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[23]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.RESET_B(n_544),
+	.SCD(n_10859),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[24]  (
+	.CLK(CTS_113),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.RESET_B(n_544),
+	.SCD(n_10861),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[25]  (
+	.CLK(CTS_113),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.RESET_B(n_544),
+	.SCD(n_10863),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[26]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.RESET_B(n_544),
+	.SCD(n_10864),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.RESET_B(n_544),
+	.SCD(n_10557),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[28]  (
+	.CLK(CTS_98),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.RESET_B(n_544),
+	.SCD(n_10847),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.RESET_B(n_544),
+	.SCD(n_10845),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[30]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.RESET_B(n_544),
+	.SCD(n_11148),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch0_csr_rdata_q_reg[31]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.RESET_B(n_544),
+	.SCD(n_10842),
+	.SCE(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_10836),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_10832),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_11557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[3]  (
+	.CLK(CTS_110),
+	.D(n_10830),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11141),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_10838),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_10840),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[7]  (
+	.CLK(CTS_110),
+	.D(n_10834),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_11151),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_10868),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_11149),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11139),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_10554),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(n_11145),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_10866),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_10848),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_10850),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_10550),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_10852),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[19]  (
+	.CLK(CTS_98),
+	.D(n_10854),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[20]  (
+	.CLK(CTS_112),
+	.D(n_11143),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_10552),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[22]  (
+	.CLK(CTS_113),
+	.D(n_10857),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[23]  (
+	.CLK(CTS_98),
+	.D(n_10859),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[24]  (
+	.CLK(CTS_113),
+	.D(n_10861),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[25]  (
+	.CLK(CTS_113),
+	.D(n_10863),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[26]  (
+	.CLK(CTS_98),
+	.D(n_10864),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_10557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[28]  (
+	.CLK(CTS_113),
+	.D(n_10847),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_10845),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11148),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_dscratch1_csr_rdata_q_reg[31]  (
+	.CLK(CTS_106),
+	.D(n_10842),
+	.Q(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.SCE(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[0]  (
+	.CLK(CTS_92),
+	.D(n_11562),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_11563),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_12135),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_11564),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[4]  (
+	.CLK(CTS_92),
+	.D(n_11716),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mcause_csr_rdata_q_reg[5]  (
+	.CLK(CTS_92),
+	.D(n_11561),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11728),
+	.Q(soc_top_u_top_u_core_csr_mepc[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_107),
+	.D(n_11445),
+	.Q(soc_top_u_top_u_core_csr_mepc[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_107),
+	.D(n_11444),
+	.Q(soc_top_u_top_u_core_csr_mepc[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_107),
+	.D(n_11353),
+	.Q(soc_top_u_top_u_core_csr_mepc[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_107),
+	.D(n_11741),
+	.Q(soc_top_u_top_u_core_csr_mepc[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_107),
+	.D(n_11447),
+	.Q(soc_top_u_top_u_core_csr_mepc[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_107),
+	.D(n_11740),
+	.Q(soc_top_u_top_u_core_csr_mepc[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11727),
+	.Q(soc_top_u_top_u_core_csr_mepc[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_10995),
+	.Q(soc_top_u_top_u_core_csr_mepc[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_109),
+	.D(n_11739),
+	.Q(soc_top_u_top_u_core_csr_mepc[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_99),
+	.D(n_11446),
+	.Q(soc_top_u_top_u_core_csr_mepc[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_99),
+	.D(n_11443),
+	.Q(soc_top_u_top_u_core_csr_mepc[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_11442),
+	.Q(soc_top_u_top_u_core_csr_mepc[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_10994),
+	.Q(soc_top_u_top_u_core_csr_mepc[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_98),
+	.D(n_11441),
+	.Q(soc_top_u_top_u_core_csr_mepc[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_98),
+	.D(n_11440),
+	.Q(soc_top_u_top_u_core_csr_mepc[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_98),
+	.D(n_11738),
+	.Q(soc_top_u_top_u_core_csr_mepc[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_10993),
+	.Q(soc_top_u_top_u_core_csr_mepc[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(n_11439),
+	.Q(soc_top_u_top_u_core_csr_mepc[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_98),
+	.D(n_11438),
+	.Q(soc_top_u_top_u_core_csr_mepc[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(n_11437),
+	.Q(soc_top_u_top_u_core_csr_mepc[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(n_11436),
+	.Q(soc_top_u_top_u_core_csr_mepc[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_98),
+	.D(n_11435),
+	.Q(soc_top_u_top_u_core_csr_mepc[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_11004),
+	.Q(soc_top_u_top_u_core_csr_mepc[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_104),
+	.D(n_11434),
+	.Q(soc_top_u_top_u_core_csr_mepc[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_11433),
+	.Q(soc_top_u_top_u_core_csr_mepc[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11737),
+	.Q(soc_top_u_top_u_core_csr_mepc[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_11432),
+	.Q(soc_top_u_top_u_core_csr_mepc[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[0]  (
+	.CLK(CTS_112),
+	.D(n_10850),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[1]  (
+	.CLK(CTS_112),
+	.D(n_10550),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[2]  (
+	.CLK(CTS_112),
+	.D(n_10852),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[3]  (
+	.CLK(CTS_98),
+	.D(n_10854),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[4]  (
+	.CLK(CTS_112),
+	.D(n_11143),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[5]  (
+	.CLK(CTS_112),
+	.D(n_10552),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[6]  (
+	.CLK(CTS_113),
+	.D(n_10857),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[7]  (
+	.CLK(CTS_98),
+	.D(n_10859),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[8]  (
+	.CLK(CTS_113),
+	.D(n_10861),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[9]  (
+	.CLK(CTS_113),
+	.D(n_10863),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[10]  (
+	.CLK(CTS_98),
+	.D(n_10864),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[11]  (
+	.CLK(CTS_98),
+	.D(n_10557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[12]  (
+	.CLK(CTS_113),
+	.D(n_10847),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[13]  (
+	.CLK(CTS_112),
+	.D(n_10845),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_11148),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[15]  (
+	.CLK(CTS_111),
+	.D(n_11139),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[16]  (
+	.CLK(CTS_107),
+	.D(n_10834),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q_reg[17]  (
+	.CLK(CTS_107),
+	.D(n_10830),
+	.Q(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.SCE(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[0]  (
+	.CLK(CTS_107),
+	.D(n_10836),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_10832),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(n_11557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[3]  (
+	.CLK(CTS_110),
+	.D(n_10830),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11141),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_10838),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_10840),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[7]  (
+	.CLK(CTS_107),
+	.D(n_10834),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_11151),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_10868),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_11149),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11139),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_10554),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[13]  (
+	.CLK(CTS_109),
+	.D(n_11145),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_10866),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_10848),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_10850),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_10550),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_10852),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_10854),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[20]  (
+	.CLK(CTS_112),
+	.D(n_11143),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[21]  (
+	.CLK(CTS_112),
+	.D(n_10552),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[22]  (
+	.CLK(CTS_97),
+	.D(n_10857),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[23]  (
+	.CLK(CTS_97),
+	.D(n_10859),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[24]  (
+	.CLK(CTS_97),
+	.D(n_10861),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[25]  (
+	.CLK(CTS_97),
+	.D(n_10863),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[26]  (
+	.CLK(CTS_97),
+	.D(n_10864),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[27]  (
+	.CLK(CTS_97),
+	.D(n_10557),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[28]  (
+	.CLK(CTS_97),
+	.D(n_10847),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_10845),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11148),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mscratch_csr_rdata_q_reg[31]  (
+	.CLK(CTS_109),
+	.D(n_10842),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.SCE(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[0]  (
+	.CLK(CTS_106),
+	.D(n_10552),
+	.Q(soc_top_u_top_u_core_csr_mstatus_tw),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_csr_mstatus_tw),
+	.SCE(n_6389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[1]  (
+	.CLK(CTS_106),
+	.D(n_10550),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.SCE(n_6389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_12208),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_12207),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[4]  (
+	.CLK(CTS_92),
+	.D(n_12093),
+	.Q(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mstatus_csr_rdata_q_reg[5]  (
+	.CLK(CTS_92),
+	.D(n_12100),
+	.Q(soc_top_u_top_u_core_csr_mstatus_mie),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(n_12087),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[3]  (
+	.CLK(CTS_79),
+	.D(n_11372),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[7]  (
+	.CLK(CTS_79),
+	.D(n_11369),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[12]  (
+	.CLK(CTS_99),
+	.D(n_10999),
+	.Q(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_12101),
+	.Q(soc_top_u_top_u_core_csr_mtvec[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_11345),
+	.Q(soc_top_u_top_u_core_csr_mtvec[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11715),
+	.Q(soc_top_u_top_u_core_csr_mtvec[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[5]  (
+	.CLK(CTS_108),
+	.D(n_11344),
+	.Q(soc_top_u_top_u_core_csr_mtvec[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_11342),
+	.Q(soc_top_u_top_u_core_csr_mtvec[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[7]  (
+	.CLK(CTS_107),
+	.D(n_11343),
+	.Q(soc_top_u_top_u_core_csr_mtvec[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[8]  (
+	.CLK(CTS_110),
+	.D(n_11714),
+	.Q(soc_top_u_top_u_core_csr_mtvec[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[9]  (
+	.CLK(CTS_110),
+	.D(n_11341),
+	.Q(soc_top_u_top_u_core_csr_mtvec[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[10]  (
+	.CLK(CTS_111),
+	.D(n_11713),
+	.Q(soc_top_u_top_u_core_csr_mtvec[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11712),
+	.Q(soc_top_u_top_u_core_csr_mtvec[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[12]  (
+	.CLK(CTS_111),
+	.D(n_10984),
+	.Q(soc_top_u_top_u_core_csr_mtvec[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[13]  (
+	.CLK(CTS_106),
+	.D(n_11711),
+	.Q(soc_top_u_top_u_core_csr_mtvec[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_11340),
+	.Q(soc_top_u_top_u_core_csr_mtvec[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_11339),
+	.Q(soc_top_u_top_u_core_csr_mtvec[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_11338),
+	.Q(soc_top_u_top_u_core_csr_mtvec[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_10989),
+	.Q(soc_top_u_top_u_core_csr_mtvec[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_11337),
+	.Q(soc_top_u_top_u_core_csr_mtvec[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_11336),
+	.Q(soc_top_u_top_u_core_csr_mtvec[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[20]  (
+	.CLK(CTS_98),
+	.D(n_11710),
+	.Q(soc_top_u_top_u_core_csr_mtvec[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_10991),
+	.Q(soc_top_u_top_u_core_csr_mtvec[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(n_11335),
+	.Q(soc_top_u_top_u_core_csr_mtvec[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[23]  (
+	.CLK(CTS_97),
+	.D(n_11334),
+	.Q(soc_top_u_top_u_core_csr_mtvec[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(n_11333),
+	.Q(soc_top_u_top_u_core_csr_mtvec[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(n_11332),
+	.Q(soc_top_u_top_u_core_csr_mtvec[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[26]  (
+	.CLK(CTS_97),
+	.D(n_11331),
+	.Q(soc_top_u_top_u_core_csr_mtvec[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_10992),
+	.Q(soc_top_u_top_u_core_csr_mtvec[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[28]  (
+	.CLK(CTS_104),
+	.D(n_11330),
+	.Q(soc_top_u_top_u_core_csr_mtvec[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_11190),
+	.Q(soc_top_u_top_u_core_csr_mtvec[29]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_csr_mtvec[29]),
+	.SCE(n_6385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11709),
+	.Q(soc_top_u_top_u_core_csr_mtvec[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtvec_csr_rdata_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_11329),
+	.Q(soc_top_u_top_u_core_csr_mtvec[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q_reg  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.RESET_B(n_544),
+	.SCD(n_1045),
+	.SCE(n_9000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[0]  (
+	.CLK(CTS_141),
+	.D(n_12475),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[2]  (
+	.CLK(CTS_141),
+	.D(n_12476),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[0]  (
+	.CLK(CTS_86),
+	.D(n_9633),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[3]  (
+	.CLK(CTS_86),
+	.D(n_9921),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[4]  (
+	.CLK(CTS_103),
+	.D(n_10534),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[0]  (
+	.CLK(CTS_141),
+	.D(n_10441),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_10791),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[2]  (
+	.CLK(CTS_141),
+	.D(n_10780),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[3]  (
+	.CLK(CTS_141),
+	.D(n_10779),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[4]  (
+	.CLK(CTS_141),
+	.D(n_10725),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[5]  (
+	.CLK(CTS_141),
+	.D(n_10724),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[6]  (
+	.CLK(CTS_103),
+	.D(n_10778),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[7]  (
+	.CLK(CTS_103),
+	.D(n_10765),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[8]  (
+	.CLK(CTS_103),
+	.D(n_10723),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[9]  (
+	.CLK(CTS_103),
+	.D(n_10722),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[10]  (
+	.CLK(CTS_103),
+	.D(n_10775),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[11]  (
+	.CLK(CTS_103),
+	.D(n_10773),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[12]  (
+	.CLK(CTS_103),
+	.D(n_10721),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[13]  (
+	.CLK(CTS_103),
+	.D(n_10720),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[14]  (
+	.CLK(CTS_103),
+	.D(n_10772),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[15]  (
+	.CLK(CTS_100),
+	.D(n_10719),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[16]  (
+	.CLK(CTS_100),
+	.D(n_10718),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[17]  (
+	.CLK(CTS_103),
+	.D(n_10717),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[18]  (
+	.CLK(CTS_100),
+	.D(n_10774),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[19]  (
+	.CLK(CTS_100),
+	.D(n_10771),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[20]  (
+	.CLK(CTS_100),
+	.D(n_10716),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[21]  (
+	.CLK(CTS_100),
+	.D(n_10715),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[22]  (
+	.CLK(CTS_100),
+	.D(n_10770),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[23]  (
+	.CLK(CTS_100),
+	.D(n_10714),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[24]  (
+	.CLK(CTS_100),
+	.D(n_10713),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[25]  (
+	.CLK(CTS_100),
+	.D(n_10769),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[26]  (
+	.CLK(CTS_105),
+	.D(n_10712),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[27]  (
+	.CLK(CTS_105),
+	.D(n_10711),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[28]  (
+	.CLK(CTS_100),
+	.D(n_10767),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[29]  (
+	.CLK(CTS_100),
+	.D(n_10768),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[30]  (
+	.CLK(CTS_100),
+	.D(n_10710),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[31]  (
+	.CLK(CTS_100),
+	.D(n_10709),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q_reg[32]  (
+	.CLK(CTS_141),
+	.D(n_10427),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[0]  (
+	.CLK(CTS_141),
+	.D(n_10498),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_10497),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[2]  (
+	.CLK(CTS_141),
+	.D(n_10496),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[3]  (
+	.CLK(CTS_141),
+	.D(n_10495),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[4]  (
+	.CLK(CTS_141),
+	.D(n_10494),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[5]  (
+	.CLK(CTS_103),
+	.D(n_10493),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[6]  (
+	.CLK(CTS_103),
+	.D(n_10492),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[7]  (
+	.CLK(CTS_103),
+	.D(n_10491),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[8]  (
+	.CLK(CTS_103),
+	.D(n_10490),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[9]  (
+	.CLK(CTS_103),
+	.D(n_10489),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[10]  (
+	.CLK(CTS_103),
+	.D(n_10488),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[11]  (
+	.CLK(CTS_103),
+	.D(n_10487),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[12]  (
+	.CLK(CTS_103),
+	.D(n_10486),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[13]  (
+	.CLK(CTS_103),
+	.D(n_10485),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[14]  (
+	.CLK(CTS_103),
+	.D(n_10484),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[15]  (
+	.CLK(CTS_103),
+	.D(n_10483),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[16]  (
+	.CLK(CTS_103),
+	.D(n_10482),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[17]  (
+	.CLK(CTS_103),
+	.D(n_10481),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[18]  (
+	.CLK(CTS_103),
+	.D(n_10480),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[19]  (
+	.CLK(CTS_100),
+	.D(n_10479),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[20]  (
+	.CLK(CTS_100),
+	.D(n_10478),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[21]  (
+	.CLK(CTS_100),
+	.D(n_10477),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[22]  (
+	.CLK(CTS_105),
+	.D(n_10476),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[23]  (
+	.CLK(CTS_105),
+	.D(n_10475),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[24]  (
+	.CLK(CTS_105),
+	.D(n_10474),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[25]  (
+	.CLK(CTS_105),
+	.D(n_10473),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[26]  (
+	.CLK(CTS_105),
+	.D(n_10472),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[27]  (
+	.CLK(CTS_105),
+	.D(n_10471),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[28]  (
+	.CLK(CTS_105),
+	.D(n_10470),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[29]  (
+	.CLK(CTS_105),
+	.D(n_10469),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[30]  (
+	.CLK(CTS_105),
+	.D(n_10545),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q_reg[31]  (
+	.CLK(CTS_141),
+	.D(n_10422),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][14]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][15]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][17]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][30]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[0][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][0]  (
+	.CLK(CTS_76),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [0]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [1]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][2]  (
+	.CLK(CTS_77),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [2]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [3]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [4]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [5]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][6]  (
+	.CLK(CTS_120),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [6]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [7]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [8]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [9]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [11]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [12]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [13]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [14]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_66),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [15]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [16]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_120),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [17]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_118),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [18]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [19]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [20]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_76),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [21]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_77),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [22]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [23]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [24]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_125),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [26]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [27]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [28]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][29]  (
+	.CLK(CTS_64),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [29]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][30]  (
+	.CLK(CTS_123),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [30]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[1][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [31]),
+	.SCE(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][0]  (
+	.CLK(CTS_76),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [0]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [1]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][2]  (
+	.CLK(CTS_77),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [2]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [3]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][4]  (
+	.CLK(CTS_117),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][6]  (
+	.CLK(CTS_120),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [6]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [7]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [8]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [9]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [10]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [11]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [12]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [13]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [14]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [15]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [16]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][17]  (
+	.CLK(CTS_120),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [17]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [18]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [19]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][21]  (
+	.CLK(CTS_76),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][22]  (
+	.CLK(CTS_77),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [23]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [24]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [25]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [26]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [27]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [28]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][29]  (
+	.CLK(CTS_64),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [29]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][30]  (
+	.CLK(CTS_122),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [30]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[2][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [31]),
+	.SCE(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][0]  (
+	.CLK(CTS_76),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [0]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [1]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][2]  (
+	.CLK(CTS_77),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [2]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [3]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][4]  (
+	.CLK(CTS_117),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [5]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [6]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [8]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [9]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [10]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [11]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [12]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [13]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [14]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [15]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [16]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_125),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [17]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [18]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [19]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [20]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_76),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [21]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_77),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [22]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [23]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [24]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [25]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [26]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [27]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [28]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][29]  (
+	.CLK(CTS_64),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [29]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][30]  (
+	.CLK(CTS_123),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [30]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[3][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [31]),
+	.SCE(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[4][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][15]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][17]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][30]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[5][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][30]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[6][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][0]  (
+	.CLK(CTS_76),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [0]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][1]  (
+	.CLK(CTS_117),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [1]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][2]  (
+	.CLK(CTS_77),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [2]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [3]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][4]  (
+	.CLK(CTS_117),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [5]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][6]  (
+	.CLK(CTS_120),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [6]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [7]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [8]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [9]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [10]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [11]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [12]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [13]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [14]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [15]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [16]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][17]  (
+	.CLK(CTS_120),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [17]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][18]  (
+	.CLK(CTS_118),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [18]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [19]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [20]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][21]  (
+	.CLK(CTS_76),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [21]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][22]  (
+	.CLK(CTS_77),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [22]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [23]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [24]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [25]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [26]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [27]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [28]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][29]  (
+	.CLK(CTS_64),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [29]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][30]  (
+	.CLK(CTS_122),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [30]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[7][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [31]),
+	.SCE(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][14]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][17]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][18]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][25]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[8][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[8] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][12]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][14]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][17]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][18]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][25]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[9][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[9] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][16]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][17]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][25]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[10] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [0]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [1]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][2]  (
+	.CLK(CTS_77),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [2]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [3]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][4]  (
+	.CLK(CTS_117),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [5]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][6]  (
+	.CLK(CTS_120),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [6]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [7]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [8]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [9]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [11]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [12]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [13]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [14]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][15]  (
+	.CLK(CTS_66),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [15]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [16]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][17]  (
+	.CLK(CTS_120),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [17]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][18]  (
+	.CLK(CTS_118),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [18]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [19]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][21]  (
+	.CLK(CTS_76),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [21]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [22]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [23]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [25]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [26]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [27]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [28]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][29]  (
+	.CLK(CTS_64),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [29]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][30]  (
+	.CLK(CTS_123),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [30]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[11][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [31]),
+	.SCE(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[12][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][16]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[13][31]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][16]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[14][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][0]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][1]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][6]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][12]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][17]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][20]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][21]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][22]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][25]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][26]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][29]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[15][31]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [0]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [1]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [2]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [3]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [6]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [8]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [9]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][11]  (
+	.CLK(CTS_123),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [11]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][12]  (
+	.CLK(CTS_123),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [12]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [13]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [14]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][15]  (
+	.CLK(CTS_120),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [15]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [16]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][17]  (
+	.CLK(CTS_125),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [17]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [18]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [19]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][20]  (
+	.CLK(CTS_117),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [20]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [21]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [23]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][25]  (
+	.CLK(CTS_125),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [26]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [27]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [28]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [29]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [30]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[16][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [31]),
+	.SCE(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [0]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [1]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [2]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [3]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [6]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [8]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [9]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][11]  (
+	.CLK(CTS_123),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [11]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][12]  (
+	.CLK(CTS_125),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [12]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [13]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [14]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][15]  (
+	.CLK(CTS_120),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [15]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [16]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][17]  (
+	.CLK(CTS_125),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [17]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [18]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [19]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][20]  (
+	.CLK(CTS_117),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [20]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [21]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [23]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][25]  (
+	.CLK(CTS_118),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [26]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [27]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [28]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [29]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [30]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[17][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [31]),
+	.SCE(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [0]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [1]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [2]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [3]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [4]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [5]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [6]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [7]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [8]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [9]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [10]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [11]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][12]  (
+	.CLK(CTS_125),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [12]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [13]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [14]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][15]  (
+	.CLK(CTS_120),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [15]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [16]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [17]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [18]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [19]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][20]  (
+	.CLK(CTS_121),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [20]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [21]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][22]  (
+	.CLK(CTS_77),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [22]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [23]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][25]  (
+	.CLK(CTS_118),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [25]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [27]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [28]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [29]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [30]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[18][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [31]),
+	.SCE(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [0]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [1]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [2]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [3]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [4]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [5]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [6]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [7]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [8]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [9]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [10]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [11]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][12]  (
+	.CLK(CTS_125),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [12]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [13]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [14]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][15]  (
+	.CLK(CTS_125),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [15]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [16]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [17]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][18]  (
+	.CLK(CTS_124),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [18]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [19]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [20]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [21]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [22]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [23]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [25]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [27]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [28]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [29]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][30]  (
+	.CLK(CTS_123),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [30]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[19][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [31]),
+	.SCE(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][2]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][4]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][7]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][12]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][13]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][14]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][15]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][20]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][21]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][29]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[20][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][1]  (
+	.CLK(CTS_117),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [1]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [2]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [3]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][4]  (
+	.CLK(CTS_117),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [5]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [6]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [7]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [9]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [11]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][12]  (
+	.CLK(CTS_122),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [12]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [13]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [14]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][15]  (
+	.CLK(CTS_125),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [15]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [16]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [17]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [19]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [20]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [21]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [22]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [23]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [24]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [25]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [26]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [27]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [28]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [29]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][30]  (
+	.CLK(CTS_123),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [30]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[21][31]  (
+	.CLK(CTS_120),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [31]),
+	.SCE(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [0]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [1]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [2]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [3]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [4]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [5]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [6]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [7]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [8]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [9]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [10]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [11]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][12]  (
+	.CLK(CTS_122),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [12]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [13]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [14]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][15]  (
+	.CLK(CTS_125),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [15]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][17]  (
+	.CLK(CTS_125),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [17]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [19]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [20]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [21]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [23]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [24]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][25]  (
+	.CLK(CTS_118),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [26]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [27]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [28]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [29]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [30]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[22][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [31]),
+	.SCE(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [0]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [1]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [2]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][3]  (
+	.CLK(CTS_121),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [3]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [4]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [5]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [6]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][7]  (
+	.CLK(CTS_96),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [7]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [8]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [9]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [10]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][11]  (
+	.CLK(CTS_123),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [11]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][12]  (
+	.CLK(CTS_122),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [12]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][13]  (
+	.CLK(CTS_118),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [13]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][14]  (
+	.CLK(CTS_67),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [14]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][15]  (
+	.CLK(CTS_125),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [15]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][16]  (
+	.CLK(CTS_124),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][17]  (
+	.CLK(CTS_125),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [17]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][18]  (
+	.CLK(CTS_121),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [19]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [20]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][21]  (
+	.CLK(CTS_119),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [21]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [23]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [24]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [26]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [27]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [28]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [29]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [30]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[23][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [31]),
+	.SCE(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][2]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][7]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][12]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][15]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][18]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][21]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][25]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][27]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][29]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][30]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[24][31]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [0]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [1]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [2]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [3]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [4]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [5]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [6]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [7]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [8]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [9]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [10]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [11]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][12]  (
+	.CLK(CTS_125),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [12]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [13]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [14]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [15]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [16]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [17]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][18]  (
+	.CLK(CTS_124),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [18]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [19]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][21]  (
+	.CLK(CTS_124),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [21]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [22]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [23]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [24]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][25]  (
+	.CLK(CTS_122),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [25]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][26]  (
+	.CLK(CTS_96),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [26]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [27]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [28]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][29]  (
+	.CLK(CTS_125),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [29]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [30]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[25][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [31]),
+	.SCE(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [0]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [1]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [2]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [3]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [4]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [5]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [6]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [7]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [8]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [9]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [10]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][11]  (
+	.CLK(CTS_64),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [11]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][12]  (
+	.CLK(CTS_122),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [12]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [13]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [14]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [15]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [16]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [17]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][18]  (
+	.CLK(CTS_124),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [18]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [19]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [20]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][21]  (
+	.CLK(CTS_124),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [21]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [22]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [23]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [24]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][25]  (
+	.CLK(CTS_118),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [25]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [26]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][27]  (
+	.CLK(CTS_125),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [27]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [28]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][29]  (
+	.CLK(CTS_65),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [29]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [30]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[26][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[26] [31]),
+	.SCE(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][0]  (
+	.CLK(CTS_119),
+	.D(n_74592),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [0]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][1]  (
+	.CLK(CTS_121),
+	.D(n_43987),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [1]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][2]  (
+	.CLK(CTS_124),
+	.D(n_48678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [2]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][3]  (
+	.CLK(CTS_116),
+	.D(n_48304),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [3]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][4]  (
+	.CLK(CTS_121),
+	.D(n_50154),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [4]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][5]  (
+	.CLK(CTS_66),
+	.D(n_15565),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [5]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][6]  (
+	.CLK(CTS_67),
+	.D(n_74006),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [6]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][7]  (
+	.CLK(CTS_122),
+	.D(n_69519),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [7]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][8]  (
+	.CLK(CTS_118),
+	.D(n_51475),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [8]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][9]  (
+	.CLK(CTS_125),
+	.D(n_44099),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [9]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][10]  (
+	.CLK(CTS_122),
+	.D(n_44035),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [10]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][11]  (
+	.CLK(CTS_65),
+	.D(n_36103),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [11]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][12]  (
+	.CLK(CTS_125),
+	.D(n_53181),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [12]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][13]  (
+	.CLK(CTS_124),
+	.D(n_73083),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [13]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][14]  (
+	.CLK(CTS_66),
+	.D(n_44319),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [14]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][15]  (
+	.CLK(CTS_65),
+	.D(n_54573),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [15]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][16]  (
+	.CLK(CTS_120),
+	.D(n_48233),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [16]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][17]  (
+	.CLK(CTS_118),
+	.D(n_74489),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [17]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][18]  (
+	.CLK(CTS_124),
+	.D(n_15343),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [18]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][19]  (
+	.CLK(CTS_67),
+	.D(n_56300),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [19]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][20]  (
+	.CLK(CTS_119),
+	.D(n_31810),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [20]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][21]  (
+	.CLK(CTS_124),
+	.D(n_49610),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [21]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][22]  (
+	.CLK(CTS_124),
+	.D(n_66849),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [22]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][23]  (
+	.CLK(CTS_65),
+	.D(n_56063),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [23]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][24]  (
+	.CLK(CTS_64),
+	.D(n_51443),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [24]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][25]  (
+	.CLK(CTS_118),
+	.D(n_68651),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [25]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][26]  (
+	.CLK(CTS_116),
+	.D(n_74746),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [26]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][27]  (
+	.CLK(CTS_123),
+	.D(n_71001),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [27]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][28]  (
+	.CLK(CTS_65),
+	.D(n_69678),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [28]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][29]  (
+	.CLK(CTS_65),
+	.D(n_31288),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [29]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][30]  (
+	.CLK(CTS_125),
+	.D(n_56333),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [30]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[27][31]  (
+	.CLK(CTS_66),
+	.D(n_24455),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[27] [31]),
+	.SCE(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][2]  (
+	.CLK(CTS_77),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][12]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][15]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][18]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][21]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][29]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[28][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][2]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][11]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][15]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][16]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][18]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][21]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][29]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[29][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[29] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][2]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][7]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][15]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][16]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][18]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][20]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][21]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][27]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][29]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[30][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][0]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [0]),
+	.RESET_B(n_544),
+	.SCD(n_74592),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][1]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [1]),
+	.RESET_B(n_544),
+	.SCD(n_43987),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][2]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [2]),
+	.RESET_B(n_544),
+	.SCD(n_48678),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][3]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [3]),
+	.RESET_B(n_544),
+	.SCD(n_48304),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][4]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [4]),
+	.RESET_B(n_544),
+	.SCD(n_50154),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][5]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [5]),
+	.RESET_B(n_544),
+	.SCD(n_15565),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][6]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [6]),
+	.RESET_B(n_544),
+	.SCD(n_74006),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][7]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [7]),
+	.RESET_B(n_544),
+	.SCD(n_69519),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][8]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.RESET_B(n_544),
+	.SCD(n_51475),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][9]  (
+	.CLK(CTS_125),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [9]),
+	.RESET_B(n_544),
+	.SCD(n_44099),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][10]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [10]),
+	.RESET_B(n_544),
+	.SCD(n_44035),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][11]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [11]),
+	.RESET_B(n_544),
+	.SCD(n_36103),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][12]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [12]),
+	.RESET_B(n_544),
+	.SCD(n_53181),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][13]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [13]),
+	.RESET_B(n_544),
+	.SCD(n_73083),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][14]  (
+	.CLK(CTS_66),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [14]),
+	.RESET_B(n_544),
+	.SCD(n_44319),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][15]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [15]),
+	.RESET_B(n_544),
+	.SCD(n_54573),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][16]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.RESET_B(n_544),
+	.SCD(n_48233),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][17]  (
+	.CLK(CTS_118),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [17]),
+	.RESET_B(n_544),
+	.SCD(n_74489),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][18]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [18]),
+	.RESET_B(n_544),
+	.SCD(n_15343),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][19]  (
+	.CLK(CTS_67),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [19]),
+	.RESET_B(n_544),
+	.SCD(n_56300),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][20]  (
+	.CLK(CTS_121),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [20]),
+	.RESET_B(n_544),
+	.SCD(n_31810),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][21]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [21]),
+	.RESET_B(n_544),
+	.SCD(n_49610),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][22]  (
+	.CLK(CTS_124),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [22]),
+	.RESET_B(n_544),
+	.SCD(n_66849),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][23]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [23]),
+	.RESET_B(n_544),
+	.SCD(n_56063),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][24]  (
+	.CLK(CTS_64),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.RESET_B(n_544),
+	.SCD(n_51443),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][25]  (
+	.CLK(CTS_122),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.RESET_B(n_544),
+	.SCD(n_68651),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][26]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [26]),
+	.RESET_B(n_544),
+	.SCD(n_74746),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][27]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [27]),
+	.RESET_B(n_544),
+	.SCD(n_71001),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][28]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69678),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][29]  (
+	.CLK(CTS_65),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [29]),
+	.RESET_B(n_544),
+	.SCD(n_31288),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][30]  (
+	.CLK(CTS_123),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56333),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q_reg[31][31]  (
+	.CLK(CTS_120),
+	.D(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.Q(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [31]),
+	.RESET_B(n_544),
+	.SCD(n_24455),
+	.SCE(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][0]  (
+	.CLK(CTS_126),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [1]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][2]  (
+	.CLK(CTS_126),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [3]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][5]  (
+	.CLK(CTS_116),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [5]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [6]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [9]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [10]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [11]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][12]  (
+	.CLK(CTS_131),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [12]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][13]  (
+	.CLK(CTS_135),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [13]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [14]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [15]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][16]  (
+	.CLK(CTS_135),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [16]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [17]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [18]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [19]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [20]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][22]  (
+	.CLK(CTS_130),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [22]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [23]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [24]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [25]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [26]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [27]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][28]  (
+	.CLK(CTS_115),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [28]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [29]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [30]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[1][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [31]),
+	.SCE(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][0]  (
+	.CLK(CTS_126),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][1]  (
+	.CLK(CTS_126),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [1]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][2]  (
+	.CLK(CTS_126),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [3]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][5]  (
+	.CLK(CTS_136),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [6]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [9]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [10]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [11]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [12]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][13]  (
+	.CLK(CTS_135),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [13]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [14]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [15]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][16]  (
+	.CLK(CTS_135),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [16]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [17]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [18]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [19]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][20]  (
+	.CLK(CTS_126),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [21]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [22]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [23]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [24]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [25]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [26]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [27]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][28]  (
+	.CLK(CTS_115),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [28]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [29]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [30]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[2][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [31]),
+	.SCE(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][0]  (
+	.CLK(CTS_126),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [0]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][1]  (
+	.CLK(CTS_126),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [1]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][2]  (
+	.CLK(CTS_126),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [2]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [3]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [4]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][5]  (
+	.CLK(CTS_116),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [6]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][7]  (
+	.CLK(CTS_126),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [7]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [8]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [9]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][10]  (
+	.CLK(CTS_116),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [10]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [11]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [12]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [13]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [14]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [15]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][16]  (
+	.CLK(CTS_135),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [16]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [17]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [18]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [19]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][20]  (
+	.CLK(CTS_126),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [21]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [22]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][23]  (
+	.CLK(CTS_115),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [23]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [24]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [25]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [26]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [27]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][28]  (
+	.CLK(CTS_115),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [28]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [29]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [30]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[3][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [31]),
+	.SCE(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][5]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][7]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][8]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][10]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][11]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][14]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][15]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][16]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][19]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][22]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][24]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][25]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][26]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[4][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][3]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][5]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][7]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][8]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][10]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][11]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][14]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][15]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][24]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][26]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[5][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][5]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][7]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][8]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][10]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][16]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[6][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][7]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][8]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][16]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][17]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][21]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][24]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][25]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[7][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][1]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][4]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][7]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][8]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][10]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][11]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][16]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][17]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][19]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][24]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][25]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[8][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][4]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][10]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][16]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][20]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][21]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][24]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][25]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][27]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][28]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[9][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][1]  (
+	.CLK(CTS_76),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][4]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][7]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][10]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][11]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][21]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][24]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][25]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[10][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [0]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][1]  (
+	.CLK(CTS_140),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [1]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][2]  (
+	.CLK(CTS_126),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [2]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][3]  (
+	.CLK(CTS_130),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [3]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][4]  (
+	.CLK(CTS_136),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][5]  (
+	.CLK(CTS_136),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [5]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][6]  (
+	.CLK(CTS_130),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [6]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][7]  (
+	.CLK(CTS_130),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [7]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [8]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [9]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][10]  (
+	.CLK(CTS_138),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [10]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][11]  (
+	.CLK(CTS_130),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [11]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][12]  (
+	.CLK(CTS_131),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [12]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][13]  (
+	.CLK(CTS_135),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [13]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][14]  (
+	.CLK(CTS_131),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [14]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][15]  (
+	.CLK(CTS_130),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [15]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [16]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [17]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [18]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [19]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][20]  (
+	.CLK(CTS_126),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [20]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][21]  (
+	.CLK(CTS_140),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [21]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][22]  (
+	.CLK(CTS_130),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [22]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [23]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][24]  (
+	.CLK(CTS_119),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [24]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][25]  (
+	.CLK(CTS_119),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [25]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][26]  (
+	.CLK(CTS_131),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [26]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [27]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][28]  (
+	.CLK(CTS_115),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [28]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][29]  (
+	.CLK(CTS_131),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [29]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][30]  (
+	.CLK(CTS_131),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [30]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[11][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [31]),
+	.SCE(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][4]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][9]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][10]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][11]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][13]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][16]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][19]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][23]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][24]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][25]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[12][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][4]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][11]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][13]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][16]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][19]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][20]  (
+	.CLK(CTS_119),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][21]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][24]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][27]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[13][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][4]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][10]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][11]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][12]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][16]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][17]  (
+	.CLK(CTS_96),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][27]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[14][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][0]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][1]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][2]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][4]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][5]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][7]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][12]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][13]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][14]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][16]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][20]  (
+	.CLK(CTS_126),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][27]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][29]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[15][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [0]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [1]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [2]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [3]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][4]  (
+	.CLK(CTS_96),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [4]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [5]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [6]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [7]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [9]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][10]  (
+	.CLK(CTS_116),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [11]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [12]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [13]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [14]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [15]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][16]  (
+	.CLK(CTS_138),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [16]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [17]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [18]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [19]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][22]  (
+	.CLK(CTS_139),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [22]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [23]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [24]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][25]  (
+	.CLK(CTS_138),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [25]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][26]  (
+	.CLK(CTS_135),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [26]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [27]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][28]  (
+	.CLK(CTS_115),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [28]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [29]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [30]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[16][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [31]),
+	.SCE(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [0]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [1]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [2]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [3]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][4]  (
+	.CLK(CTS_96),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [4]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [5]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [6]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [7]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [9]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][10]  (
+	.CLK(CTS_116),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [11]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [12]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [13]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [14]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [15]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [16]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [17]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [18]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [19]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [22]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [23]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [24]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][25]  (
+	.CLK(CTS_139),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [25]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [26]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][27]  (
+	.CLK(CTS_132),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [27]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [28]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][29]  (
+	.CLK(CTS_132),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [29]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [30]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[17][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [31]),
+	.SCE(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][1]  (
+	.CLK(CTS_140),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [1]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [3]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [4]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [5]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][6]  (
+	.CLK(CTS_139),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [6]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][9]  (
+	.CLK(CTS_133),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [9]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [11]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][12]  (
+	.CLK(CTS_136),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [12]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [13]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][14]  (
+	.CLK(CTS_138),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [14]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][15]  (
+	.CLK(CTS_138),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [15]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [16]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [17]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [18]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [19]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [20]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [21]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][22]  (
+	.CLK(CTS_139),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [22]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [23]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [25]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][26]  (
+	.CLK(CTS_135),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [26]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][27]  (
+	.CLK(CTS_137),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [27]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [28]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][29]  (
+	.CLK(CTS_136),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [29]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [30]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[18][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [31]),
+	.SCE(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][1]  (
+	.CLK(CTS_140),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [1]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [4]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [5]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][6]  (
+	.CLK(CTS_135),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [6]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][9]  (
+	.CLK(CTS_133),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [9]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [11]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][12]  (
+	.CLK(CTS_136),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [12]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [13]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [14]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [15]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [16]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [17]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [18]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [19]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [20]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [21]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][22]  (
+	.CLK(CTS_139),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [22]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [23]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [25]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [26]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][27]  (
+	.CLK(CTS_137),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [27]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [28]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][29]  (
+	.CLK(CTS_136),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [29]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [30]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[19][31]  (
+	.CLK(CTS_136),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [31]),
+	.SCE(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][0]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][3]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][6]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][7]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][11]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][12]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][14]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][15]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][18]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][19]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][22]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][23]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][26]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][27]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][28]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][29]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[20][31]  (
+	.CLK(CTS_137),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][1]  (
+	.CLK(CTS_119),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [1]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [2]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [3]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [4]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][5]  (
+	.CLK(CTS_115),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [5]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [6]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [7]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [8]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [9]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [10]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [11]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [12]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [13]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [14]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [15]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [16]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [17]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][18]  (
+	.CLK(CTS_138),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [18]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][19]  (
+	.CLK(CTS_137),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [19]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [20]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [22]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [23]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][24]  (
+	.CLK(CTS_117),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [24]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [25]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [26]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][27]  (
+	.CLK(CTS_137),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [27]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [28]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][29]  (
+	.CLK(CTS_136),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [29]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [30]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[21][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [31]),
+	.SCE(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [1]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [3]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [4]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [5]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][6]  (
+	.CLK(CTS_135),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [6]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [7]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][8]  (
+	.CLK(CTS_96),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [8]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][9]  (
+	.CLK(CTS_136),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [9]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [10]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [11]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][12]  (
+	.CLK(CTS_136),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [12]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [13]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [14]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [15]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [16]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][17]  (
+	.CLK(CTS_96),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [17]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][18]  (
+	.CLK(CTS_117),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [18]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][19]  (
+	.CLK(CTS_136),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [19]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [20]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [21]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][22]  (
+	.CLK(CTS_139),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [22]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [23]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [24]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][25]  (
+	.CLK(CTS_139),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [25]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][26]  (
+	.CLK(CTS_135),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [26]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][27]  (
+	.CLK(CTS_137),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [27]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [28]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][29]  (
+	.CLK(CTS_136),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [29]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [30]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[22][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [31]),
+	.SCE(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][0]  (
+	.CLK(CTS_140),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [0]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][1]  (
+	.CLK(CTS_140),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [1]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [3]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [4]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][5]  (
+	.CLK(CTS_96),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [5]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][6]  (
+	.CLK(CTS_135),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [6]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [7]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [8]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][9]  (
+	.CLK(CTS_133),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [9]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [10]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [11]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][12]  (
+	.CLK(CTS_136),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [12]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][13]  (
+	.CLK(CTS_138),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [13]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [14]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [15]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [16]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [17]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][18]  (
+	.CLK(CTS_117),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [18]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][19]  (
+	.CLK(CTS_132),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [19]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [20]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [21]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][22]  (
+	.CLK(CTS_139),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [22]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][23]  (
+	.CLK(CTS_137),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [23]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [24]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][25]  (
+	.CLK(CTS_139),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [25]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][26]  (
+	.CLK(CTS_135),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [26]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][27]  (
+	.CLK(CTS_137),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [27]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [28]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][29]  (
+	.CLK(CTS_136),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [29]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [30]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[23][31]  (
+	.CLK(CTS_137),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [31]),
+	.SCE(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][3]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][5]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][6]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][7]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][11]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][12]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][13]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][14]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][15]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][16]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][18]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][19]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][22]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][23]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][24]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][26]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][27]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][28]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][29]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][30]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[24][31]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][0]  (
+	.CLK(CTS_139),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][1]  (
+	.CLK(CTS_140),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [1]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [3]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [4]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][5]  (
+	.CLK(CTS_115),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [5]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [6]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [7]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [8]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][9]  (
+	.CLK(CTS_132),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [9]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [10]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [11]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [12]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][13]  (
+	.CLK(CTS_139),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [13]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [14]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [15]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [16]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [17]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][18]  (
+	.CLK(CTS_117),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [18]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][19]  (
+	.CLK(CTS_136),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [19]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [20]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [21]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [22]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][23]  (
+	.CLK(CTS_136),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [23]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [24]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [25]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [26]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][27]  (
+	.CLK(CTS_136),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [27]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][28]  (
+	.CLK(CTS_136),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [28]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [29]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [30]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[25][31]  (
+	.CLK(CTS_136),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [31]),
+	.SCE(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][0]  (
+	.CLK(CTS_139),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [1]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [3]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [4]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][5]  (
+	.CLK(CTS_115),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [6]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [8]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][9]  (
+	.CLK(CTS_133),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [9]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [10]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [11]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [12]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][13]  (
+	.CLK(CTS_135),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [13]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [14]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [15]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [16]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [17]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][18]  (
+	.CLK(CTS_117),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [18]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][19]  (
+	.CLK(CTS_136),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [19]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [20]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [21]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [22]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][23]  (
+	.CLK(CTS_136),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [23]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [24]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [25]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [26]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][27]  (
+	.CLK(CTS_136),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [27]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][28]  (
+	.CLK(CTS_136),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [28]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [29]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [30]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[26][31]  (
+	.CLK(CTS_136),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [31]),
+	.SCE(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][0]  (
+	.CLK(CTS_134),
+	.D(n_50591),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][1]  (
+	.CLK(CTS_134),
+	.D(n_2768),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [1]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][2]  (
+	.CLK(CTS_134),
+	.D(n_2776),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][3]  (
+	.CLK(CTS_134),
+	.D(n_2788),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [3]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][4]  (
+	.CLK(CTS_116),
+	.D(n_2784),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [4]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][5]  (
+	.CLK(CTS_115),
+	.D(n_2778),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][6]  (
+	.CLK(CTS_134),
+	.D(n_2792),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [6]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][7]  (
+	.CLK(CTS_134),
+	.D(n_65161),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][8]  (
+	.CLK(CTS_115),
+	.D(n_2798),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [8]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][9]  (
+	.CLK(CTS_133),
+	.D(n_2789),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [9]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][10]  (
+	.CLK(CTS_117),
+	.D(n_2773),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [10]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][11]  (
+	.CLK(CTS_135),
+	.D(n_2790),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [11]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][12]  (
+	.CLK(CTS_133),
+	.D(n_2794),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [12]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][13]  (
+	.CLK(CTS_135),
+	.D(n_2786),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [13]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][14]  (
+	.CLK(CTS_133),
+	.D(n_2779),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [14]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][15]  (
+	.CLK(CTS_135),
+	.D(n_2787),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [15]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][16]  (
+	.CLK(CTS_136),
+	.D(n_2782),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [16]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][17]  (
+	.CLK(CTS_115),
+	.D(n_2769),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [17]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][18]  (
+	.CLK(CTS_117),
+	.D(n_2785),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [18]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][19]  (
+	.CLK(CTS_136),
+	.D(n_2796),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [19]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][20]  (
+	.CLK(CTS_140),
+	.D(n_2791),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [20]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][21]  (
+	.CLK(CTS_139),
+	.D(n_2774),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [21]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][22]  (
+	.CLK(CTS_135),
+	.D(n_2795),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [22]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][23]  (
+	.CLK(CTS_136),
+	.D(n_35302),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [23]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][24]  (
+	.CLK(CTS_139),
+	.D(n_35483),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [24]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][25]  (
+	.CLK(CTS_117),
+	.D(n_53631),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [25]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][26]  (
+	.CLK(CTS_133),
+	.D(n_2780),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [26]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][27]  (
+	.CLK(CTS_136),
+	.D(n_2770),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [27]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][28]  (
+	.CLK(CTS_137),
+	.D(n_69679),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [28]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][29]  (
+	.CLK(CTS_133),
+	.D(n_2775),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [29]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][30]  (
+	.CLK(CTS_133),
+	.D(n_56344),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [30]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[27][31]  (
+	.CLK(CTS_136),
+	.D(n_2777),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [31]),
+	.SCE(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][18]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][19]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][23]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][27]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][29]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[28][31]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][13]  (
+	.CLK(CTS_135),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][18]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][19]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][23]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][24]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][27]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][29]  (
+	.CLK(CTS_133),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[29][31]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][18]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][19]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][23]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][24]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][27]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][29]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[30][31]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][0]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [0]),
+	.RESET_B(n_544),
+	.SCD(n_50591),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][1]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [1]),
+	.RESET_B(n_544),
+	.SCD(n_2768),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][2]  (
+	.CLK(CTS_134),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.RESET_B(n_544),
+	.SCD(n_2776),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][3]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [3]),
+	.RESET_B(n_544),
+	.SCD(n_2788),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][4]  (
+	.CLK(CTS_116),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.RESET_B(n_544),
+	.SCD(n_2784),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][5]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.RESET_B(n_544),
+	.SCD(n_2778),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][6]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [6]),
+	.RESET_B(n_544),
+	.SCD(n_2792),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][7]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.RESET_B(n_544),
+	.SCD(n_65161),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][8]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.RESET_B(n_544),
+	.SCD(n_2798),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][9]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [9]),
+	.RESET_B(n_544),
+	.SCD(n_2789),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][10]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [10]),
+	.RESET_B(n_544),
+	.SCD(n_2773),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][11]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [11]),
+	.RESET_B(n_544),
+	.SCD(n_2790),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][12]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [12]),
+	.RESET_B(n_544),
+	.SCD(n_2794),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][13]  (
+	.CLK(CTS_138),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [13]),
+	.RESET_B(n_544),
+	.SCD(n_2786),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][14]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [14]),
+	.RESET_B(n_544),
+	.SCD(n_2779),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][15]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [15]),
+	.RESET_B(n_544),
+	.SCD(n_2787),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][16]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [16]),
+	.RESET_B(n_544),
+	.SCD(n_2782),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][17]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [17]),
+	.RESET_B(n_544),
+	.SCD(n_2769),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][18]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [18]),
+	.RESET_B(n_544),
+	.SCD(n_2785),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][19]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [19]),
+	.RESET_B(n_544),
+	.SCD(n_2796),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][20]  (
+	.CLK(CTS_140),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.RESET_B(n_544),
+	.SCD(n_2791),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][21]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [21]),
+	.RESET_B(n_544),
+	.SCD(n_2774),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][22]  (
+	.CLK(CTS_130),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [22]),
+	.RESET_B(n_544),
+	.SCD(n_2795),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][23]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [23]),
+	.RESET_B(n_544),
+	.SCD(n_35302),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][24]  (
+	.CLK(CTS_139),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.RESET_B(n_544),
+	.SCD(n_35483),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][25]  (
+	.CLK(CTS_117),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [25]),
+	.RESET_B(n_544),
+	.SCD(n_53631),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][26]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [26]),
+	.RESET_B(n_544),
+	.SCD(n_2780),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][27]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [27]),
+	.RESET_B(n_544),
+	.SCD(n_2770),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][28]  (
+	.CLK(CTS_115),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [28]),
+	.RESET_B(n_544),
+	.SCD(n_69679),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][29]  (
+	.CLK(CTS_132),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [29]),
+	.RESET_B(n_544),
+	.SCD(n_2775),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][30]  (
+	.CLK(CTS_131),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [30]),
+	.RESET_B(n_544),
+	.SCD(n_56344),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q_reg[31][31]  (
+	.CLK(CTS_136),
+	.D(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.Q(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [31]),
+	.RESET_B(n_544),
+	.SCD(n_2777),
+	.SCE(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[0]  (
+	.CLK(CTS_141),
+	.D(n_12367),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_12457),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[2]  (
+	.CLK(CTS_86),
+	.D(n_12494),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[3]  (
+	.CLK(CTS_86),
+	.D(n_12504),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[4]  (
+	.CLK(CTS_86),
+	.D(n_12515),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[5]  (
+	.CLK(CTS_86),
+	.D(n_12525),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[6]  (
+	.CLK(CTS_86),
+	.D(n_12537),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[7]  (
+	.CLK(CTS_86),
+	.D(n_12545),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[8]  (
+	.CLK(CTS_86),
+	.D(n_12553),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[9]  (
+	.CLK(CTS_86),
+	.D(n_12561),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[10]  (
+	.CLK(CTS_86),
+	.D(n_12570),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[11]  (
+	.CLK(CTS_101),
+	.D(n_12579),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[12]  (
+	.CLK(CTS_101),
+	.D(n_12586),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[13]  (
+	.CLK(CTS_101),
+	.D(n_12594),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[14]  (
+	.CLK(CTS_101),
+	.D(n_12602),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[15]  (
+	.CLK(CTS_101),
+	.D(n_12610),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[16]  (
+	.CLK(CTS_101),
+	.D(n_12618),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[17]  (
+	.CLK(CTS_101),
+	.D(n_12626),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[18]  (
+	.CLK(CTS_101),
+	.D(n_12635),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[19]  (
+	.CLK(CTS_105),
+	.D(n_12641),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[20]  (
+	.CLK(CTS_105),
+	.D(n_12649),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[21]  (
+	.CLK(CTS_100),
+	.D(n_12656),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[22]  (
+	.CLK(CTS_105),
+	.D(n_12661),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[23]  (
+	.CLK(CTS_105),
+	.D(n_12670),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[24]  (
+	.CLK(CTS_105),
+	.D(n_12677),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[25]  (
+	.CLK(CTS_105),
+	.D(n_12685),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[26]  (
+	.CLK(CTS_141),
+	.D(n_12693),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[27]  (
+	.CLK(CTS_105),
+	.D(n_12701),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[28]  (
+	.CLK(CTS_105),
+	.D(n_12710),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[29]  (
+	.CLK(CTS_105),
+	.D(n_12720),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[30]  (
+	.CLK(CTS_105),
+	.D(n_12725),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q_reg[31]  (
+	.CLK(CTS_105),
+	.D(n_8986),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[DZ]  (
+	.CLK(CTS_141),
+	.D(n_3428),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NV]  (
+	.CLK(CTS_141),
+	.D(n_6490),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[NX]  (
+	.CLK(CTS_141),
+	.D(n_12279),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[OF]  (
+	.CLK(CTS_141),
+	.D(n_10321),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q_reg[UF]  (
+	.CLK(CTS_141),
+	.D(n_9653),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.SCE(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[0]  (
+	.CLK(CTS_87),
+	.D(n_9012),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[1]  (
+	.CLK(CTS_87),
+	.D(n_9013),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[2]  (
+	.CLK(CTS_87),
+	.D(n_9014),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[3]  (
+	.CLK(CTS_87),
+	.D(n_9691),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[4]  (
+	.CLK(CTS_87),
+	.D(n_10583),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S_reg[5]  (
+	.CLK(CTS_89),
+	.D(n_11189),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_enable_SO_reg  (
+	.CLK(CTS_90),
+	.D(n_3859),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_reg  (
+	.CLK(CTS_90),
+	.D(n_76737),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Done_SO_reg  (
+	.CLK(CTS_81),
+	.D(n_6700),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.RESET_B(n_544),
+	.SCD(n_1053),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[0]  (
+	.CLK(CTS_90),
+	.D(n_25815),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrbp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_25816),
+	.Q(UNCONNECTED312),
+	.Q_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.RESET_B(n_544),
+	.SCD(n_86),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[2]  (
+	.CLK(CTS_90),
+	.D(n_25817),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_25818),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_25819),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[5]  (
+	.CLK(CTS_90),
+	.D(n_25820),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[6]  (
+	.CLK(CTS_81),
+	.D(n_25821),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[7]  (
+	.CLK(CTS_81),
+	.D(n_25822),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[8]  (
+	.CLK(CTS_90),
+	.D(n_25823),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[9]  (
+	.CLK(CTS_90),
+	.D(n_25824),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[10]  (
+	.CLK(CTS_90),
+	.D(n_25825),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[11]  (
+	.CLK(CTS_90),
+	.D(n_25826),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Exp_result_prenorm_DP_reg[12]  (
+	.CLK(CTS_90),
+	.D(n_25827),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.SCE(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[0]  (
+	.CLK(CTS_89),
+	.D(n_9777),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[1]  (
+	.CLK(CTS_89),
+	.D(n_9771),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[2]  (
+	.CLK(CTS_89),
+	.D(n_9877),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[3]  (
+	.CLK(CTS_89),
+	.D(n_30710),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[4]  (
+	.CLK(CTS_89),
+	.D(n_30711),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[6]  (
+	.CLK(CTS_89),
+	.D(n_9873),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[7]  (
+	.CLK(CTS_87),
+	.D(n_30713),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[10]  (
+	.CLK(CTS_87),
+	.D(n_9869),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[12]  (
+	.CLK(CTS_87),
+	.D(n_9867),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[14]  (
+	.CLK(CTS_87),
+	.D(n_9865),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[15]  (
+	.CLK(CTS_87),
+	.D(n_31068),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[18]  (
+	.CLK(CTS_87),
+	.D(n_9861),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[19]  (
+	.CLK(CTS_87),
+	.D(n_67189),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[20]  (
+	.CLK(CTS_87),
+	.D(n_67191),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[21]  (
+	.CLK(CTS_87),
+	.D(n_67193),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[22]  (
+	.CLK(CTS_87),
+	.D(n_30717),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[23]  (
+	.CLK(CTS_87),
+	.D(n_67195),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[24]  (
+	.CLK(CTS_87),
+	.D(n_67197),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[25]  (
+	.CLK(CTS_87),
+	.D(n_9854),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[26]  (
+	.CLK(CTS_87),
+	.D(n_67199),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[27]  (
+	.CLK(CTS_87),
+	.D(n_9852),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[28]  (
+	.CLK(CTS_87),
+	.D(n_9851),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[29]  (
+	.CLK(CTS_87),
+	.D(n_9850),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[30]  (
+	.CLK(CTS_87),
+	.D(n_9849),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[31]  (
+	.CLK(CTS_87),
+	.D(n_9848),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[32]  (
+	.CLK(CTS_88),
+	.D(n_9847),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[33]  (
+	.CLK(CTS_88),
+	.D(n_9846),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[34]  (
+	.CLK(CTS_88),
+	.D(n_9845),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[35]  (
+	.CLK(CTS_88),
+	.D(n_9844),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[36]  (
+	.CLK(CTS_88),
+	.D(n_9843),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[37]  (
+	.CLK(CTS_88),
+	.D(n_67201),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[38]  (
+	.CLK(CTS_88),
+	.D(n_9841),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[39]  (
+	.CLK(CTS_88),
+	.D(n_67203),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[40]  (
+	.CLK(CTS_88),
+	.D(n_9839),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[41]  (
+	.CLK(CTS_88),
+	.D(n_9838),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[42]  (
+	.CLK(CTS_88),
+	.D(n_9837),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[43]  (
+	.CLK(CTS_88),
+	.D(n_9836),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[44]  (
+	.CLK(CTS_88),
+	.D(n_9835),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[45]  (
+	.CLK(CTS_88),
+	.D(n_9834),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[46]  (
+	.CLK(CTS_88),
+	.D(n_9833),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[47]  (
+	.CLK(CTS_88),
+	.D(n_9832),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[48]  (
+	.CLK(CTS_89),
+	.D(n_9831),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[49]  (
+	.CLK(CTS_89),
+	.D(n_9830),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[50]  (
+	.CLK(CTS_89),
+	.D(n_9829),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[51]  (
+	.CLK(CTS_89),
+	.D(n_9828),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[52]  (
+	.CLK(CTS_89),
+	.D(n_9827),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[53]  (
+	.CLK(CTS_89),
+	.D(n_9826),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[54]  (
+	.CLK(CTS_89),
+	.D(n_9825),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[55]  (
+	.CLK(CTS_89),
+	.D(n_9824),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[56]  (
+	.CLK(CTS_89),
+	.D(n_9823),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[57]  (
+	.CLK(CTS_89),
+	.D(n_9373),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[0]  (
+	.CLK(CTS_89),
+	.D(n_9782),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[1]  (
+	.CLK(CTS_89),
+	.D(n_9776),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[2]  (
+	.CLK(CTS_89),
+	.D(n_9787),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[3]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[4]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[5]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[6]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[7]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[8]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[9]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[10]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[11]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[12]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[13]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[14]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[15]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[16]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[17]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[18]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[19]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[20]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[21]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[22]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[23]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[24]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[25]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[26]  (
+	.CLK(CTS_84),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[27]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[28]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[29]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[30]  (
+	.CLK(CTS_87),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [27]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[31]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [28]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[32]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [29]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[33]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [30]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[34]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [31]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[35]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [32]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[36]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [33]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[37]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [34]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[38]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [35]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[39]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [36]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[40]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [37]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[41]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [38]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[42]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[43]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [40]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[44]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [41]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[45]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [42]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[46]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [43]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[47]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [44]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[48]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [45]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[49]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[50]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[51]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [48]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [51]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[52]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [49]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [52]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_reg[53]  (
+	.CLK(CTS_88),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [50]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [53]),
+	.SCE(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfstp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Ready_SO_reg  (
+	.CLK(CTS_81),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.SCD(n_6700),
+	.SCE(n_61284),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_enable_SO_reg  (
+	.CLK(CTS_81),
+	.D(n_3026),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[0]  (
+	.CLK(CTS_90),
+	.D(n_3786),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [0]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[1]  (
+	.CLK(CTS_90),
+	.D(n_1913),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [1]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[2]  (
+	.CLK(CTS_90),
+	.D(n_3787),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [2]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[3]  (
+	.CLK(CTS_90),
+	.D(n_30723),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [3]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[4]  (
+	.CLK(CTS_141),
+	.D(n_10392),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [4]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[5]  (
+	.CLK(CTS_90),
+	.D(n_10872),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [5]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[6]  (
+	.CLK(CTS_90),
+	.D(n_11908),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [6]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[7]  (
+	.CLK(CTS_90),
+	.D(n_12054),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [7]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[8]  (
+	.CLK(CTS_90),
+	.D(n_12229),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[9]  (
+	.CLK(CTS_90),
+	.D(n_12228),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[10]  (
+	.CLK(CTS_90),
+	.D(n_12227),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_a_norm_DP_reg[11]  (
+	.CLK(CTS_90),
+	.D(n_12226),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[0]  (
+	.CLK(CTS_90),
+	.D(n_3782),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [0]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_3098),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [1]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[2]  (
+	.CLK(CTS_90),
+	.D(n_3785),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [2]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[3]  (
+	.CLK(CTS_141),
+	.D(n_9444),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [3]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[4]  (
+	.CLK(CTS_90),
+	.D(n_10390),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [4]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[5]  (
+	.CLK(CTS_141),
+	.D(n_10876),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [5]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[6]  (
+	.CLK(CTS_90),
+	.D(n_11906),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [6]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[7]  (
+	.CLK(CTS_90),
+	.D(n_12053),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [7]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[8]  (
+	.CLK(CTS_90),
+	.D(n_12225),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[9]  (
+	.CLK(CTS_90),
+	.D(n_12224),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[10]  (
+	.CLK(CTS_90),
+	.D(n_12223),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Exp_b_norm_DP_reg[11]  (
+	.CLK(CTS_90),
+	.D(n_12222),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_a_SP_reg  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.RESET_B(n_544),
+	.SCD(n_879),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Inf_b_SP_reg  (
+	.CLK(CTS_141),
+	.D(n_1317),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[29]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [29]),
+	.RESET_B(n_544),
+	.SCD(n_25735),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[30]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [30]),
+	.RESET_B(n_544),
+	.SCD(n_25736),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[31]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [31]),
+	.RESET_B(n_544),
+	.SCD(n_25737),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[32]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [32]),
+	.RESET_B(n_544),
+	.SCD(n_25738),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[33]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [33]),
+	.RESET_B(n_544),
+	.SCD(n_25739),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[34]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [34]),
+	.RESET_B(n_544),
+	.SCD(n_25740),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[35]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [35]),
+	.RESET_B(n_544),
+	.SCD(n_25741),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[36]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [36]),
+	.RESET_B(n_544),
+	.SCD(n_25742),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[37]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [37]),
+	.RESET_B(n_544),
+	.SCD(n_25743),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[38]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [38]),
+	.RESET_B(n_544),
+	.SCD(n_25744),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[39]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [39]),
+	.RESET_B(n_544),
+	.SCD(n_25745),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[40]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [40]),
+	.RESET_B(n_544),
+	.SCD(n_25746),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[41]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [41]),
+	.RESET_B(n_544),
+	.SCD(n_25747),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[42]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [42]),
+	.RESET_B(n_544),
+	.SCD(n_25748),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[43]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [43]),
+	.RESET_B(n_544),
+	.SCD(n_25749),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[44]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [44]),
+	.RESET_B(n_544),
+	.SCD(n_25750),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[45]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [45]),
+	.RESET_B(n_544),
+	.SCD(n_25751),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[46]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [46]),
+	.RESET_B(n_544),
+	.SCD(n_25752),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[47]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [47]),
+	.RESET_B(n_544),
+	.SCD(n_25753),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[48]  (
+	.CLK(CTS_90),
+	.D(n_25754),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [48]),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[49]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [49]),
+	.RESET_B(n_544),
+	.SCD(n_25755),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[50]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [50]),
+	.RESET_B(n_544),
+	.SCD(n_25756),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[51]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [51]),
+	.RESET_B(n_544),
+	.SCD(n_25757),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_a_norm_DP_reg[52]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_a_D [52]),
+	.RESET_B(n_544),
+	.SCD(n_25758),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[29]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [29]),
+	.RESET_B(n_544),
+	.SCD(n_25711),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[30]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.RESET_B(n_544),
+	.SCD(n_25712),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[31]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.RESET_B(n_544),
+	.SCD(n_25713),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[32]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.RESET_B(n_544),
+	.SCD(n_25714),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[33]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [33]),
+	.RESET_B(n_544),
+	.SCD(n_25715),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[34]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [34]),
+	.RESET_B(n_544),
+	.SCD(n_25716),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[35]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [35]),
+	.RESET_B(n_544),
+	.SCD(n_25717),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[36]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [36]),
+	.RESET_B(n_544),
+	.SCD(n_25718),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[37]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.RESET_B(n_544),
+	.SCD(n_25719),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[38]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [38]),
+	.RESET_B(n_544),
+	.SCD(n_25720),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[39]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [39]),
+	.RESET_B(n_544),
+	.SCD(n_25721),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[40]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [40]),
+	.RESET_B(n_544),
+	.SCD(n_25722),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[41]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [41]),
+	.RESET_B(n_544),
+	.SCD(n_25723),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[42]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [42]),
+	.RESET_B(n_544),
+	.SCD(n_25724),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[43]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [43]),
+	.RESET_B(n_544),
+	.SCD(n_25725),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[44]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.RESET_B(n_544),
+	.SCD(n_25726),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[45]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.RESET_B(n_544),
+	.SCD(n_25727),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[46]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [46]),
+	.RESET_B(n_544),
+	.SCD(n_25728),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[47]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [47]),
+	.RESET_B(n_544),
+	.SCD(n_25729),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[48]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [48]),
+	.RESET_B(n_544),
+	.SCD(n_25730),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[49]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [49]),
+	.RESET_B(n_544),
+	.SCD(n_25731),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[50]  (
+	.CLK(CTS_78),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [50]),
+	.RESET_B(n_544),
+	.SCD(n_25732),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[51]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [51]),
+	.RESET_B(n_544),
+	.SCD(n_25733),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_b_norm_DP_reg[52]  (
+	.CLK(CTS_89),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [52]),
+	.RESET_B(n_544),
+	.SCD(n_25734),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_a_SP_reg  (
+	.CLK(CTS_141),
+	.D(n_1589),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_NaN_b_SP_reg  (
+	.CLK(CTS_141),
+	.D(n_1593),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[0]  (
+	.CLK(CTS_81),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[1]  (
+	.CLK(CTS_81),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_RM_DP_reg[2]  (
+	.CLK(CTS_81),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.RESET_B(n_544),
+	.SCD(n_56708),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_SNaN_SP_reg  (
+	.CLK(CTS_141),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.RESET_B(n_544),
+	.SCD(n_3427),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Sign_z_DP_reg  (
+	.CLK(CTS_90),
+	.D(n_1968),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.RESET_B(n_544),
+	.SCD(n_63835),
+	.SCE(n_2451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_a_SP_reg  (
+	.CLK(CTS_141),
+	.D(n_1599),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.SCE(FE_DBTN18_n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Zero_b_SP_reg  (
+	.CLK(CTS_102),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.RESET_B(n_544),
+	.SCD(n_37903),
+	.SCE(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_8411),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_4704),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_9896),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_6190),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_id_stage_i_id_fsm_q_reg (
+	.CLK(CTS_86),
+	.D(n_4196),
+	.Q(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][0]  (
+	.CLK(CTS_141),
+	.D(n_12213),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][1]  (
+	.CLK(CTS_141),
+	.D(n_11350),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][2]  (
+	.CLK(CTS_141),
+	.D(n_11045),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][3]  (
+	.CLK(CTS_141),
+	.D(n_11044),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][4]  (
+	.CLK(CTS_141),
+	.D(n_11043),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][5]  (
+	.CLK(CTS_103),
+	.D(n_11042),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][6]  (
+	.CLK(CTS_103),
+	.D(n_11041),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][7]  (
+	.CLK(CTS_103),
+	.D(n_11040),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][8]  (
+	.CLK(CTS_103),
+	.D(n_11039),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][9]  (
+	.CLK(CTS_103),
+	.D(n_11038),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][10]  (
+	.CLK(CTS_103),
+	.D(n_11037),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][11]  (
+	.CLK(CTS_101),
+	.D(n_11036),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][12]  (
+	.CLK(CTS_101),
+	.D(n_11035),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][13]  (
+	.CLK(CTS_101),
+	.D(n_11034),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][14]  (
+	.CLK(CTS_101),
+	.D(n_11033),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][15]  (
+	.CLK(CTS_101),
+	.D(n_11032),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][16]  (
+	.CLK(CTS_101),
+	.D(n_11031),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][17]  (
+	.CLK(CTS_101),
+	.D(n_11030),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][18]  (
+	.CLK(CTS_101),
+	.D(n_11029),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][19]  (
+	.CLK(CTS_101),
+	.D(n_11028),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][20]  (
+	.CLK(CTS_100),
+	.D(n_11027),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][21]  (
+	.CLK(CTS_100),
+	.D(n_11026),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][22]  (
+	.CLK(CTS_100),
+	.D(n_11025),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][23]  (
+	.CLK(CTS_105),
+	.D(n_11024),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][24]  (
+	.CLK(CTS_105),
+	.D(n_11023),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][25]  (
+	.CLK(CTS_105),
+	.D(n_11022),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][26]  (
+	.CLK(CTS_105),
+	.D(n_11021),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][27]  (
+	.CLK(CTS_105),
+	.D(n_11020),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][28]  (
+	.CLK(CTS_105),
+	.D(n_11019),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][29]  (
+	.CLK(CTS_100),
+	.D(n_11018),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][30]  (
+	.CLK(CTS_100),
+	.D(n_64729),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][32]  (
+	.CLK(CTS_86),
+	.D(n_12201),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][0]  (
+	.CLK(CTS_86),
+	.D(n_9685),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][1]  (
+	.CLK(CTS_86),
+	.D(n_9684),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][2]  (
+	.CLK(CTS_101),
+	.D(n_9683),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][3]  (
+	.CLK(CTS_86),
+	.D(n_9682),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][4]  (
+	.CLK(CTS_101),
+	.D(n_9681),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][5]  (
+	.CLK(CTS_101),
+	.D(n_9680),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][6]  (
+	.CLK(CTS_101),
+	.D(n_9679),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][7]  (
+	.CLK(CTS_101),
+	.D(n_9678),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][8]  (
+	.CLK(CTS_101),
+	.D(n_9677),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][9]  (
+	.CLK(CTS_101),
+	.D(n_9676),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][10]  (
+	.CLK(CTS_101),
+	.D(n_9675),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][11]  (
+	.CLK(CTS_101),
+	.D(n_9674),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][12]  (
+	.CLK(CTS_101),
+	.D(n_9673),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][13]  (
+	.CLK(CTS_101),
+	.D(n_9672),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][14]  (
+	.CLK(CTS_101),
+	.D(n_9671),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][15]  (
+	.CLK(CTS_101),
+	.D(n_9670),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][16]  (
+	.CLK(CTS_101),
+	.D(n_9669),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][17]  (
+	.CLK(CTS_101),
+	.D(n_9668),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][18]  (
+	.CLK(CTS_101),
+	.D(n_9667),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][19]  (
+	.CLK(CTS_101),
+	.D(n_9666),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][20]  (
+	.CLK(CTS_100),
+	.D(n_9665),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][21]  (
+	.CLK(CTS_100),
+	.D(n_9664),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][22]  (
+	.CLK(CTS_100),
+	.D(n_9663),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][23]  (
+	.CLK(CTS_101),
+	.D(n_9662),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][24]  (
+	.CLK(CTS_100),
+	.D(n_9661),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][25]  (
+	.CLK(CTS_100),
+	.D(n_9660),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][26]  (
+	.CLK(CTS_100),
+	.D(n_9659),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][27]  (
+	.CLK(CTS_100),
+	.D(n_9658),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][28]  (
+	.CLK(CTS_100),
+	.D(n_9657),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][29]  (
+	.CLK(CTS_100),
+	.D(n_9656),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][30]  (
+	.CLK(CTS_100),
+	.D(n_9655),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[1][31]  (
+	.CLK(CTS_86),
+	.D(n_9654),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[0]  (
+	.CLK(CTS_91),
+	.D(n_4167),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q_reg[1]  (
+	.CLK(CTS_91),
+	.D(n_6475),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q_reg (
+	.CLK(CTS_91),
+	.D(n_2492),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_4707),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[3]  (
+	.CLK(CTS_85),
+	.D(n_6253),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[4]  (
+	.CLK(CTS_85),
+	.D(n_8367),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_9448),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_10386),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_11257),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[8]  (
+	.CLK(CTS_108),
+	.D(n_11964),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q_reg[9]  (
+	.CLK(CTS_85),
+	.D(n_12221),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.SCE(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_61112),
+	.Q(soc_top_u_top_u_core_pc_if[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[3]  (
+	.CLK(CTS_85),
+	.D(n_61131),
+	.Q(soc_top_u_top_u_core_pc_if[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[4]  (
+	.CLK(CTS_85),
+	.D(n_61130),
+	.Q(soc_top_u_top_u_core_pc_if[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_61104),
+	.Q(soc_top_u_top_u_core_pc_if[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[6]  (
+	.CLK(CTS_85),
+	.D(n_61114),
+	.Q(soc_top_u_top_u_core_pc_if[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_61113),
+	.Q(soc_top_u_top_u_core_pc_if[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[8]  (
+	.CLK(CTS_108),
+	.D(n_61128),
+	.Q(soc_top_u_top_u_core_pc_if[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[9]  (
+	.CLK(CTS_107),
+	.D(n_61134),
+	.Q(soc_top_u_top_u_core_pc_if[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[10]  (
+	.CLK(CTS_107),
+	.D(n_61132),
+	.Q(soc_top_u_top_u_core_pc_if[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[11]  (
+	.CLK(CTS_107),
+	.D(n_61121),
+	.Q(soc_top_u_top_u_core_pc_if[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(n_61125),
+	.Q(soc_top_u_top_u_core_pc_if[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[13]  (
+	.CLK(CTS_106),
+	.D(n_61133),
+	.Q(soc_top_u_top_u_core_pc_if[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_61123),
+	.Q(soc_top_u_top_u_core_pc_if[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[15]  (
+	.CLK(CTS_106),
+	.D(n_61122),
+	.Q(soc_top_u_top_u_core_pc_if[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_61129),
+	.Q(soc_top_u_top_u_core_pc_if[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_61119),
+	.Q(soc_top_u_top_u_core_pc_if[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_61116),
+	.Q(soc_top_u_top_u_core_pc_if[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_61124),
+	.Q(soc_top_u_top_u_core_pc_if[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[20]  (
+	.CLK(CTS_97),
+	.D(n_61117),
+	.Q(soc_top_u_top_u_core_pc_if[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_61118),
+	.Q(soc_top_u_top_u_core_pc_if[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(n_61126),
+	.Q(soc_top_u_top_u_core_pc_if[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[23]  (
+	.CLK(CTS_104),
+	.D(n_61115),
+	.Q(soc_top_u_top_u_core_pc_if[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(n_61127),
+	.Q(soc_top_u_top_u_core_pc_if[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(n_61107),
+	.Q(soc_top_u_top_u_core_pc_if[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[26]  (
+	.CLK(CTS_98),
+	.D(n_61111),
+	.Q(soc_top_u_top_u_core_pc_if[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_61109),
+	.Q(soc_top_u_top_u_core_pc_if[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[28]  (
+	.CLK(CTS_98),
+	.D(n_61105),
+	.Q(soc_top_u_top_u_core_pc_if[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_61108),
+	.Q(soc_top_u_top_u_core_pc_if[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_61110),
+	.Q(soc_top_u_top_u_core_pc_if[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_61106),
+	.Q(soc_top_u_top_u_core_pc_if[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][0]  (
+	.CLK(CTS_82),
+	.D(n_11704),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][1]  (
+	.CLK(CTS_82),
+	.D(n_11705),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][2]  (
+	.CLK(CTS_83),
+	.D(n_68052),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][3]  (
+	.CLK(CTS_84),
+	.D(n_68054),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][4]  (
+	.CLK(CTS_83),
+	.D(n_11429),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][5]  (
+	.CLK(CTS_83),
+	.D(n_68064),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][6]  (
+	.CLK(CTS_84),
+	.D(n_67818),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][7]  (
+	.CLK(CTS_84),
+	.D(n_74497),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][8]  (
+	.CLK(CTS_83),
+	.D(n_11425),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][9]  (
+	.CLK(CTS_82),
+	.D(n_68060),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][10]  (
+	.CLK(CTS_82),
+	.D(n_11423),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][11]  (
+	.CLK(CTS_84),
+	.D(n_67817),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][12]  (
+	.CLK(CTS_83),
+	.D(n_68066),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][13]  (
+	.CLK(CTS_83),
+	.D(n_68056),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][14]  (
+	.CLK(CTS_82),
+	.D(n_11419),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][15]  (
+	.CLK(CTS_84),
+	.D(n_68058),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][16]  (
+	.CLK(CTS_82),
+	.D(n_67822),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][17]  (
+	.CLK(CTS_82),
+	.D(n_11703),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][18]  (
+	.CLK(CTS_83),
+	.D(n_11417),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][19]  (
+	.CLK(CTS_84),
+	.D(n_67819),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][20]  (
+	.CLK(CTS_83),
+	.D(n_67815),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][21]  (
+	.CLK(CTS_83),
+	.D(n_11414),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][22]  (
+	.CLK(CTS_84),
+	.D(n_62657),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][23]  (
+	.CLK(CTS_84),
+	.D(n_74498),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][24]  (
+	.CLK(CTS_83),
+	.D(n_67814),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][25]  (
+	.CLK(CTS_84),
+	.D(n_11410),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][26]  (
+	.CLK(CTS_82),
+	.D(n_67816),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][27]  (
+	.CLK(CTS_84),
+	.D(n_67916),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][28]  (
+	.CLK(CTS_83),
+	.D(n_68062),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][29]  (
+	.CLK(CTS_83),
+	.D(n_11406),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][30]  (
+	.CLK(CTS_82),
+	.D(n_11405),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[0][31]  (
+	.CLK(CTS_82),
+	.D(n_11404),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][0]  (
+	.CLK(CTS_82),
+	.D(n_66195),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][1]  (
+	.CLK(CTS_82),
+	.D(n_67825),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][2]  (
+	.CLK(CTS_83),
+	.D(n_63137),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][3]  (
+	.CLK(CTS_84),
+	.D(n_63136),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][4]  (
+	.CLK(CTS_83),
+	.D(n_63135),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][5]  (
+	.CLK(CTS_84),
+	.D(n_63134),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][6]  (
+	.CLK(CTS_84),
+	.D(n_63133),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][7]  (
+	.CLK(CTS_84),
+	.D(n_63132),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][8]  (
+	.CLK(CTS_83),
+	.D(n_63131),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][9]  (
+	.CLK(CTS_83),
+	.D(n_63130),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][10]  (
+	.CLK(CTS_83),
+	.D(n_63129),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][11]  (
+	.CLK(CTS_84),
+	.D(n_63128),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][12]  (
+	.CLK(CTS_83),
+	.D(n_63127),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][13]  (
+	.CLK(CTS_82),
+	.D(n_63126),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][14]  (
+	.CLK(CTS_82),
+	.D(n_63125),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][15]  (
+	.CLK(CTS_82),
+	.D(n_63124),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][18]  (
+	.CLK(CTS_83),
+	.D(n_63123),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][19]  (
+	.CLK(CTS_83),
+	.D(n_63122),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][20]  (
+	.CLK(CTS_83),
+	.D(n_63121),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][21]  (
+	.CLK(CTS_83),
+	.D(n_63120),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][22]  (
+	.CLK(CTS_84),
+	.D(n_63119),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][23]  (
+	.CLK(CTS_84),
+	.D(n_63118),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][24]  (
+	.CLK(CTS_83),
+	.D(n_63117),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][25]  (
+	.CLK(CTS_82),
+	.D(n_63116),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][26]  (
+	.CLK(CTS_82),
+	.D(n_63115),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][27]  (
+	.CLK(CTS_84),
+	.D(n_63114),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][28]  (
+	.CLK(CTS_83),
+	.D(n_63113),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][29]  (
+	.CLK(CTS_83),
+	.D(n_63112),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][30]  (
+	.CLK(CTS_82),
+	.D(n_63111),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][31]  (
+	.CLK(CTS_82),
+	.D(n_63110),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][0]  (
+	.CLK(CTS_82),
+	.D(n_63570),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][1]  (
+	.CLK(CTS_82),
+	.D(n_63580),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][2]  (
+	.CLK(CTS_83),
+	.D(n_2874),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][3]  (
+	.CLK(CTS_84),
+	.D(n_2850),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][4]  (
+	.CLK(CTS_83),
+	.D(n_2861),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][5]  (
+	.CLK(CTS_83),
+	.D(n_2863),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][6]  (
+	.CLK(CTS_84),
+	.D(n_2852),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][7]  (
+	.CLK(CTS_84),
+	.D(n_2855),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][8]  (
+	.CLK(CTS_83),
+	.D(n_2857),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][9]  (
+	.CLK(CTS_82),
+	.D(n_2848),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][10]  (
+	.CLK(CTS_83),
+	.D(n_2859),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][11]  (
+	.CLK(CTS_84),
+	.D(n_2836),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][12]  (
+	.CLK(CTS_83),
+	.D(n_2818),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][13]  (
+	.CLK(CTS_82),
+	.D(n_2820),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][14]  (
+	.CLK(CTS_82),
+	.D(n_2822),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][15]  (
+	.CLK(CTS_82),
+	.D(n_2824),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][16]  (
+	.CLK(CTS_82),
+	.D(n_64764),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][17]  (
+	.CLK(CTS_82),
+	.D(\soc_top_iccm_to_xbar[d_data] [17]),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][18]  (
+	.CLK(CTS_83),
+	.D(n_2869),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][19]  (
+	.CLK(CTS_83),
+	.D(n_2826),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][20]  (
+	.CLK(CTS_83),
+	.D(n_2828),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][21]  (
+	.CLK(CTS_83),
+	.D(n_2867),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][22]  (
+	.CLK(CTS_84),
+	.D(n_2871),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][23]  (
+	.CLK(CTS_84),
+	.D(n_2830),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][24]  (
+	.CLK(CTS_83),
+	.D(n_2832),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][25]  (
+	.CLK(CTS_82),
+	.D(n_2834),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][26]  (
+	.CLK(CTS_82),
+	.D(n_2846),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][27]  (
+	.CLK(CTS_84),
+	.D(n_2876),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][28]  (
+	.CLK(CTS_83),
+	.D(n_2838),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][29]  (
+	.CLK(CTS_83),
+	.D(n_2840),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][30]  (
+	.CLK(CTS_82),
+	.D(n_2842),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[2][31]  (
+	.CLK(CTS_82),
+	.D(n_2844),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.SCE(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[0]  (
+	.CLK(CTS_91),
+	.D(n_3835),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_2504),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[2]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[3]  (
+	.CLK(CTS_85),
+	.D(n_2477),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[3]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[4]  (
+	.CLK(CTS_85),
+	.D(n_2503),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[4]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_2506),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[5]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[6]  (
+	.CLK(CTS_108),
+	.D(n_2501),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[6]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[7]  (
+	.CLK(CTS_108),
+	.D(n_2505),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[7]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[8]  (
+	.CLK(CTS_108),
+	.D(n_2498),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[8]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q_reg[9]  (
+	.CLK(CTS_85),
+	.D(n_2036),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_stored_addr_q[9]),
+	.SCE(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q_reg (
+	.CLK(CTS_91),
+	.D(n_2991),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_illegal_c_insn_id_o_reg (
+	.CLK(CTS_91),
+	.D(n_61492),
+	.Q(soc_top_u_top_u_core_illegal_c_insn_id),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_if_stage_i_instr_is_compressed_id_o_reg (
+	.CLK(CTS_86),
+	.D(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.Q(soc_top_u_top_u_core_instr_is_compressed_id),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_is_compressed_id),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[0]  (
+	.CLK(CTS_91),
+	.D(n_61527),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[1]  (
+	.CLK(CTS_91),
+	.D(n_61186),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[2]  (
+	.CLK(CTS_91),
+	.D(n_11719),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_10986),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[4]  (
+	.CLK(CTS_91),
+	.D(n_11726),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[5]  (
+	.CLK(CTS_91),
+	.D(n_11636),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[6]  (
+	.CLK(CTS_81),
+	.D(n_11090),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[12]  (
+	.CLK(CTS_91),
+	.D(n_62069),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[13]  (
+	.CLK(CTS_91),
+	.D(n_11622),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[14]  (
+	.CLK(CTS_81),
+	.D(n_67811),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[15]  (
+	.CLK(CTS_81),
+	.D(n_62700),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[16]  (
+	.CLK(CTS_81),
+	.D(n_12149),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[17]  (
+	.CLK(CTS_82),
+	.D(n_12218),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[18]  (
+	.CLK(CTS_82),
+	.D(n_12092),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[19]  (
+	.CLK(CTS_82),
+	.D(n_12028),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[20]  (
+	.CLK(CTS_91),
+	.D(n_11642),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_id[20]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[21]  (
+	.CLK(CTS_81),
+	.D(n_12034),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[22]  (
+	.CLK(CTS_81),
+	.D(n_12094),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[23]  (
+	.CLK(CTS_81),
+	.D(n_12083),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[24]  (
+	.CLK(CTS_82),
+	.D(n_11637),
+	.Q(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[25]  (
+	.CLK(CTS_82),
+	.D(n_12035),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[26]  (
+	.CLK(CTS_81),
+	.D(n_12091),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[27]  (
+	.CLK(CTS_81),
+	.D(n_61543),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[28]  (
+	.CLK(CTS_81),
+	.D(n_11736),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[29]  (
+	.CLK(CTS_81),
+	.D(n_62208),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[30]  (
+	.CLK(CTS_81),
+	.D(n_11546),
+	.Q(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_if_stage_i_instr_rdata_alu_id_o_reg[31]  (
+	.CLK(CTS_81),
+	.D(n_11648),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[0]  (
+	.CLK(CTS_91),
+	.D(n_1955),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[0]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[1]  (
+	.CLK(CTS_91),
+	.D(n_1929),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[1]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[2]  (
+	.CLK(CTS_91),
+	.D(n_5601),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[2]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[3]  (
+	.CLK(CTS_91),
+	.D(n_5609),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[3]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[4]  (
+	.CLK(CTS_91),
+	.D(n_61519),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[4]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[5]  (
+	.CLK(CTS_91),
+	.D(n_5526),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[5]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[6]  (
+	.CLK(CTS_91),
+	.D(n_61513),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[6]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[7]  (
+	.CLK(CTS_91),
+	.D(n_62694),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[7]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[8]  (
+	.CLK(CTS_91),
+	.D(n_5597),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[8]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[9]  (
+	.CLK(CTS_91),
+	.D(n_61618),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[9]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[10]  (
+	.CLK(CTS_91),
+	.D(n_5332),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[10]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[11]  (
+	.CLK(CTS_91),
+	.D(n_5367),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[11]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[12]  (
+	.CLK(CTS_91),
+	.D(n_5324),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[12]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[13]  (
+	.CLK(CTS_91),
+	.D(n_5326),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[13]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[14]  (
+	.CLK(CTS_91),
+	.D(n_5595),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[14]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_c_id_o_reg[15]  (
+	.CLK(CTS_91),
+	.D(n_62693),
+	.Q(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_c_id[15]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[7]  (
+	.CLK(CTS_91),
+	.D(n_11251),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[8]  (
+	.CLK(CTS_81),
+	.D(n_11899),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[9]  (
+	.CLK(CTS_81),
+	.D(n_67807),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[10]  (
+	.CLK(CTS_91),
+	.D(n_11545),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_instr_rdata_id_o_reg[11]  (
+	.CLK(CTS_81),
+	.D(n_12096),
+	.Q(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_if_stage_i_instr_valid_id_q_reg (
+	.CLK(CTS_86),
+	.D(n_66582),
+	.Q(soc_top_u_top_u_core_instr_valid_id),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[1]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_pc_if[1]),
+	.Q(soc_top_u_top_u_core_pc_id[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[1]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[2]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[2]),
+	.Q(soc_top_u_top_u_core_pc_id[2]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[2]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[3]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[3]),
+	.Q(soc_top_u_top_u_core_pc_id[3]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[3]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[4]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[4]),
+	.Q(soc_top_u_top_u_core_pc_id[4]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[4]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[5]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[5]),
+	.Q(soc_top_u_top_u_core_pc_id[5]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[5]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[6]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[6]),
+	.Q(soc_top_u_top_u_core_pc_id[6]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[6]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[7]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[7]),
+	.Q(soc_top_u_top_u_core_pc_id[7]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[7]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[8]  (
+	.CLK(CTS_85),
+	.D(soc_top_u_top_u_core_pc_if[8]),
+	.Q(soc_top_u_top_u_core_pc_id[8]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[8]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[9]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_pc_if[9]),
+	.Q(soc_top_u_top_u_core_pc_id[9]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[9]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[10]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_pc_if[10]),
+	.Q(soc_top_u_top_u_core_pc_id[10]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[10]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[11]  (
+	.CLK(CTS_107),
+	.D(soc_top_u_top_u_core_pc_if[11]),
+	.Q(soc_top_u_top_u_core_pc_id[11]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[11]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[12]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_pc_if[12]),
+	.Q(soc_top_u_top_u_core_pc_id[12]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[12]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[13]  (
+	.CLK(CTS_106),
+	.D(soc_top_u_top_u_core_pc_if[13]),
+	.Q(soc_top_u_top_u_core_pc_id[13]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[13]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[14]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[14]),
+	.Q(soc_top_u_top_u_core_pc_id[14]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[14]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[15]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[15]),
+	.Q(soc_top_u_top_u_core_pc_id[15]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[15]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[16]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[16]),
+	.Q(soc_top_u_top_u_core_pc_id[16]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[16]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[17]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[17]),
+	.Q(soc_top_u_top_u_core_pc_id[17]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[17]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[18]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_pc_if[18]),
+	.Q(soc_top_u_top_u_core_pc_id[18]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[18]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[19]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_pc_if[19]),
+	.Q(soc_top_u_top_u_core_pc_id[19]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[19]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[20]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_pc_if[20]),
+	.Q(soc_top_u_top_u_core_pc_id[20]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[20]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[21]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_pc_if[21]),
+	.Q(soc_top_u_top_u_core_pc_id[21]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[21]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[22]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[22]),
+	.Q(soc_top_u_top_u_core_pc_id[22]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[22]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[23]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[23]),
+	.Q(soc_top_u_top_u_core_pc_id[23]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[23]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[24]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[24]),
+	.Q(soc_top_u_top_u_core_pc_id[24]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[24]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[25]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[25]),
+	.Q(soc_top_u_top_u_core_pc_id[25]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[25]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[26]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[26]),
+	.Q(soc_top_u_top_u_core_pc_id[26]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[26]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[27]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_pc_if[27]),
+	.Q(soc_top_u_top_u_core_pc_id[27]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[27]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[28]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_pc_if[28]),
+	.Q(soc_top_u_top_u_core_pc_id[28]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[28]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[29]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[29]),
+	.Q(soc_top_u_top_u_core_pc_id[29]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[29]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[30]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[30]),
+	.Q(soc_top_u_top_u_core_pc_id[30]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[30]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_if_stage_i_pc_id_o_reg[31]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_pc_if[31]),
+	.Q(soc_top_u_top_u_core_pc_id[31]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_pc_id[31]),
+	.SCE(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[0]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[1]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[2]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [2]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[3]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [3]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[4]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [4]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[5]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.RESET_B(n_544),
+	.SCD(n_33203),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[6]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [6]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[7]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [7]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[8]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_address] [8]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.RESET_B(n_544),
+	.SCD(n_33202),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [10]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[11]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [11]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[12]  (
+	.CLK(CTS_79),
+	.D(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [12]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[13]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [13]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[14]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[14]),
+	.RESET_B(n_544),
+	.SCD(n_33201),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[15]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.RESET_B(n_544),
+	.SCD(n_61692),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[16]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [16]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[17]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.RESET_B(n_544),
+	.SCD(n_67809),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[18]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[18]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [18]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.RESET_B(n_544),
+	.SCD(n_63618),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[20]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[20]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [20]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[21]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[21]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [21]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [22]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[23]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [23]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[24]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [24]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[25]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [25]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[26]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[26]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [26]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[27]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [27]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[28]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [28]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[29]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [29]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[30]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[30]),
+	.RESET_B(n_544),
+	.SCD(n_64726),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_addr_last_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.Q(soc_top_u_top_u_core_lsu_addr_last[31]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_lsu_to_xbar[a_address] [31]),
+	.SCE(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q_reg (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_sign_ext_q),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_lsu_sign_ext),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[0]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_lsu_type[0]),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_load_store_unit_i_data_type_q_reg[1]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_lsu_type[1]),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_top_u_core_load_store_unit_i_data_we_q_reg (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_data_we),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q_reg (
+	.CLK(CTS_114),
+	.D(n_5765),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[0]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_n_937),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[1]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_n_936),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs_reg[2]  (
+	.CLK(CTS_104),
+	.D(soc_top_u_top_u_core_load_store_unit_i_n_935),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_top_u_core_load_store_unit_i_lsu_err_q_reg (
+	.CLK(CTS_104),
+	.D(n_5038),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[0]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q_reg[1]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.SCE(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[8]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[8]),
+	.RESET_B(n_544),
+	.SCD(n_1099),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[9]  (
+	.CLK(CTS_114),
+	.D(n_5107),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[10]  (
+	.CLK(CTS_114),
+	.D(n_5039),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[11]  (
+	.CLK(CTS_114),
+	.D(n_5040),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[12]  (
+	.CLK(CTS_114),
+	.D(n_5041),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[13]  (
+	.CLK(CTS_114),
+	.D(n_5042),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[14]  (
+	.CLK(CTS_114),
+	.D(n_5043),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[15]  (
+	.CLK(CTS_114),
+	.D(n_39693),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[16]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.RESET_B(n_544),
+	.SCD(n_1100),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[17]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[17]),
+	.RESET_B(n_544),
+	.SCD(n_40133),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[18]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[18]),
+	.RESET_B(n_544),
+	.SCD(n_56316),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[19]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.RESET_B(n_544),
+	.SCD(n_535),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[20]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[20]),
+	.RESET_B(n_544),
+	.SCD(n_56294),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[21]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[21]),
+	.RESET_B(n_544),
+	.SCD(n_39708),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[22]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.RESET_B(n_544),
+	.SCD(n_36877),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[23]  (
+	.CLK(CTS_114),
+	.D(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.RESET_B(n_544),
+	.SCD(n_537),
+	.SCE(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[24]  (
+	.CLK(CTS_114),
+	.D(n_5046),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[25]  (
+	.CLK(CTS_114),
+	.D(n_5047),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[26]  (
+	.CLK(CTS_114),
+	.D(n_5048),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[27]  (
+	.CLK(CTS_114),
+	.D(n_5049),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[28]  (
+	.CLK(CTS_114),
+	.D(n_5050),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[29]  (
+	.CLK(CTS_114),
+	.D(n_5051),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[30]  (
+	.CLK(CTS_114),
+	.D(n_5057),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_load_store_unit_i_rdata_q_reg[31]  (
+	.CLK(CTS_114),
+	.D(n_5063),
+	.Q(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q_reg  (
+	.CLK(CTS_86),
+	.D(n_3062),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q_reg  (
+	.CLK(CTS_86),
+	.D(n_3063),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[0]  (
+	.CLK(CTS_81),
+	.D(n_3031),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[1]  (
+	.CLK(CTS_81),
+	.D(n_3061),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_4 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[2]  (
+	.CLK(CTS_81),
+	.D(n_3032),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[4]  (
+	.CLK(CTS_81),
+	.D(n_3058),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[0]  (
+	.CLK(CTS_105),
+	.D(n_12507),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[1]  (
+	.CLK(CTS_105),
+	.D(n_12516),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[2]  (
+	.CLK(CTS_102),
+	.D(n_30704),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[3]  (
+	.CLK(CTS_102),
+	.D(n_62750),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[4]  (
+	.CLK(CTS_102),
+	.D(n_30702),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[5]  (
+	.CLK(CTS_102),
+	.D(n_12554),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[6]  (
+	.CLK(CTS_102),
+	.D(n_12562),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[7]  (
+	.CLK(CTS_102),
+	.D(n_12571),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[8]  (
+	.CLK(CTS_102),
+	.D(n_12580),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[9]  (
+	.CLK(CTS_102),
+	.D(n_12587),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[10]  (
+	.CLK(CTS_102),
+	.D(n_12595),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[11]  (
+	.CLK(CTS_102),
+	.D(n_12603),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[12]  (
+	.CLK(CTS_102),
+	.D(n_12611),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[13]  (
+	.CLK(CTS_102),
+	.D(n_12619),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[14]  (
+	.CLK(CTS_102),
+	.D(n_12627),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[15]  (
+	.CLK(CTS_102),
+	.D(n_12631),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[16]  (
+	.CLK(CTS_102),
+	.D(n_12642),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[17]  (
+	.CLK(CTS_102),
+	.D(n_12650),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[18]  (
+	.CLK(CTS_102),
+	.D(n_12658),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[19]  (
+	.CLK(CTS_102),
+	.D(n_12668),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[20]  (
+	.CLK(CTS_102),
+	.D(n_12667),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[21]  (
+	.CLK(CTS_102),
+	.D(n_12689),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[22]  (
+	.CLK(CTS_102),
+	.D(n_12681),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[23]  (
+	.CLK(CTS_102),
+	.D(n_12682),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[24]  (
+	.CLK(CTS_102),
+	.D(n_12708),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[25]  (
+	.CLK(CTS_102),
+	.D(n_12717),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[26]  (
+	.CLK(CTS_105),
+	.D(n_12727),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[27]  (
+	.CLK(CTS_102),
+	.D(n_12735),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[28]  (
+	.CLK(CTS_102),
+	.D(n_12743),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[29]  (
+	.CLK(CTS_102),
+	.D(n_12747),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[30]  (
+	.CLK(CTS_102),
+	.D(n_62325),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q_reg  (
+	.CLK(CTS_86),
+	.D(n_5027),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q_reg  (
+	.CLK(CTS_86),
+	.D(n_4114),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[0]  (
+	.CLK(CTS_86),
+	.D(n_2731),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q_reg[1]  (
+	.CLK(CTS_86),
+	.D(n_2732),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_3030),
+	.Q(soc_top_u_top_u_core_pc_wb[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[2]  (
+	.CLK(CTS_85),
+	.D(n_3033),
+	.Q(soc_top_u_top_u_core_pc_wb[2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[3]  (
+	.CLK(CTS_85),
+	.D(n_3034),
+	.Q(soc_top_u_top_u_core_pc_wb[3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[4]  (
+	.CLK(CTS_85),
+	.D(n_3049),
+	.Q(soc_top_u_top_u_core_pc_wb[4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_3028),
+	.Q(soc_top_u_top_u_core_pc_wb[5]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[6]  (
+	.CLK(CTS_85),
+	.D(n_3035),
+	.Q(soc_top_u_top_u_core_pc_wb[6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[7]  (
+	.CLK(CTS_85),
+	.D(n_3027),
+	.Q(soc_top_u_top_u_core_pc_wb[7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[8]  (
+	.CLK(CTS_85),
+	.D(n_3029),
+	.Q(soc_top_u_top_u_core_pc_wb[8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[9]  (
+	.CLK(CTS_107),
+	.D(n_3064),
+	.Q(soc_top_u_top_u_core_pc_wb[9]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[10]  (
+	.CLK(CTS_107),
+	.D(n_3057),
+	.Q(soc_top_u_top_u_core_pc_wb[10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_3046),
+	.Q(soc_top_u_top_u_core_pc_wb[11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(n_3036),
+	.Q(soc_top_u_top_u_core_pc_wb[12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[13]  (
+	.CLK(CTS_106),
+	.D(n_3056),
+	.Q(soc_top_u_top_u_core_pc_wb[13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[14]  (
+	.CLK(CTS_99),
+	.D(n_3054),
+	.Q(soc_top_u_top_u_core_pc_wb[14]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[15]  (
+	.CLK(CTS_99),
+	.D(n_3045),
+	.Q(soc_top_u_top_u_core_pc_wb[15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[16]  (
+	.CLK(CTS_99),
+	.D(n_3037),
+	.Q(soc_top_u_top_u_core_pc_wb[16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[17]  (
+	.CLK(CTS_99),
+	.D(n_3055),
+	.Q(soc_top_u_top_u_core_pc_wb[17]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[18]  (
+	.CLK(CTS_97),
+	.D(n_3038),
+	.Q(soc_top_u_top_u_core_pc_wb[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_3053),
+	.Q(soc_top_u_top_u_core_pc_wb[19]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[20]  (
+	.CLK(CTS_97),
+	.D(n_3039),
+	.Q(soc_top_u_top_u_core_pc_wb[20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_3052),
+	.Q(soc_top_u_top_u_core_pc_wb[21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(n_3040),
+	.Q(soc_top_u_top_u_core_pc_wb[22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[23]  (
+	.CLK(CTS_104),
+	.D(n_3060),
+	.Q(soc_top_u_top_u_core_pc_wb[23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(n_3051),
+	.Q(soc_top_u_top_u_core_pc_wb[24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(n_3041),
+	.Q(soc_top_u_top_u_core_pc_wb[25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[26]  (
+	.CLK(CTS_104),
+	.D(n_3042),
+	.Q(soc_top_u_top_u_core_pc_wb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[27]  (
+	.CLK(CTS_97),
+	.D(n_3050),
+	.Q(soc_top_u_top_u_core_pc_wb[27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[28]  (
+	.CLK(CTS_104),
+	.D(n_3043),
+	.Q(soc_top_u_top_u_core_pc_wb[28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[29]  (
+	.CLK(CTS_97),
+	.D(n_3047),
+	.Q(soc_top_u_top_u_core_pc_wb[29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[30]  (
+	.CLK(CTS_97),
+	.D(n_3048),
+	.Q(soc_top_u_top_u_core_pc_wb[30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxtp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_pc_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_3044),
+	.Q(soc_top_u_top_u_core_pc_wb[31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_2 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q_reg  (
+	.CLK(CTS_86),
+	.D(n_1213),
+	.Q(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_reg_if_outstanding_reg (
+	.CLK(CTS_55),
+	.D(n_28),
+	.Q(\soc_top_uart_to_xbar[d_valid] ),
+	.RESET_B(n_544),
+	.SCD(\soc_top_uart_to_xbar[d_valid] ),
+	.SCE(n_1124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[0]  (
+	.CLK(CTS_57),
+	.D(n_11633),
+	.Q(\soc_top_uart_to_xbar[d_data] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[1]  (
+	.CLK(CTS_22),
+	.D(n_11668),
+	.Q(\soc_top_uart_to_xbar[d_data] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[2]  (
+	.CLK(CTS_22),
+	.D(n_11625),
+	.Q(\soc_top_uart_to_xbar[d_data] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[3]  (
+	.CLK(CTS_24),
+	.D(n_11626),
+	.Q(\soc_top_uart_to_xbar[d_data] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[4]  (
+	.CLK(CTS_24),
+	.D(n_11627),
+	.Q(\soc_top_uart_to_xbar[d_data] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[5]  (
+	.CLK(CTS_22),
+	.D(n_11628),
+	.Q(\soc_top_uart_to_xbar[d_data] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[6]  (
+	.CLK(CTS_22),
+	.D(n_11629),
+	.Q(\soc_top_uart_to_xbar[d_data] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[7]  (
+	.CLK(CTS_24),
+	.D(n_11632),
+	.Q(\soc_top_uart_to_xbar[d_data] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rdata_reg[8]  (
+	.CLK(CTS_57),
+	.D(n_5076),
+	.Q(\soc_top_uart_to_xbar[d_data] [8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_reg_if_rspop_reg[0]  (
+	.CLK(CTS_55),
+	.D(n_2080),
+	.Q(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[0]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[0]),
+	.Q(soc_top_u_uart_u_uart_core_control[0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [0]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[1]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[1]),
+	.Q(soc_top_u_uart_u_uart_core_control[1]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [1]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[2]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[2]),
+	.Q(soc_top_u_uart_u_uart_core_control[2]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [2]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[3]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[3]),
+	.Q(soc_top_u_uart_u_uart_core_control[3]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [3]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[4]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[4]),
+	.Q(soc_top_u_uart_u_uart_core_control[4]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [4]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[5]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[5]),
+	.Q(soc_top_u_uart_u_uart_core_control[5]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [5]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[6]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[6]),
+	.Q(soc_top_u_uart_u_uart_core_control[6]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [6]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[7]  (
+	.CLK(CTS_58),
+	.D(soc_top_u_uart_u_uart_core_control[7]),
+	.Q(soc_top_u_uart_u_uart_core_control[7]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [7]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[8]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[8]),
+	.Q(soc_top_u_uart_u_uart_core_control[8]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [8]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[9]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[9]),
+	.Q(soc_top_u_uart_u_uart_core_control[9]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [9]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[10]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[10]),
+	.Q(soc_top_u_uart_u_uart_core_control[10]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [10]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[11]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[11]),
+	.Q(soc_top_u_uart_u_uart_core_control[11]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [11]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[12]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[12]),
+	.Q(soc_top_u_uart_u_uart_core_control[12]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [12]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[13]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[13]),
+	.Q(soc_top_u_uart_u_uart_core_control[13]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [13]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[14]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[14]),
+	.Q(soc_top_u_uart_u_uart_core_control[14]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [14]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_control_reg[15]  (
+	.CLK(CTS_62),
+	.D(soc_top_u_uart_u_uart_core_control[15]),
+	.Q(soc_top_u_uart_u_uart_core_control[15]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_xbar_to_timer[a_data] [15]),
+	.SCE(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_intr_tx_reg (
+	.CLK(CTS_60),
+	.D(n_1191),
+	.Q(soc_top_intr_u_tx),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_read_fifo_buffer_empty_reg (
+	.CLK(CTS_57),
+	.D(n_33),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.SCE(n_7877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_read_fifo_buffer_full_reg (
+	.CLK(CTS_22),
+	.D(n_4597),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_buffer_full_217),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_6029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][1]  (
+	.CLK(CTS_38),
+	.D(n_8015),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][2]  (
+	.CLK(CTS_38),
+	.D(n_6894),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][3]  (
+	.CLK(CTS_38),
+	.D(n_8014),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][4]  (
+	.CLK(CTS_39),
+	.D(n_8013),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][5]  (
+	.CLK(CTS_38),
+	.D(n_6895),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][6]  (
+	.CLK(CTS_38),
+	.D(n_6896),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[0][7]  (
+	.CLK(CTS_38),
+	.D(n_8012),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][1]  (
+	.CLK(CTS_38),
+	.D(n_8011),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][2]  (
+	.CLK(CTS_39),
+	.D(n_8010),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][3]  (
+	.CLK(CTS_38),
+	.D(n_8009),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][4]  (
+	.CLK(CTS_38),
+	.D(n_8008),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][5]  (
+	.CLK(CTS_38),
+	.D(n_8007),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][6]  (
+	.CLK(CTS_39),
+	.D(n_8006),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[1][7]  (
+	.CLK(CTS_38),
+	.D(n_8005),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][1]  (
+	.CLK(CTS_37),
+	.D(n_6897),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][2]  (
+	.CLK(CTS_36),
+	.D(n_8004),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][3]  (
+	.CLK(CTS_36),
+	.D(n_8003),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][4]  (
+	.CLK(CTS_38),
+	.D(n_6898),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][5]  (
+	.CLK(CTS_38),
+	.D(n_6899),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][6]  (
+	.CLK(CTS_38),
+	.D(n_8002),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[2][7]  (
+	.CLK(CTS_37),
+	.D(n_6900),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][1]  (
+	.CLK(CTS_38),
+	.D(n_8000),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][2]  (
+	.CLK(CTS_36),
+	.D(n_7999),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][3]  (
+	.CLK(CTS_38),
+	.D(n_6904),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][4]  (
+	.CLK(CTS_38),
+	.D(n_7998),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][5]  (
+	.CLK(CTS_38),
+	.D(n_7997),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][6]  (
+	.CLK(CTS_38),
+	.D(n_6907),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[3][7]  (
+	.CLK(CTS_37),
+	.D(n_6908),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][0]  (
+	.CLK(CTS_36),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][1]  (
+	.CLK(CTS_38),
+	.D(n_6909),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][2]  (
+	.CLK(CTS_36),
+	.D(n_6913),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][3]  (
+	.CLK(CTS_38),
+	.D(n_6910),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][4]  (
+	.CLK(CTS_38),
+	.D(n_7995),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][5]  (
+	.CLK(CTS_38),
+	.D(n_6915),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][6]  (
+	.CLK(CTS_38),
+	.D(n_6918),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[4][7]  (
+	.CLK(CTS_38),
+	.D(n_6917),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][0]  (
+	.CLK(CTS_36),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][1]  (
+	.CLK(CTS_38),
+	.D(n_6921),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][2]  (
+	.CLK(CTS_36),
+	.D(n_7993),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][3]  (
+	.CLK(CTS_38),
+	.D(n_7992),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][4]  (
+	.CLK(CTS_38),
+	.D(n_7991),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][5]  (
+	.CLK(CTS_38),
+	.D(n_6926),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][6]  (
+	.CLK(CTS_38),
+	.D(n_6924),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[5][7]  (
+	.CLK(CTS_38),
+	.D(n_7990),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][0]  (
+	.CLK(CTS_36),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][1]  (
+	.CLK(CTS_36),
+	.D(n_6929),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][2]  (
+	.CLK(CTS_38),
+	.D(n_7989),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][3]  (
+	.CLK(CTS_38),
+	.D(n_6930),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][4]  (
+	.CLK(CTS_38),
+	.D(n_6934),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][5]  (
+	.CLK(CTS_38),
+	.D(n_6949),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][6]  (
+	.CLK(CTS_38),
+	.D(n_6935),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[6][7]  (
+	.CLK(CTS_36),
+	.D(n_7987),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][0]  (
+	.CLK(CTS_36),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][1]  (
+	.CLK(CTS_38),
+	.D(n_7986),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][2]  (
+	.CLK(CTS_39),
+	.D(n_7985),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][3]  (
+	.CLK(CTS_38),
+	.D(n_7983),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][4]  (
+	.CLK(CTS_38),
+	.D(n_7982),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][5]  (
+	.CLK(CTS_38),
+	.D(n_7981),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][6]  (
+	.CLK(CTS_39),
+	.D(n_7980),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[7][7]  (
+	.CLK(CTS_38),
+	.D(n_7979),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][1]  (
+	.CLK(CTS_29),
+	.D(n_6941),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][2]  (
+	.CLK(CTS_38),
+	.D(n_7978),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][3]  (
+	.CLK(CTS_29),
+	.D(n_6942),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][4]  (
+	.CLK(CTS_38),
+	.D(n_6946),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][5]  (
+	.CLK(CTS_29),
+	.D(n_6944),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][6]  (
+	.CLK(CTS_36),
+	.D(n_7977),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[8][7]  (
+	.CLK(CTS_29),
+	.D(n_6945),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][1]  (
+	.CLK(CTS_29),
+	.D(n_7976),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][2]  (
+	.CLK(CTS_29),
+	.D(n_6947),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][3]  (
+	.CLK(CTS_29),
+	.D(n_6950),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][4]  (
+	.CLK(CTS_29),
+	.D(n_6948),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][5]  (
+	.CLK(CTS_29),
+	.D(n_7975),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][6]  (
+	.CLK(CTS_29),
+	.D(n_7974),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[9][7]  (
+	.CLK(CTS_29),
+	.D(n_7973),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][0]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][1]  (
+	.CLK(CTS_29),
+	.D(n_7972),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][2]  (
+	.CLK(CTS_29),
+	.D(n_6956),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][3]  (
+	.CLK(CTS_29),
+	.D(n_6951),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][4]  (
+	.CLK(CTS_29),
+	.D(n_7970),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][5]  (
+	.CLK(CTS_29),
+	.D(n_6952),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][6]  (
+	.CLK(CTS_29),
+	.D(n_7969),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[10][7]  (
+	.CLK(CTS_29),
+	.D(n_6953),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][0]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][1]  (
+	.CLK(CTS_29),
+	.D(n_7968),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][2]  (
+	.CLK(CTS_29),
+	.D(n_7967),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][3]  (
+	.CLK(CTS_29),
+	.D(n_7966),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][4]  (
+	.CLK(CTS_29),
+	.D(n_7965),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][5]  (
+	.CLK(CTS_29),
+	.D(n_7964),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][6]  (
+	.CLK(CTS_29),
+	.D(n_7963),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[11][7]  (
+	.CLK(CTS_29),
+	.D(n_7962),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][1]  (
+	.CLK(CTS_37),
+	.D(n_6961),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][2]  (
+	.CLK(CTS_38),
+	.D(n_6963),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][3]  (
+	.CLK(CTS_38),
+	.D(n_7960),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][4]  (
+	.CLK(CTS_37),
+	.D(n_6973),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][5]  (
+	.CLK(CTS_38),
+	.D(n_7959),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][6]  (
+	.CLK(CTS_38),
+	.D(n_6985),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[12][7]  (
+	.CLK(CTS_38),
+	.D(n_7958),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][1]  (
+	.CLK(CTS_37),
+	.D(n_6999),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][2]  (
+	.CLK(CTS_38),
+	.D(n_7957),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][3]  (
+	.CLK(CTS_38),
+	.D(n_7028),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][4]  (
+	.CLK(CTS_37),
+	.D(n_7956),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][5]  (
+	.CLK(CTS_38),
+	.D(n_7064),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][6]  (
+	.CLK(CTS_38),
+	.D(n_7955),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[13][7]  (
+	.CLK(CTS_38),
+	.D(n_7057),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][0]  (
+	.CLK(CTS_38),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][1]  (
+	.CLK(CTS_38),
+	.D(n_7099),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][2]  (
+	.CLK(CTS_36),
+	.D(n_7954),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][3]  (
+	.CLK(CTS_36),
+	.D(n_7953),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][4]  (
+	.CLK(CTS_38),
+	.D(n_7114),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][5]  (
+	.CLK(CTS_38),
+	.D(n_7952),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][6]  (
+	.CLK(CTS_36),
+	.D(n_7126),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[14][7]  (
+	.CLK(CTS_38),
+	.D(n_7951),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][0]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][1]  (
+	.CLK(CTS_38),
+	.D(n_7143),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][2]  (
+	.CLK(CTS_29),
+	.D(n_7157),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][3]  (
+	.CLK(CTS_29),
+	.D(n_7154),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][4]  (
+	.CLK(CTS_29),
+	.D(n_7172),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][5]  (
+	.CLK(CTS_29),
+	.D(n_7163),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][6]  (
+	.CLK(CTS_29),
+	.D(n_7178),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[15][7]  (
+	.CLK(CTS_29),
+	.D(n_7175),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
+	.SCE(n_5483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][1]  (
+	.CLK(CTS_37),
+	.D(n_7202),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][2]  (
+	.CLK(CTS_37),
+	.D(n_7950),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][3]  (
+	.CLK(CTS_37),
+	.D(n_7949),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][4]  (
+	.CLK(CTS_37),
+	.D(n_7214),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][5]  (
+	.CLK(CTS_37),
+	.D(n_7354),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][6]  (
+	.CLK(CTS_37),
+	.D(n_7948),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[16][7]  (
+	.CLK(CTS_37),
+	.D(n_7238),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
+	.SCE(n_5437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][1]  (
+	.CLK(CTS_37),
+	.D(n_7946),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][2]  (
+	.CLK(CTS_37),
+	.D(n_7944),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][3]  (
+	.CLK(CTS_37),
+	.D(n_7943),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][4]  (
+	.CLK(CTS_37),
+	.D(n_7942),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][5]  (
+	.CLK(CTS_37),
+	.D(n_7941),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][6]  (
+	.CLK(CTS_37),
+	.D(n_7940),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[17][7]  (
+	.CLK(CTS_37),
+	.D(n_7939),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
+	.SCE(n_5443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][1]  (
+	.CLK(CTS_37),
+	.D(n_7295),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][2]  (
+	.CLK(CTS_37),
+	.D(n_7938),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][3]  (
+	.CLK(CTS_37),
+	.D(n_7308),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][4]  (
+	.CLK(CTS_37),
+	.D(n_7327),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][5]  (
+	.CLK(CTS_37),
+	.D(n_7937),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][6]  (
+	.CLK(CTS_37),
+	.D(n_7341),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[18][7]  (
+	.CLK(CTS_37),
+	.D(n_7333),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
+	.SCE(n_5435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][1]  (
+	.CLK(CTS_37),
+	.D(n_7936),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][2]  (
+	.CLK(CTS_37),
+	.D(n_7934),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][3]  (
+	.CLK(CTS_37),
+	.D(n_7933),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][4]  (
+	.CLK(CTS_37),
+	.D(n_7932),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][5]  (
+	.CLK(CTS_37),
+	.D(n_7931),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][6]  (
+	.CLK(CTS_37),
+	.D(n_7930),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[19][7]  (
+	.CLK(CTS_37),
+	.D(n_7929),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][0]  (
+	.CLK(CTS_37),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][1]  (
+	.CLK(CTS_39),
+	.D(n_7393),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][2]  (
+	.CLK(CTS_39),
+	.D(n_7449),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][3]  (
+	.CLK(CTS_37),
+	.D(n_7927),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][4]  (
+	.CLK(CTS_37),
+	.D(n_7926),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][5]  (
+	.CLK(CTS_37),
+	.D(n_7417),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][6]  (
+	.CLK(CTS_37),
+	.D(n_7925),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[20][7]  (
+	.CLK(CTS_37),
+	.D(n_7924),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
+	.SCE(n_5513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][1]  (
+	.CLK(CTS_37),
+	.D(n_7922),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][2]  (
+	.CLK(CTS_39),
+	.D(n_7440),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][3]  (
+	.CLK(CTS_37),
+	.D(n_7921),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][4]  (
+	.CLK(CTS_37),
+	.D(n_7919),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][5]  (
+	.CLK(CTS_37),
+	.D(n_7918),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][6]  (
+	.CLK(CTS_37),
+	.D(n_7448),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[21][7]  (
+	.CLK(CTS_37),
+	.D(n_7916),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
+	.SCE(n_5516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][1]  (
+	.CLK(CTS_37),
+	.D(n_7544),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][2]  (
+	.CLK(CTS_37),
+	.D(n_7915),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][3]  (
+	.CLK(CTS_37),
+	.D(n_7913),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][4]  (
+	.CLK(CTS_37),
+	.D(n_7912),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][5]  (
+	.CLK(CTS_37),
+	.D(n_7485),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][6]  (
+	.CLK(CTS_37),
+	.D(n_7910),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[22][7]  (
+	.CLK(CTS_37),
+	.D(n_7909),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
+	.SCE(n_5470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][1]  (
+	.CLK(CTS_39),
+	.D(n_7520),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][2]  (
+	.CLK(CTS_37),
+	.D(n_7908),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][3]  (
+	.CLK(CTS_37),
+	.D(n_7907),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][4]  (
+	.CLK(CTS_37),
+	.D(n_7905),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][5]  (
+	.CLK(CTS_37),
+	.D(n_7543),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][6]  (
+	.CLK(CTS_37),
+	.D(n_7904),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[23][7]  (
+	.CLK(CTS_37),
+	.D(n_7903),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
+	.SCE(n_5455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][1]  (
+	.CLK(CTS_39),
+	.D(n_7902),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][2]  (
+	.CLK(CTS_39),
+	.D(n_7901),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][3]  (
+	.CLK(CTS_39),
+	.D(n_7559),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][4]  (
+	.CLK(CTS_39),
+	.D(n_7900),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][5]  (
+	.CLK(CTS_39),
+	.D(n_7899),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][6]  (
+	.CLK(CTS_39),
+	.D(n_7898),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[24][7]  (
+	.CLK(CTS_39),
+	.D(n_7579),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
+	.SCE(n_5419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][1]  (
+	.CLK(CTS_39),
+	.D(n_7896),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][2]  (
+	.CLK(CTS_39),
+	.D(n_7894),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][3]  (
+	.CLK(CTS_39),
+	.D(n_7893),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][4]  (
+	.CLK(CTS_39),
+	.D(n_7892),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][5]  (
+	.CLK(CTS_39),
+	.D(n_7891),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][6]  (
+	.CLK(CTS_39),
+	.D(n_7889),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[25][7]  (
+	.CLK(CTS_39),
+	.D(n_7888),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
+	.SCE(n_5418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][1]  (
+	.CLK(CTS_39),
+	.D(n_7629),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][2]  (
+	.CLK(CTS_39),
+	.D(n_7636),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][3]  (
+	.CLK(CTS_39),
+	.D(n_7885),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][4]  (
+	.CLK(CTS_39),
+	.D(n_7644),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][5]  (
+	.CLK(CTS_39),
+	.D(n_7651),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][6]  (
+	.CLK(CTS_39),
+	.D(n_7656),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[26][7]  (
+	.CLK(CTS_37),
+	.D(n_7883),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
+	.SCE(n_5411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][1]  (
+	.CLK(CTS_39),
+	.D(n_7882),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][2]  (
+	.CLK(CTS_37),
+	.D(n_7881),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][3]  (
+	.CLK(CTS_39),
+	.D(n_7880),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][4]  (
+	.CLK(CTS_39),
+	.D(n_7879),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][5]  (
+	.CLK(CTS_39),
+	.D(n_8374),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][6]  (
+	.CLK(CTS_39),
+	.D(n_8375),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[27][7]  (
+	.CLK(CTS_37),
+	.D(n_8377),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
+	.SCE(n_5485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][1]  (
+	.CLK(CTS_39),
+	.D(n_8382),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][2]  (
+	.CLK(CTS_39),
+	.D(n_8383),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][3]  (
+	.CLK(CTS_39),
+	.D(n_7684),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][4]  (
+	.CLK(CTS_37),
+	.D(n_8407),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][5]  (
+	.CLK(CTS_39),
+	.D(n_7693),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][6]  (
+	.CLK(CTS_39),
+	.D(n_7720),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[28][7]  (
+	.CLK(CTS_39),
+	.D(n_7704),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
+	.SCE(n_5476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][1]  (
+	.CLK(CTS_39),
+	.D(n_8409),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][2]  (
+	.CLK(CTS_39),
+	.D(n_8533),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][3]  (
+	.CLK(CTS_39),
+	.D(n_8537),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][4]  (
+	.CLK(CTS_37),
+	.D(n_7740),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][5]  (
+	.CLK(CTS_39),
+	.D(n_8543),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][6]  (
+	.CLK(CTS_39),
+	.D(n_8544),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[29][7]  (
+	.CLK(CTS_35),
+	.D(n_8545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
+	.SCE(n_5482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][1]  (
+	.CLK(CTS_39),
+	.D(n_7768),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][2]  (
+	.CLK(CTS_39),
+	.D(n_8546),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][3]  (
+	.CLK(CTS_39),
+	.D(n_8547),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][4]  (
+	.CLK(CTS_39),
+	.D(n_7774),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][5]  (
+	.CLK(CTS_39),
+	.D(n_7777),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][6]  (
+	.CLK(CTS_39),
+	.D(n_7778),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[30][7]  (
+	.CLK(CTS_39),
+	.D(n_8549),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][0]  (
+	.CLK(CTS_39),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
+	.SCE(n_5941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][1]  (
+	.CLK(CTS_39),
+	.D(n_8550),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][2]  (
+	.CLK(CTS_39),
+	.D(n_8551),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][3]  (
+	.CLK(CTS_39),
+	.D(n_8553),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][4]  (
+	.CLK(CTS_39),
+	.D(n_8554),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][5]  (
+	.CLK(CTS_39),
+	.D(n_8555),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][6]  (
+	.CLK(CTS_39),
+	.D(n_8556),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[31][7]  (
+	.CLK(CTS_39),
+	.D(n_8558),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
+	.SCE(n_5484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][1]  (
+	.CLK(CTS_29),
+	.D(n_8559),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][2]  (
+	.CLK(CTS_41),
+	.D(n_8560),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][3]  (
+	.CLK(CTS_41),
+	.D(n_8561),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][4]  (
+	.CLK(CTS_41),
+	.D(n_8562),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][5]  (
+	.CLK(CTS_29),
+	.D(n_8563),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][6]  (
+	.CLK(CTS_29),
+	.D(n_8564),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[32][7]  (
+	.CLK(CTS_29),
+	.D(n_8566),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
+	.SCE(n_5438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][1]  (
+	.CLK(CTS_29),
+	.D(n_8568),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][2]  (
+	.CLK(CTS_41),
+	.D(n_7847),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][3]  (
+	.CLK(CTS_41),
+	.D(n_8569),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][4]  (
+	.CLK(CTS_29),
+	.D(n_8571),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][5]  (
+	.CLK(CTS_29),
+	.D(n_8572),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][6]  (
+	.CLK(CTS_29),
+	.D(n_7867),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[33][7]  (
+	.CLK(CTS_29),
+	.D(n_8573),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
+	.SCE(n_5442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][1]  (
+	.CLK(CTS_29),
+	.D(n_8795),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][2]  (
+	.CLK(CTS_41),
+	.D(n_8574),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][3]  (
+	.CLK(CTS_41),
+	.D(n_8575),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][4]  (
+	.CLK(CTS_41),
+	.D(n_8786),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][5]  (
+	.CLK(CTS_29),
+	.D(n_8577),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][6]  (
+	.CLK(CTS_41),
+	.D(n_8775),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[34][7]  (
+	.CLK(CTS_29),
+	.D(n_8770),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
+	.SCE(n_5434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][1]  (
+	.CLK(CTS_29),
+	.D(n_8761),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][2]  (
+	.CLK(CTS_41),
+	.D(n_8578),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][3]  (
+	.CLK(CTS_41),
+	.D(n_8579),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][4]  (
+	.CLK(CTS_41),
+	.D(n_8580),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][5]  (
+	.CLK(CTS_41),
+	.D(n_8581),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][6]  (
+	.CLK(CTS_41),
+	.D(n_8582),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[35][7]  (
+	.CLK(CTS_29),
+	.D(n_8584),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
+	.SCE(n_5535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][1]  (
+	.CLK(CTS_41),
+	.D(n_8738),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][2]  (
+	.CLK(CTS_41),
+	.D(n_8586),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][3]  (
+	.CLK(CTS_41),
+	.D(n_8723),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][4]  (
+	.CLK(CTS_41),
+	.D(n_8727),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][5]  (
+	.CLK(CTS_41),
+	.D(n_8588),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][6]  (
+	.CLK(CTS_41),
+	.D(n_8719),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[36][7]  (
+	.CLK(CTS_29),
+	.D(n_8590),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
+	.SCE(n_5514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][1]  (
+	.CLK(CTS_29),
+	.D(n_8591),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][2]  (
+	.CLK(CTS_41),
+	.D(n_8697),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][3]  (
+	.CLK(CTS_41),
+	.D(n_8595),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][4]  (
+	.CLK(CTS_41),
+	.D(n_8596),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][5]  (
+	.CLK(CTS_41),
+	.D(n_8597),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][6]  (
+	.CLK(CTS_41),
+	.D(n_8608),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[37][7]  (
+	.CLK(CTS_29),
+	.D(n_8598),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][0]  (
+	.CLK(CTS_41),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
+	.SCE(n_5515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][1]  (
+	.CLK(CTS_41),
+	.D(n_8600),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][2]  (
+	.CLK(CTS_41),
+	.D(n_8589),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][3]  (
+	.CLK(CTS_41),
+	.D(n_8592),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][4]  (
+	.CLK(CTS_41),
+	.D(n_8599),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][5]  (
+	.CLK(CTS_41),
+	.D(n_7945),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][6]  (
+	.CLK(CTS_29),
+	.D(n_8565),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[38][7]  (
+	.CLK(CTS_29),
+	.D(n_8570),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
+	.SCE(n_5469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][1]  (
+	.CLK(CTS_29),
+	.D(n_8552),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][2]  (
+	.CLK(CTS_41),
+	.D(n_8601),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][3]  (
+	.CLK(CTS_41),
+	.D(n_8539),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][4]  (
+	.CLK(CTS_41),
+	.D(n_8603),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][5]  (
+	.CLK(CTS_29),
+	.D(n_7906),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][6]  (
+	.CLK(CTS_29),
+	.D(n_7884),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[39][7]  (
+	.CLK(CTS_29),
+	.D(n_7890),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
+	.SCE(n_5454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][1]  (
+	.CLK(CTS_32),
+	.D(n_7911),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][2]  (
+	.CLK(CTS_32),
+	.D(n_7920),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][3]  (
+	.CLK(CTS_32),
+	.D(n_7923),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][4]  (
+	.CLK(CTS_32),
+	.D(n_7292),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][5]  (
+	.CLK(CTS_41),
+	.D(n_7935),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][6]  (
+	.CLK(CTS_32),
+	.D(n_7279),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[40][7]  (
+	.CLK(CTS_32),
+	.D(n_7947),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
+	.SCE(n_5417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][1]  (
+	.CLK(CTS_32),
+	.D(n_8604),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][2]  (
+	.CLK(CTS_32),
+	.D(n_8605),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][3]  (
+	.CLK(CTS_29),
+	.D(n_8606),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][4]  (
+	.CLK(CTS_29),
+	.D(n_8607),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][5]  (
+	.CLK(CTS_29),
+	.D(n_7961),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][6]  (
+	.CLK(CTS_29),
+	.D(n_6955),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[41][7]  (
+	.CLK(CTS_32),
+	.D(n_7971),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
+	.SCE(n_5942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][1]  (
+	.CLK(CTS_32),
+	.D(n_7984),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][2]  (
+	.CLK(CTS_32),
+	.D(n_7988),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][3]  (
+	.CLK(CTS_32),
+	.D(n_8609),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][4]  (
+	.CLK(CTS_32),
+	.D(n_7994),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][5]  (
+	.CLK(CTS_41),
+	.D(n_7996),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][6]  (
+	.CLK(CTS_32),
+	.D(n_8001),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[42][7]  (
+	.CLK(CTS_32),
+	.D(n_8016),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
+	.SCE(n_5408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][1]  (
+	.CLK(CTS_32),
+	.D(n_8610),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][2]  (
+	.CLK(CTS_32),
+	.D(n_8611),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][3]  (
+	.CLK(CTS_32),
+	.D(n_8017),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][4]  (
+	.CLK(CTS_32),
+	.D(n_8612),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][5]  (
+	.CLK(CTS_41),
+	.D(n_8233),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][6]  (
+	.CLK(CTS_41),
+	.D(n_8613),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[43][7]  (
+	.CLK(CTS_41),
+	.D(n_8614),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
+	.SCE(n_5486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][1]  (
+	.CLK(CTS_41),
+	.D(n_8234),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][2]  (
+	.CLK(CTS_41),
+	.D(n_8236),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][3]  (
+	.CLK(CTS_41),
+	.D(n_8235),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][4]  (
+	.CLK(CTS_41),
+	.D(n_8238),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][5]  (
+	.CLK(CTS_41),
+	.D(n_8251),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][6]  (
+	.CLK(CTS_41),
+	.D(n_8245),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[44][7]  (
+	.CLK(CTS_41),
+	.D(n_8246),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
+	.SCE(n_5475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][1]  (
+	.CLK(CTS_41),
+	.D(n_8615),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][2]  (
+	.CLK(CTS_32),
+	.D(n_6954),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][3]  (
+	.CLK(CTS_32),
+	.D(n_6957),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][4]  (
+	.CLK(CTS_32),
+	.D(n_7041),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][5]  (
+	.CLK(CTS_41),
+	.D(n_8664),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][6]  (
+	.CLK(CTS_41),
+	.D(n_8665),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[45][7]  (
+	.CLK(CTS_41),
+	.D(n_8666),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][0]  (
+	.CLK(CTS_32),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][1]  (
+	.CLK(CTS_32),
+	.D(n_6713),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][2]  (
+	.CLK(CTS_32),
+	.D(n_6869),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][3]  (
+	.CLK(CTS_32),
+	.D(n_6716),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][4]  (
+	.CLK(CTS_32),
+	.D(n_6717),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][5]  (
+	.CLK(CTS_32),
+	.D(n_6719),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][6]  (
+	.CLK(CTS_32),
+	.D(n_6721),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[46][7]  (
+	.CLK(CTS_32),
+	.D(n_6862),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
+	.SCE(n_5439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][1]  (
+	.CLK(CTS_32),
+	.D(n_6864),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][2]  (
+	.CLK(CTS_32),
+	.D(n_6865),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][3]  (
+	.CLK(CTS_29),
+	.D(n_6868),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][4]  (
+	.CLK(CTS_29),
+	.D(n_8699),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][5]  (
+	.CLK(CTS_29),
+	.D(n_8707),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][6]  (
+	.CLK(CTS_29),
+	.D(n_8708),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[47][7]  (
+	.CLK(CTS_32),
+	.D(n_6859),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
+	.SCE(n_5467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][1]  (
+	.CLK(CTS_32),
+	.D(n_8709),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][2]  (
+	.CLK(CTS_32),
+	.D(n_6851),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][3]  (
+	.CLK(CTS_32),
+	.D(n_6855),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][4]  (
+	.CLK(CTS_32),
+	.D(n_6856),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][5]  (
+	.CLK(CTS_40),
+	.D(n_8710),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][6]  (
+	.CLK(CTS_40),
+	.D(n_8237),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[48][7]  (
+	.CLK(CTS_32),
+	.D(n_8043),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
+	.SCE(n_5550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][1]  (
+	.CLK(CTS_32),
+	.D(n_8039),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][2]  (
+	.CLK(CTS_32),
+	.D(n_8711),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][3]  (
+	.CLK(CTS_32),
+	.D(n_8713),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][4]  (
+	.CLK(CTS_40),
+	.D(n_8049),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][5]  (
+	.CLK(CTS_32),
+	.D(n_8714),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][6]  (
+	.CLK(CTS_40),
+	.D(n_8715),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[49][7]  (
+	.CLK(CTS_32),
+	.D(n_8716),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
+	.SCE(n_5554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][1]  (
+	.CLK(CTS_40),
+	.D(n_8352),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][2]  (
+	.CLK(CTS_40),
+	.D(n_8355),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][3]  (
+	.CLK(CTS_40),
+	.D(n_8358),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][4]  (
+	.CLK(CTS_40),
+	.D(n_8717),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][5]  (
+	.CLK(CTS_40),
+	.D(n_8363),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][6]  (
+	.CLK(CTS_40),
+	.D(n_8718),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[50][7]  (
+	.CLK(CTS_40),
+	.D(n_8153),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
+	.SCE(n_5534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][1]  (
+	.CLK(CTS_40),
+	.D(n_8721),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][2]  (
+	.CLK(CTS_40),
+	.D(n_8722),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][3]  (
+	.CLK(CTS_40),
+	.D(n_6843),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][4]  (
+	.CLK(CTS_40),
+	.D(n_8724),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][5]  (
+	.CLK(CTS_40),
+	.D(n_6846),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][6]  (
+	.CLK(CTS_40),
+	.D(n_8583),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[51][7]  (
+	.CLK(CTS_40),
+	.D(n_8267),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][0]  (
+	.CLK(CTS_32),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][1]  (
+	.CLK(CTS_32),
+	.D(n_8172),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][2]  (
+	.CLK(CTS_32),
+	.D(n_8185),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][3]  (
+	.CLK(CTS_32),
+	.D(n_8725),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][4]  (
+	.CLK(CTS_32),
+	.D(n_8224),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][5]  (
+	.CLK(CTS_32),
+	.D(n_8231),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][6]  (
+	.CLK(CTS_32),
+	.D(n_8229),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[52][7]  (
+	.CLK(CTS_32),
+	.D(n_8728),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
+	.SCE(n_5389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][1]  (
+	.CLK(CTS_32),
+	.D(n_8729),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][2]  (
+	.CLK(CTS_32),
+	.D(n_8341),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][3]  (
+	.CLK(CTS_32),
+	.D(n_8280),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][4]  (
+	.CLK(CTS_32),
+	.D(n_8730),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][5]  (
+	.CLK(CTS_32),
+	.D(n_8731),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][6]  (
+	.CLK(CTS_32),
+	.D(n_8050),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[53][7]  (
+	.CLK(CTS_32),
+	.D(n_8732),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][0]  (
+	.CLK(CTS_32),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
+	.SCE(n_5388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][1]  (
+	.CLK(CTS_32),
+	.D(n_8035),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][2]  (
+	.CLK(CTS_32),
+	.D(n_8733),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][3]  (
+	.CLK(CTS_40),
+	.D(n_6758),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][4]  (
+	.CLK(CTS_32),
+	.D(n_8091),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][5]  (
+	.CLK(CTS_40),
+	.D(n_8167),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][6]  (
+	.CLK(CTS_32),
+	.D(n_8159),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[54][7]  (
+	.CLK(CTS_32),
+	.D(n_8194),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][0]  (
+	.CLK(CTS_32),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][1]  (
+	.CLK(CTS_32),
+	.D(n_8735),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][2]  (
+	.CLK(CTS_32),
+	.D(n_8736),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][3]  (
+	.CLK(CTS_32),
+	.D(n_8737),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][4]  (
+	.CLK(CTS_32),
+	.D(n_8169),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][5]  (
+	.CLK(CTS_32),
+	.D(n_8177),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][6]  (
+	.CLK(CTS_32),
+	.D(n_8193),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[55][7]  (
+	.CLK(CTS_32),
+	.D(n_8739),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][0]  (
+	.CLK(CTS_40),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
+	.SCE(n_5575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][1]  (
+	.CLK(CTS_40),
+	.D(n_8264),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][2]  (
+	.CLK(CTS_40),
+	.D(n_7783),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][3]  (
+	.CLK(CTS_40),
+	.D(n_8087),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][4]  (
+	.CLK(CTS_40),
+	.D(n_8075),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][5]  (
+	.CLK(CTS_37),
+	.D(n_8366),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][6]  (
+	.CLK(CTS_40),
+	.D(n_8585),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[56][7]  (
+	.CLK(CTS_37),
+	.D(n_8765),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][0]  (
+	.CLK(CTS_40),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
+	.SCE(n_5499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][1]  (
+	.CLK(CTS_40),
+	.D(n_8740),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][2]  (
+	.CLK(CTS_40),
+	.D(n_8741),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][3]  (
+	.CLK(CTS_40),
+	.D(n_8742),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][4]  (
+	.CLK(CTS_40),
+	.D(n_8314),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][5]  (
+	.CLK(CTS_40),
+	.D(n_8744),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][6]  (
+	.CLK(CTS_40),
+	.D(n_8745),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[57][7]  (
+	.CLK(CTS_40),
+	.D(n_8746),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
+	.SCE(n_5500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][1]  (
+	.CLK(CTS_40),
+	.D(n_8743),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][2]  (
+	.CLK(CTS_40),
+	.D(n_6844),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][3]  (
+	.CLK(CTS_40),
+	.D(n_6782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][4]  (
+	.CLK(CTS_40),
+	.D(n_7806),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][5]  (
+	.CLK(CTS_37),
+	.D(n_8747),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][6]  (
+	.CLK(CTS_40),
+	.D(n_8261),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[58][7]  (
+	.CLK(CTS_37),
+	.D(n_8279),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
+	.SCE(n_5477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][1]  (
+	.CLK(CTS_40),
+	.D(n_8748),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][2]  (
+	.CLK(CTS_40),
+	.D(n_6872),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][3]  (
+	.CLK(CTS_40),
+	.D(n_6887),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][4]  (
+	.CLK(CTS_40),
+	.D(n_8749),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][5]  (
+	.CLK(CTS_40),
+	.D(n_8750),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][6]  (
+	.CLK(CTS_40),
+	.D(n_8751),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[59][7]  (
+	.CLK(CTS_37),
+	.D(n_8752),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][0]  (
+	.CLK(CTS_40),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
+	.SCE(n_5403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][1]  (
+	.CLK(CTS_40),
+	.D(n_6803),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][2]  (
+	.CLK(CTS_40),
+	.D(n_6759),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][3]  (
+	.CLK(CTS_40),
+	.D(n_6772),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][4]  (
+	.CLK(CTS_40),
+	.D(n_8753),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][5]  (
+	.CLK(CTS_40),
+	.D(n_8260),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][6]  (
+	.CLK(CTS_40),
+	.D(n_6718),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[60][7]  (
+	.CLK(CTS_40),
+	.D(n_6801),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][0]  (
+	.CLK(CTS_37),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
+	.SCE(n_5527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][1]  (
+	.CLK(CTS_40),
+	.D(n_8754),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][2]  (
+	.CLK(CTS_40),
+	.D(n_6815),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][3]  (
+	.CLK(CTS_40),
+	.D(n_8755),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][4]  (
+	.CLK(CTS_40),
+	.D(n_8756),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][5]  (
+	.CLK(CTS_40),
+	.D(n_8757),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][6]  (
+	.CLK(CTS_40),
+	.D(n_8758),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[61][7]  (
+	.CLK(CTS_40),
+	.D(n_6883),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][0]  (
+	.CLK(CTS_40),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
+	.SCE(n_5528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][1]  (
+	.CLK(CTS_40),
+	.D(n_6778),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][2]  (
+	.CLK(CTS_40),
+	.D(n_6781),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][3]  (
+	.CLK(CTS_40),
+	.D(n_6743),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][4]  (
+	.CLK(CTS_40),
+	.D(n_6756),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][5]  (
+	.CLK(CTS_40),
+	.D(n_6749),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][6]  (
+	.CLK(CTS_40),
+	.D(n_8760),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[62][7]  (
+	.CLK(CTS_40),
+	.D(n_8335),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][0]  (
+	.CLK(CTS_40),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
+	.SCE(n_5551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][1]  (
+	.CLK(CTS_40),
+	.D(n_8272),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][2]  (
+	.CLK(CTS_40),
+	.D(n_8247),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][3]  (
+	.CLK(CTS_40),
+	.D(n_8762),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][4]  (
+	.CLK(CTS_40),
+	.D(n_6893),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][5]  (
+	.CLK(CTS_40),
+	.D(n_8763),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][6]  (
+	.CLK(CTS_40),
+	.D(n_8250),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[63][7]  (
+	.CLK(CTS_40),
+	.D(n_8764),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][1]  (
+	.CLK(CTS_28),
+	.D(n_6722),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][2]  (
+	.CLK(CTS_26),
+	.D(n_6725),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][3]  (
+	.CLK(CTS_28),
+	.D(n_6728),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][4]  (
+	.CLK(CTS_28),
+	.D(n_6731),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][5]  (
+	.CLK(CTS_28),
+	.D(n_6751),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][6]  (
+	.CLK(CTS_28),
+	.D(n_8767),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[64][7]  (
+	.CLK(CTS_26),
+	.D(n_6741),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][1]  (
+	.CLK(CTS_26),
+	.D(n_8768),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][2]  (
+	.CLK(CTS_26),
+	.D(n_6747),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][3]  (
+	.CLK(CTS_26),
+	.D(n_8769),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][4]  (
+	.CLK(CTS_26),
+	.D(n_6760),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][5]  (
+	.CLK(CTS_26),
+	.D(n_8771),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][6]  (
+	.CLK(CTS_26),
+	.D(n_6771),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[65][7]  (
+	.CLK(CTS_26),
+	.D(n_8772),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][1]  (
+	.CLK(CTS_28),
+	.D(n_6783),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][2]  (
+	.CLK(CTS_26),
+	.D(n_8773),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][3]  (
+	.CLK(CTS_28),
+	.D(n_6791),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][4]  (
+	.CLK(CTS_28),
+	.D(n_6797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][5]  (
+	.CLK(CTS_28),
+	.D(n_6805),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][6]  (
+	.CLK(CTS_28),
+	.D(n_6804),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[66][7]  (
+	.CLK(CTS_28),
+	.D(n_6806),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][0]  (
+	.CLK(CTS_26),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
+	.SCE(n_5899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][1]  (
+	.CLK(CTS_28),
+	.D(n_8778),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][2]  (
+	.CLK(CTS_26),
+	.D(n_8779),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][3]  (
+	.CLK(CTS_28),
+	.D(n_8780),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][4]  (
+	.CLK(CTS_26),
+	.D(n_8781),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][5]  (
+	.CLK(CTS_28),
+	.D(n_8782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][6]  (
+	.CLK(CTS_28),
+	.D(n_8783),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[67][7]  (
+	.CLK(CTS_26),
+	.D(n_8784),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][1]  (
+	.CLK(CTS_26),
+	.D(n_6877),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][2]  (
+	.CLK(CTS_26),
+	.D(n_6878),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][3]  (
+	.CLK(CTS_28),
+	.D(n_6882),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][4]  (
+	.CLK(CTS_28),
+	.D(n_6892),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][5]  (
+	.CLK(CTS_26),
+	.D(n_6890),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][6]  (
+	.CLK(CTS_26),
+	.D(n_6888),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[68][7]  (
+	.CLK(CTS_26),
+	.D(n_8789),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][1]  (
+	.CLK(CTS_26),
+	.D(n_7639),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][2]  (
+	.CLK(CTS_26),
+	.D(n_8790),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][3]  (
+	.CLK(CTS_25),
+	.D(n_7682),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][4]  (
+	.CLK(CTS_25),
+	.D(n_8791),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][5]  (
+	.CLK(CTS_26),
+	.D(n_8020),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][6]  (
+	.CLK(CTS_28),
+	.D(n_8023),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[69][7]  (
+	.CLK(CTS_26),
+	.D(n_8022),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][1]  (
+	.CLK(CTS_26),
+	.D(n_8027),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][2]  (
+	.CLK(CTS_26),
+	.D(n_8029),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][3]  (
+	.CLK(CTS_28),
+	.D(n_8152),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][4]  (
+	.CLK(CTS_28),
+	.D(n_8793),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][5]  (
+	.CLK(CTS_26),
+	.D(n_8162),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][6]  (
+	.CLK(CTS_28),
+	.D(n_8794),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[70][7]  (
+	.CLK(CTS_26),
+	.D(n_8158),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][0]  (
+	.CLK(CTS_26),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][1]  (
+	.CLK(CTS_26),
+	.D(n_8796),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][2]  (
+	.CLK(CTS_26),
+	.D(n_8175),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][3]  (
+	.CLK(CTS_26),
+	.D(n_8797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][4]  (
+	.CLK(CTS_26),
+	.D(n_8798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][5]  (
+	.CLK(CTS_26),
+	.D(n_8338),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][6]  (
+	.CLK(CTS_26),
+	.D(n_8180),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[71][7]  (
+	.CLK(CTS_26),
+	.D(n_7874),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][0]  (
+	.CLK(CTS_28),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
+	.SCE(n_5468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][1]  (
+	.CLK(CTS_28),
+	.D(n_8190),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][2]  (
+	.CLK(CTS_28),
+	.D(n_7873),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][3]  (
+	.CLK(CTS_28),
+	.D(n_8198),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][4]  (
+	.CLK(CTS_28),
+	.D(n_8213),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][5]  (
+	.CLK(CTS_28),
+	.D(n_7872),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][6]  (
+	.CLK(CTS_28),
+	.D(n_7871),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[72][7]  (
+	.CLK(CTS_28),
+	.D(n_8209),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][0]  (
+	.CLK(CTS_28),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][1]  (
+	.CLK(CTS_28),
+	.D(n_7870),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][2]  (
+	.CLK(CTS_28),
+	.D(n_7869),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][3]  (
+	.CLK(CTS_28),
+	.D(n_8081),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][4]  (
+	.CLK(CTS_28),
+	.D(n_7868),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][5]  (
+	.CLK(CTS_28),
+	.D(n_8099),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][6]  (
+	.CLK(CTS_28),
+	.D(n_8096),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[73][7]  (
+	.CLK(CTS_28),
+	.D(n_8100),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][0]  (
+	.CLK(CTS_28),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][1]  (
+	.CLK(CTS_28),
+	.D(n_7866),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][2]  (
+	.CLK(CTS_28),
+	.D(n_8102),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][3]  (
+	.CLK(CTS_28),
+	.D(n_7864),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][4]  (
+	.CLK(CTS_28),
+	.D(n_8106),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][5]  (
+	.CLK(CTS_28),
+	.D(n_8107),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][6]  (
+	.CLK(CTS_28),
+	.D(n_8109),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[74][7]  (
+	.CLK(CTS_28),
+	.D(n_7863),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][0]  (
+	.CLK(CTS_28),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][1]  (
+	.CLK(CTS_28),
+	.D(n_7861),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][2]  (
+	.CLK(CTS_28),
+	.D(n_8113),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][3]  (
+	.CLK(CTS_25),
+	.D(n_7860),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][4]  (
+	.CLK(CTS_28),
+	.D(n_8127),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][5]  (
+	.CLK(CTS_28),
+	.D(n_7858),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][6]  (
+	.CLK(CTS_28),
+	.D(n_8133),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[75][7]  (
+	.CLK(CTS_28),
+	.D(n_7857),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][0]  (
+	.CLK(CTS_28),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
+	.SCE(n_5368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][1]  (
+	.CLK(CTS_28),
+	.D(n_8032),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][2]  (
+	.CLK(CTS_28),
+	.D(n_7855),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][3]  (
+	.CLK(CTS_25),
+	.D(n_6889),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][4]  (
+	.CLK(CTS_28),
+	.D(n_6943),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][5]  (
+	.CLK(CTS_28),
+	.D(n_7037),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][6]  (
+	.CLK(CTS_28),
+	.D(n_7854),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[76][7]  (
+	.CLK(CTS_28),
+	.D(n_7853),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][0]  (
+	.CLK(CTS_28),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
+	.SCE(n_5398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][1]  (
+	.CLK(CTS_28),
+	.D(n_6809),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][2]  (
+	.CLK(CTS_33),
+	.D(n_7852),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][3]  (
+	.CLK(CTS_25),
+	.D(n_6819),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][4]  (
+	.CLK(CTS_28),
+	.D(n_7851),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][5]  (
+	.CLK(CTS_28),
+	.D(n_6811),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][6]  (
+	.CLK(CTS_33),
+	.D(n_7850),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[77][7]  (
+	.CLK(CTS_28),
+	.D(n_6812),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][0]  (
+	.CLK(CTS_28),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
+	.SCE(n_5391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][1]  (
+	.CLK(CTS_28),
+	.D(n_6814),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][2]  (
+	.CLK(CTS_28),
+	.D(n_7848),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][3]  (
+	.CLK(CTS_25),
+	.D(n_8138),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][4]  (
+	.CLK(CTS_28),
+	.D(n_7846),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][5]  (
+	.CLK(CTS_28),
+	.D(n_6742),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][6]  (
+	.CLK(CTS_28),
+	.D(n_7845),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[78][7]  (
+	.CLK(CTS_28),
+	.D(n_6748),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][0]  (
+	.CLK(CTS_28),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
+	.SCE(n_5420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][1]  (
+	.CLK(CTS_28),
+	.D(n_7844),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][2]  (
+	.CLK(CTS_28),
+	.D(n_7843),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][3]  (
+	.CLK(CTS_28),
+	.D(n_7842),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][4]  (
+	.CLK(CTS_28),
+	.D(n_7841),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][5]  (
+	.CLK(CTS_33),
+	.D(n_7840),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][6]  (
+	.CLK(CTS_28),
+	.D(n_6876),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[79][7]  (
+	.CLK(CTS_28),
+	.D(n_7839),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
+	.SCE(n_5912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][1]  (
+	.CLK(CTS_35),
+	.D(n_6891),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][2]  (
+	.CLK(CTS_43),
+	.D(n_7838),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][3]  (
+	.CLK(CTS_43),
+	.D(n_6779),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][4]  (
+	.CLK(CTS_35),
+	.D(n_7837),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][5]  (
+	.CLK(CTS_43),
+	.D(n_7836),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][6]  (
+	.CLK(CTS_43),
+	.D(n_7835),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[80][7]  (
+	.CLK(CTS_35),
+	.D(n_6798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
+	.SCE(n_5974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][1]  (
+	.CLK(CTS_43),
+	.D(n_6802),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][2]  (
+	.CLK(CTS_43),
+	.D(n_7833),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][3]  (
+	.CLK(CTS_43),
+	.D(n_6820),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][4]  (
+	.CLK(CTS_43),
+	.D(n_7832),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][5]  (
+	.CLK(CTS_43),
+	.D(n_6818),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][6]  (
+	.CLK(CTS_43),
+	.D(n_6836),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[81][7]  (
+	.CLK(CTS_43),
+	.D(n_6821),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
+	.SCE(n_5976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][1]  (
+	.CLK(CTS_43),
+	.D(n_7830),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][2]  (
+	.CLK(CTS_43),
+	.D(n_8219),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][3]  (
+	.CLK(CTS_43),
+	.D(n_7829),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][4]  (
+	.CLK(CTS_43),
+	.D(n_7828),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][5]  (
+	.CLK(CTS_43),
+	.D(n_7827),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][6]  (
+	.CLK(CTS_43),
+	.D(n_7680),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[82][7]  (
+	.CLK(CTS_43),
+	.D(n_7826),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
+	.SCE(n_5963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][1]  (
+	.CLK(CTS_43),
+	.D(n_7824),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][2]  (
+	.CLK(CTS_39),
+	.D(n_8188),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][3]  (
+	.CLK(CTS_43),
+	.D(n_7823),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][4]  (
+	.CLK(CTS_43),
+	.D(n_8243),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][5]  (
+	.CLK(CTS_39),
+	.D(n_7822),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][6]  (
+	.CLK(CTS_39),
+	.D(n_6813),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[83][7]  (
+	.CLK(CTS_43),
+	.D(n_7821),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
+	.SCE(n_5891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][1]  (
+	.CLK(CTS_43),
+	.D(n_6736),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][2]  (
+	.CLK(CTS_43),
+	.D(n_7819),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][3]  (
+	.CLK(CTS_43),
+	.D(n_7818),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][4]  (
+	.CLK(CTS_43),
+	.D(n_7817),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][5]  (
+	.CLK(CTS_43),
+	.D(n_7816),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][6]  (
+	.CLK(CTS_43),
+	.D(n_7815),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[84][7]  (
+	.CLK(CTS_43),
+	.D(n_7814),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
+	.SCE(n_5898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][1]  (
+	.CLK(CTS_39),
+	.D(n_8269),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][2]  (
+	.CLK(CTS_39),
+	.D(n_8200),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][3]  (
+	.CLK(CTS_43),
+	.D(n_8201),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][4]  (
+	.CLK(CTS_39),
+	.D(n_8230),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][5]  (
+	.CLK(CTS_39),
+	.D(n_6850),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][6]  (
+	.CLK(CTS_39),
+	.D(n_8031),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[85][7]  (
+	.CLK(CTS_39),
+	.D(n_6829),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
+	.SCE(n_5900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][1]  (
+	.CLK(CTS_35),
+	.D(n_7812),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][2]  (
+	.CLK(CTS_35),
+	.D(n_7810),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][3]  (
+	.CLK(CTS_35),
+	.D(n_7809),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][4]  (
+	.CLK(CTS_35),
+	.D(n_7808),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][5]  (
+	.CLK(CTS_35),
+	.D(n_7807),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][6]  (
+	.CLK(CTS_35),
+	.D(n_7805),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[86][7]  (
+	.CLK(CTS_35),
+	.D(n_7804),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
+	.SCE(n_5933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][1]  (
+	.CLK(CTS_43),
+	.D(n_8040),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][2]  (
+	.CLK(CTS_43),
+	.D(n_8047),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][3]  (
+	.CLK(CTS_43),
+	.D(n_8227),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][4]  (
+	.CLK(CTS_35),
+	.D(n_8265),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][5]  (
+	.CLK(CTS_43),
+	.D(n_8284),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][6]  (
+	.CLK(CTS_43),
+	.D(n_8306),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[87][7]  (
+	.CLK(CTS_43),
+	.D(n_7802),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
+	.SCE(n_5983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][1]  (
+	.CLK(CTS_35),
+	.D(n_6858),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][2]  (
+	.CLK(CTS_35),
+	.D(n_7801),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][3]  (
+	.CLK(CTS_35),
+	.D(n_7800),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][4]  (
+	.CLK(CTS_35),
+	.D(n_7799),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][5]  (
+	.CLK(CTS_35),
+	.D(n_7798),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][6]  (
+	.CLK(CTS_35),
+	.D(n_7797),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[88][7]  (
+	.CLK(CTS_35),
+	.D(n_7625),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
+	.SCE(n_5960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][1]  (
+	.CLK(CTS_35),
+	.D(n_7547),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][2]  (
+	.CLK(CTS_35),
+	.D(n_8292),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][3]  (
+	.CLK(CTS_35),
+	.D(n_8257),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][4]  (
+	.CLK(CTS_35),
+	.D(n_6799),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][5]  (
+	.CLK(CTS_35),
+	.D(n_8030),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][6]  (
+	.CLK(CTS_35),
+	.D(n_7796),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[89][7]  (
+	.CLK(CTS_35),
+	.D(n_8026),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
+	.SCE(n_5958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][1]  (
+	.CLK(CTS_35),
+	.D(n_8025),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][2]  (
+	.CLK(CTS_39),
+	.D(n_8028),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][3]  (
+	.CLK(CTS_39),
+	.D(n_7794),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][4]  (
+	.CLK(CTS_35),
+	.D(n_7793),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][5]  (
+	.CLK(CTS_39),
+	.D(n_7792),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][6]  (
+	.CLK(CTS_35),
+	.D(n_8045),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[90][7]  (
+	.CLK(CTS_34),
+	.D(n_7791),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
+	.SCE(n_5954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][1]  (
+	.CLK(CTS_35),
+	.D(n_7673),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][2]  (
+	.CLK(CTS_35),
+	.D(n_8033),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][3]  (
+	.CLK(CTS_35),
+	.D(n_8084),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][4]  (
+	.CLK(CTS_35),
+	.D(n_8220),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][5]  (
+	.CLK(CTS_39),
+	.D(n_7790),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][6]  (
+	.CLK(CTS_39),
+	.D(n_8064),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[91][7]  (
+	.CLK(CTS_35),
+	.D(n_8734),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
+	.SCE(n_5944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][1]  (
+	.CLK(CTS_35),
+	.D(n_7878),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][2]  (
+	.CLK(CTS_35),
+	.D(n_8262),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][3]  (
+	.CLK(CTS_35),
+	.D(n_7789),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][4]  (
+	.CLK(CTS_35),
+	.D(n_8276),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][5]  (
+	.CLK(CTS_35),
+	.D(n_7928),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][6]  (
+	.CLK(CTS_35),
+	.D(n_8254),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[92][7]  (
+	.CLK(CTS_35),
+	.D(n_7542),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
+	.SCE(n_5937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][1]  (
+	.CLK(CTS_35),
+	.D(n_8164),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][2]  (
+	.CLK(CTS_35),
+	.D(n_8168),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][3]  (
+	.CLK(CTS_35),
+	.D(n_7788),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][4]  (
+	.CLK(CTS_35),
+	.D(n_8204),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][5]  (
+	.CLK(CTS_35),
+	.D(n_8277),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][6]  (
+	.CLK(CTS_35),
+	.D(n_8215),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[93][7]  (
+	.CLK(CTS_35),
+	.D(n_8160),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
+	.SCE(n_5948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][1]  (
+	.CLK(CTS_35),
+	.D(n_8268),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][2]  (
+	.CLK(CTS_35),
+	.D(n_6845),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][3]  (
+	.CLK(CTS_35),
+	.D(n_7371),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][4]  (
+	.CLK(CTS_35),
+	.D(n_6732),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][5]  (
+	.CLK(CTS_35),
+	.D(n_6729),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][6]  (
+	.CLK(CTS_35),
+	.D(n_7786),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[94][7]  (
+	.CLK(CTS_35),
+	.D(n_6734),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][0]  (
+	.CLK(CTS_35),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
+	.SCE(n_5979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][1]  (
+	.CLK(CTS_35),
+	.D(n_6737),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][2]  (
+	.CLK(CTS_35),
+	.D(n_7784),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][3]  (
+	.CLK(CTS_35),
+	.D(n_6746),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][4]  (
+	.CLK(CTS_35),
+	.D(n_6823),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][5]  (
+	.CLK(CTS_35),
+	.D(n_6824),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][6]  (
+	.CLK(CTS_35),
+	.D(n_6839),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[95][7]  (
+	.CLK(CTS_35),
+	.D(n_7533),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][0]  (
+	.CLK(CTS_26),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
+	.SCE(n_5913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][1]  (
+	.CLK(CTS_26),
+	.D(n_7773),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][2]  (
+	.CLK(CTS_26),
+	.D(n_7772),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][3]  (
+	.CLK(CTS_26),
+	.D(n_7820),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][4]  (
+	.CLK(CTS_22),
+	.D(n_7811),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][5]  (
+	.CLK(CTS_22),
+	.D(n_8104),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][6]  (
+	.CLK(CTS_26),
+	.D(n_8174),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[96][7]  (
+	.CLK(CTS_26),
+	.D(n_8712),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][0]  (
+	.CLK(CTS_26),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
+	.SCE(n_5972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][1]  (
+	.CLK(CTS_26),
+	.D(n_8311),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][2]  (
+	.CLK(CTS_38),
+	.D(n_8223),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][3]  (
+	.CLK(CTS_43),
+	.D(n_7782),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][4]  (
+	.CLK(CTS_26),
+	.D(n_8242),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][5]  (
+	.CLK(CTS_43),
+	.D(n_8253),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][6]  (
+	.CLK(CTS_26),
+	.D(n_7781),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[97][7]  (
+	.CLK(CTS_26),
+	.D(n_8278),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
+	.SCE(n_5973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][1]  (
+	.CLK(CTS_26),
+	.D(n_8342),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][2]  (
+	.CLK(CTS_26),
+	.D(n_8296),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][3]  (
+	.CLK(CTS_26),
+	.D(n_8299),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][4]  (
+	.CLK(CTS_26),
+	.D(n_8309),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][5]  (
+	.CLK(CTS_26),
+	.D(n_8304),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][6]  (
+	.CLK(CTS_26),
+	.D(n_8312),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[98][7]  (
+	.CLK(CTS_43),
+	.D(n_8317),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][0]  (
+	.CLK(CTS_26),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
+	.SCE(n_5962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][1]  (
+	.CLK(CTS_26),
+	.D(n_8339),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][2]  (
+	.CLK(CTS_26),
+	.D(n_7780),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][3]  (
+	.CLK(CTS_26),
+	.D(n_8331),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][4]  (
+	.CLK(CTS_43),
+	.D(n_7779),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][5]  (
+	.CLK(CTS_26),
+	.D(n_8334),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][6]  (
+	.CLK(CTS_26),
+	.D(n_8340),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[99][7]  (
+	.CLK(CTS_26),
+	.D(n_8349),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][0]  (
+	.CLK(CTS_38),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
+	.SCE(n_5938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][1]  (
+	.CLK(CTS_36),
+	.D(n_6842),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][2]  (
+	.CLK(CTS_38),
+	.D(n_6834),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][3]  (
+	.CLK(CTS_36),
+	.D(n_6849),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][4]  (
+	.CLK(CTS_26),
+	.D(n_6848),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][5]  (
+	.CLK(CTS_38),
+	.D(n_6853),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][6]  (
+	.CLK(CTS_36),
+	.D(n_6852),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[100][7]  (
+	.CLK(CTS_38),
+	.D(n_6857),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][0]  (
+	.CLK(CTS_38),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
+	.SCE(n_5924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][1]  (
+	.CLK(CTS_36),
+	.D(n_7776),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][2]  (
+	.CLK(CTS_38),
+	.D(n_6861),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][3]  (
+	.CLK(CTS_36),
+	.D(n_6863),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][4]  (
+	.CLK(CTS_38),
+	.D(n_7775),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][5]  (
+	.CLK(CTS_36),
+	.D(n_6866),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][6]  (
+	.CLK(CTS_36),
+	.D(n_6867),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[101][7]  (
+	.CLK(CTS_38),
+	.D(n_6884),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][0]  (
+	.CLK(CTS_38),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
+	.SCE(n_5893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][1]  (
+	.CLK(CTS_26),
+	.D(n_8221),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][2]  (
+	.CLK(CTS_26),
+	.D(n_8289),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][3]  (
+	.CLK(CTS_26),
+	.D(n_8241),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][4]  (
+	.CLK(CTS_26),
+	.D(n_8150),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][5]  (
+	.CLK(CTS_26),
+	.D(n_8274),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][6]  (
+	.CLK(CTS_26),
+	.D(n_8156),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[102][7]  (
+	.CLK(CTS_26),
+	.D(n_8179),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][0]  (
+	.CLK(CTS_38),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
+	.SCE(n_5932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][1]  (
+	.CLK(CTS_26),
+	.D(n_8351),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][2]  (
+	.CLK(CTS_38),
+	.D(n_8354),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][3]  (
+	.CLK(CTS_26),
+	.D(n_8353),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][4]  (
+	.CLK(CTS_38),
+	.D(n_8356),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][5]  (
+	.CLK(CTS_43),
+	.D(n_7771),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][6]  (
+	.CLK(CTS_26),
+	.D(n_8364),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[103][7]  (
+	.CLK(CTS_26),
+	.D(n_7770),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
+	.SCE(n_5982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][1]  (
+	.CLK(CTS_33),
+	.D(n_7769),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][2]  (
+	.CLK(CTS_34),
+	.D(n_7767),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][3]  (
+	.CLK(CTS_34),
+	.D(n_7766),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][4]  (
+	.CLK(CTS_33),
+	.D(n_7765),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][5]  (
+	.CLK(CTS_33),
+	.D(n_7764),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][6]  (
+	.CLK(CTS_34),
+	.D(n_7763),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[104][7]  (
+	.CLK(CTS_33),
+	.D(n_7762),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
+	.SCE(n_5961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][1]  (
+	.CLK(CTS_33),
+	.D(n_7761),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][2]  (
+	.CLK(CTS_33),
+	.D(n_7348),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][3]  (
+	.CLK(CTS_28),
+	.D(n_7760),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][4]  (
+	.CLK(CTS_33),
+	.D(n_7604),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][5]  (
+	.CLK(CTS_28),
+	.D(n_7759),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][6]  (
+	.CLK(CTS_28),
+	.D(n_7620),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[105][7]  (
+	.CLK(CTS_33),
+	.D(n_7758),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
+	.SCE(n_5959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][1]  (
+	.CLK(CTS_33),
+	.D(n_7757),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][2]  (
+	.CLK(CTS_34),
+	.D(n_7756),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][3]  (
+	.CLK(CTS_33),
+	.D(n_7755),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][4]  (
+	.CLK(CTS_33),
+	.D(n_7834),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][5]  (
+	.CLK(CTS_33),
+	.D(n_7865),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][6]  (
+	.CLK(CTS_33),
+	.D(n_7754),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[106][7]  (
+	.CLK(CTS_33),
+	.D(n_7753),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
+	.SCE(n_5955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][1]  (
+	.CLK(CTS_33),
+	.D(n_8161),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][2]  (
+	.CLK(CTS_34),
+	.D(n_7752),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][3]  (
+	.CLK(CTS_34),
+	.D(n_8170),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][4]  (
+	.CLK(CTS_34),
+	.D(n_7751),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][5]  (
+	.CLK(CTS_34),
+	.D(n_8205),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][6]  (
+	.CLK(CTS_34),
+	.D(n_7750),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[107][7]  (
+	.CLK(CTS_33),
+	.D(n_8256),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
+	.SCE(n_5943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][1]  (
+	.CLK(CTS_34),
+	.D(n_7748),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][2]  (
+	.CLK(CTS_34),
+	.D(n_6724),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][3]  (
+	.CLK(CTS_34),
+	.D(n_7747),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][4]  (
+	.CLK(CTS_34),
+	.D(n_7746),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][5]  (
+	.CLK(CTS_34),
+	.D(n_7745),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][6]  (
+	.CLK(CTS_34),
+	.D(n_7744),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[108][7]  (
+	.CLK(CTS_34),
+	.D(n_7743),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
+	.SCE(n_5952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][1]  (
+	.CLK(CTS_34),
+	.D(n_7742),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][2]  (
+	.CLK(CTS_34),
+	.D(n_6860),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][3]  (
+	.CLK(CTS_34),
+	.D(n_7741),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][4]  (
+	.CLK(CTS_34),
+	.D(n_8273),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][5]  (
+	.CLK(CTS_34),
+	.D(n_7739),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][6]  (
+	.CLK(CTS_34),
+	.D(n_6715),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[109][7]  (
+	.CLK(CTS_34),
+	.D(n_7738),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
+	.SCE(n_5940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][1]  (
+	.CLK(CTS_34),
+	.D(n_7737),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][2]  (
+	.CLK(CTS_34),
+	.D(n_7736),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][3]  (
+	.CLK(CTS_34),
+	.D(n_7735),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][4]  (
+	.CLK(CTS_33),
+	.D(n_7734),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][5]  (
+	.CLK(CTS_34),
+	.D(n_7733),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][6]  (
+	.CLK(CTS_34),
+	.D(n_7732),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[110][7]  (
+	.CLK(CTS_34),
+	.D(n_7731),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
+	.SCE(n_5977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][1]  (
+	.CLK(CTS_33),
+	.D(n_8151),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][2]  (
+	.CLK(CTS_33),
+	.D(n_7730),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][3]  (
+	.CLK(CTS_33),
+	.D(n_8155),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][4]  (
+	.CLK(CTS_33),
+	.D(n_7729),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][5]  (
+	.CLK(CTS_33),
+	.D(n_8248),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][6]  (
+	.CLK(CTS_28),
+	.D(n_7728),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[111][7]  (
+	.CLK(CTS_33),
+	.D(n_8297),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
+	.SCE(n_5914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][1]  (
+	.CLK(CTS_43),
+	.D(n_8350),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][2]  (
+	.CLK(CTS_35),
+	.D(n_8362),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][3]  (
+	.CLK(CTS_43),
+	.D(n_7726),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][4]  (
+	.CLK(CTS_43),
+	.D(n_8361),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][5]  (
+	.CLK(CTS_43),
+	.D(n_7725),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][6]  (
+	.CLK(CTS_43),
+	.D(n_8042),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[112][7]  (
+	.CLK(CTS_43),
+	.D(n_7724),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
+	.SCE(n_5975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][1]  (
+	.CLK(CTS_43),
+	.D(n_7723),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][2]  (
+	.CLK(CTS_33),
+	.D(n_7722),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][3]  (
+	.CLK(CTS_33),
+	.D(n_7721),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][4]  (
+	.CLK(CTS_43),
+	.D(n_7719),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][5]  (
+	.CLK(CTS_43),
+	.D(n_7718),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][6]  (
+	.CLK(CTS_43),
+	.D(n_7717),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[113][7]  (
+	.CLK(CTS_43),
+	.D(n_7716),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
+	.SCE(n_5971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][1]  (
+	.CLK(CTS_43),
+	.D(n_8112),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][2]  (
+	.CLK(CTS_34),
+	.D(n_7715),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][3]  (
+	.CLK(CTS_34),
+	.D(n_8053),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][4]  (
+	.CLK(CTS_34),
+	.D(n_7714),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][5]  (
+	.CLK(CTS_43),
+	.D(n_8270),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][6]  (
+	.CLK(CTS_34),
+	.D(n_7713),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[114][7]  (
+	.CLK(CTS_43),
+	.D(n_7712),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
+	.SCE(n_5964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][1]  (
+	.CLK(CTS_43),
+	.D(n_7711),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][2]  (
+	.CLK(CTS_34),
+	.D(n_7710),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][3]  (
+	.CLK(CTS_34),
+	.D(n_7709),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][4]  (
+	.CLK(CTS_34),
+	.D(n_7708),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][5]  (
+	.CLK(CTS_43),
+	.D(n_7707),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][6]  (
+	.CLK(CTS_34),
+	.D(n_7706),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[115][7]  (
+	.CLK(CTS_43),
+	.D(n_7705),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][0]  (
+	.CLK(CTS_43),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
+	.SCE(n_5892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][1]  (
+	.CLK(CTS_43),
+	.D(n_7703),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][2]  (
+	.CLK(CTS_43),
+	.D(n_8105),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][3]  (
+	.CLK(CTS_35),
+	.D(n_7702),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][4]  (
+	.CLK(CTS_43),
+	.D(n_8259),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][5]  (
+	.CLK(CTS_43),
+	.D(n_7701),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][6]  (
+	.CLK(CTS_43),
+	.D(n_6841),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[116][7]  (
+	.CLK(CTS_35),
+	.D(n_7700),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
+	.SCE(n_5901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][1]  (
+	.CLK(CTS_34),
+	.D(n_7699),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][2]  (
+	.CLK(CTS_34),
+	.D(n_7698),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][3]  (
+	.CLK(CTS_34),
+	.D(n_7697),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][4]  (
+	.CLK(CTS_43),
+	.D(n_7696),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][5]  (
+	.CLK(CTS_34),
+	.D(n_7695),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][6]  (
+	.CLK(CTS_34),
+	.D(n_7694),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[117][7]  (
+	.CLK(CTS_34),
+	.D(n_6906),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
+	.SCE(n_5921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][1]  (
+	.CLK(CTS_34),
+	.D(n_6912),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][2]  (
+	.CLK(CTS_35),
+	.D(n_7692),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][3]  (
+	.CLK(CTS_35),
+	.D(n_6920),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][4]  (
+	.CLK(CTS_34),
+	.D(n_7691),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][5]  (
+	.CLK(CTS_34),
+	.D(n_6925),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][6]  (
+	.CLK(CTS_35),
+	.D(n_6927),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[118][7]  (
+	.CLK(CTS_34),
+	.D(n_6932),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][0]  (
+	.CLK(CTS_34),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
+	.SCE(n_5931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][1]  (
+	.CLK(CTS_34),
+	.D(n_7690),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][2]  (
+	.CLK(CTS_33),
+	.D(n_7689),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][3]  (
+	.CLK(CTS_33),
+	.D(n_7688),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][4]  (
+	.CLK(CTS_34),
+	.D(n_7687),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][5]  (
+	.CLK(CTS_34),
+	.D(n_7686),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][6]  (
+	.CLK(CTS_43),
+	.D(n_7685),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[119][7]  (
+	.CLK(CTS_34),
+	.D(n_7683),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
+	.SCE(n_5984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][1]  (
+	.CLK(CTS_33),
+	.D(n_8157),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][2]  (
+	.CLK(CTS_33),
+	.D(n_8166),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][3]  (
+	.CLK(CTS_33),
+	.D(n_8165),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][4]  (
+	.CLK(CTS_33),
+	.D(n_8171),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][5]  (
+	.CLK(CTS_33),
+	.D(n_8181),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][6]  (
+	.CLK(CTS_33),
+	.D(n_8183),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[120][7]  (
+	.CLK(CTS_33),
+	.D(n_8187),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
+	.SCE(n_5957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][1]  (
+	.CLK(CTS_33),
+	.D(n_8191),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][2]  (
+	.CLK(CTS_28),
+	.D(n_8199),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][3]  (
+	.CLK(CTS_28),
+	.D(n_8202),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][4]  (
+	.CLK(CTS_28),
+	.D(n_8203),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][5]  (
+	.CLK(CTS_28),
+	.D(n_8207),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][6]  (
+	.CLK(CTS_33),
+	.D(n_7681),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[121][7]  (
+	.CLK(CTS_33),
+	.D(n_8266),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
+	.SCE(n_5956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][1]  (
+	.CLK(CTS_33),
+	.D(n_8283),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][2]  (
+	.CLK(CTS_33),
+	.D(n_8288),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][3]  (
+	.CLK(CTS_33),
+	.D(n_8291),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][4]  (
+	.CLK(CTS_33),
+	.D(n_8308),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][5]  (
+	.CLK(CTS_33),
+	.D(n_8294),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][6]  (
+	.CLK(CTS_33),
+	.D(n_7679),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[122][7]  (
+	.CLK(CTS_28),
+	.D(n_8302),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
+	.SCE(n_5953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][1]  (
+	.CLK(CTS_33),
+	.D(n_8305),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][2]  (
+	.CLK(CTS_33),
+	.D(n_8310),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][3]  (
+	.CLK(CTS_34),
+	.D(n_8315),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][4]  (
+	.CLK(CTS_33),
+	.D(n_8313),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][5]  (
+	.CLK(CTS_34),
+	.D(n_7678),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][6]  (
+	.CLK(CTS_33),
+	.D(n_8316),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[123][7]  (
+	.CLK(CTS_33),
+	.D(n_7677),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
+	.SCE(n_5949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][1]  (
+	.CLK(CTS_34),
+	.D(n_7676),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][2]  (
+	.CLK(CTS_34),
+	.D(n_8322),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][3]  (
+	.CLK(CTS_34),
+	.D(n_8324),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][4]  (
+	.CLK(CTS_34),
+	.D(n_8325),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][5]  (
+	.CLK(CTS_34),
+	.D(n_8329),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][6]  (
+	.CLK(CTS_34),
+	.D(n_8330),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[124][7]  (
+	.CLK(CTS_33),
+	.D(n_7675),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
+	.SCE(n_5939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][1]  (
+	.CLK(CTS_34),
+	.D(n_8336),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][2]  (
+	.CLK(CTS_33),
+	.D(n_8344),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][3]  (
+	.CLK(CTS_34),
+	.D(n_8799),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][4]  (
+	.CLK(CTS_34),
+	.D(n_8359),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][5]  (
+	.CLK(CTS_34),
+	.D(n_8347),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][6]  (
+	.CLK(CTS_34),
+	.D(n_6828),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[125][7]  (
+	.CLK(CTS_33),
+	.D(n_6739),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
+	.SCE(n_5951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][1]  (
+	.CLK(CTS_34),
+	.D(n_6745),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][2]  (
+	.CLK(CTS_33),
+	.D(n_6752),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][3]  (
+	.CLK(CTS_34),
+	.D(n_6757),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][4]  (
+	.CLK(CTS_33),
+	.D(n_7674),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][5]  (
+	.CLK(CTS_34),
+	.D(n_6769),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][6]  (
+	.CLK(CTS_33),
+	.D(n_6784),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[126][7]  (
+	.CLK(CTS_34),
+	.D(n_6777),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][0]  (
+	.CLK(CTS_33),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
+	.SCE(n_5978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][1]  (
+	.CLK(CTS_33),
+	.D(n_6789),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][2]  (
+	.CLK(CTS_28),
+	.D(n_6788),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][3]  (
+	.CLK(CTS_33),
+	.D(n_6795),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][4]  (
+	.CLK(CTS_33),
+	.D(n_6808),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][5]  (
+	.CLK(CTS_33),
+	.D(n_6800),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][6]  (
+	.CLK(CTS_33),
+	.D(n_6810),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[127][7]  (
+	.CLK(CTS_33),
+	.D(n_6807),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
+	.SCE(n_5950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][1]  (
+	.CLK(CTS_46),
+	.D(n_6838),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][2]  (
+	.CLK(CTS_46),
+	.D(n_7671),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][3]  (
+	.CLK(CTS_46),
+	.D(n_6826),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][4]  (
+	.CLK(CTS_46),
+	.D(n_6832),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][5]  (
+	.CLK(CTS_46),
+	.D(n_6870),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][6]  (
+	.CLK(CTS_46),
+	.D(n_6875),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[128][7]  (
+	.CLK(CTS_46),
+	.D(n_6874),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][0]  (
+	.CLK(CTS_31),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][1]  (
+	.CLK(CTS_46),
+	.D(n_6880),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][2]  (
+	.CLK(CTS_31),
+	.D(n_7670),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][3]  (
+	.CLK(CTS_31),
+	.D(n_7895),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][4]  (
+	.CLK(CTS_46),
+	.D(n_7648),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][5]  (
+	.CLK(CTS_31),
+	.D(n_8044),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][6]  (
+	.CLK(CTS_31),
+	.D(n_7669),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[129][7]  (
+	.CLK(CTS_31),
+	.D(n_8024),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
+	.SCE(n_5410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][1]  (
+	.CLK(CTS_46),
+	.D(n_7668),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][2]  (
+	.CLK(CTS_46),
+	.D(n_7667),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][3]  (
+	.CLK(CTS_31),
+	.D(n_7666),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][4]  (
+	.CLK(CTS_46),
+	.D(n_7665),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][5]  (
+	.CLK(CTS_46),
+	.D(n_7664),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][6]  (
+	.CLK(CTS_46),
+	.D(n_8154),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[130][7]  (
+	.CLK(CTS_31),
+	.D(n_7663),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][0]  (
+	.CLK(CTS_31),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][1]  (
+	.CLK(CTS_46),
+	.D(n_7662),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][2]  (
+	.CLK(CTS_46),
+	.D(n_6816),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][3]  (
+	.CLK(CTS_127),
+	.D(n_7661),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][4]  (
+	.CLK(CTS_46),
+	.D(n_6840),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][5]  (
+	.CLK(CTS_46),
+	.D(n_8587),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][6]  (
+	.CLK(CTS_46),
+	.D(n_8018),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[131][7]  (
+	.CLK(CTS_31),
+	.D(n_7660),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
+	.SCE(n_5460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][1]  (
+	.CLK(CTS_127),
+	.D(n_7659),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][2]  (
+	.CLK(CTS_127),
+	.D(n_7658),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][3]  (
+	.CLK(CTS_127),
+	.D(n_7657),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][4]  (
+	.CLK(CTS_127),
+	.D(n_7655),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][5]  (
+	.CLK(CTS_127),
+	.D(n_7654),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][6]  (
+	.CLK(CTS_127),
+	.D(n_7653),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[132][7]  (
+	.CLK(CTS_127),
+	.D(n_7652),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
+	.SCE(n_5458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][1]  (
+	.CLK(CTS_127),
+	.D(n_8184),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][2]  (
+	.CLK(CTS_127),
+	.D(n_8189),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][3]  (
+	.CLK(CTS_127),
+	.D(n_8196),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][4]  (
+	.CLK(CTS_127),
+	.D(n_8210),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][5]  (
+	.CLK(CTS_127),
+	.D(n_8212),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][6]  (
+	.CLK(CTS_127),
+	.D(n_7650),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[133][7]  (
+	.CLK(CTS_127),
+	.D(n_8271),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
+	.SCE(n_5459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][1]  (
+	.CLK(CTS_127),
+	.D(n_7649),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][2]  (
+	.CLK(CTS_127),
+	.D(n_7647),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][3]  (
+	.CLK(CTS_127),
+	.D(n_7646),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][4]  (
+	.CLK(CTS_46),
+	.D(n_7645),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][5]  (
+	.CLK(CTS_127),
+	.D(n_7643),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][6]  (
+	.CLK(CTS_127),
+	.D(n_7642),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[134][7]  (
+	.CLK(CTS_127),
+	.D(n_7641),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
+	.SCE(n_5423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][1]  (
+	.CLK(CTS_46),
+	.D(n_7640),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][2]  (
+	.CLK(CTS_31),
+	.D(n_8332),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][3]  (
+	.CLK(CTS_31),
+	.D(n_8333),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][4]  (
+	.CLK(CTS_46),
+	.D(n_8343),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][5]  (
+	.CLK(CTS_31),
+	.D(n_7638),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][6]  (
+	.CLK(CTS_31),
+	.D(n_8228),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[135][7]  (
+	.CLK(CTS_127),
+	.D(n_8074),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][0]  (
+	.CLK(CTS_42),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
+	.SCE(n_5409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][1]  (
+	.CLK(CTS_46),
+	.D(n_7637),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][2]  (
+	.CLK(CTS_42),
+	.D(n_7635),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][3]  (
+	.CLK(CTS_42),
+	.D(n_7634),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][4]  (
+	.CLK(CTS_42),
+	.D(n_7633),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][5]  (
+	.CLK(CTS_46),
+	.D(n_7632),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][6]  (
+	.CLK(CTS_46),
+	.D(n_7631),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[136][7]  (
+	.CLK(CTS_46),
+	.D(n_7630),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][1]  (
+	.CLK(CTS_46),
+	.D(n_6770),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][2]  (
+	.CLK(CTS_30),
+	.D(n_6738),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][3]  (
+	.CLK(CTS_30),
+	.D(n_6740),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][4]  (
+	.CLK(CTS_46),
+	.D(n_7628),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][5]  (
+	.CLK(CTS_30),
+	.D(n_7803),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][6]  (
+	.CLK(CTS_46),
+	.D(n_6730),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[137][7]  (
+	.CLK(CTS_46),
+	.D(n_8792),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][1]  (
+	.CLK(CTS_46),
+	.D(n_7627),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][2]  (
+	.CLK(CTS_42),
+	.D(n_7626),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][3]  (
+	.CLK(CTS_42),
+	.D(n_7624),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][4]  (
+	.CLK(CTS_42),
+	.D(n_7623),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][5]  (
+	.CLK(CTS_42),
+	.D(n_7622),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][6]  (
+	.CLK(CTS_42),
+	.D(n_7621),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[138][7]  (
+	.CLK(CTS_42),
+	.D(n_7619),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][0]  (
+	.CLK(CTS_46),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
+	.SCE(n_5910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][1]  (
+	.CLK(CTS_42),
+	.D(n_7618),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][2]  (
+	.CLK(CTS_42),
+	.D(n_6940),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][3]  (
+	.CLK(CTS_42),
+	.D(n_7617),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][4]  (
+	.CLK(CTS_42),
+	.D(n_6744),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][5]  (
+	.CLK(CTS_42),
+	.D(n_7616),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][6]  (
+	.CLK(CTS_42),
+	.D(n_7672),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[139][7]  (
+	.CLK(CTS_46),
+	.D(n_7615),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
+	.SCE(n_5436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][1]  (
+	.CLK(CTS_46),
+	.D(n_7614),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][2]  (
+	.CLK(CTS_46),
+	.D(n_7613),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][3]  (
+	.CLK(CTS_46),
+	.D(n_7612),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][4]  (
+	.CLK(CTS_46),
+	.D(n_7611),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][5]  (
+	.CLK(CTS_31),
+	.D(n_7610),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][6]  (
+	.CLK(CTS_46),
+	.D(n_7609),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[140][7]  (
+	.CLK(CTS_46),
+	.D(n_7608),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
+	.SCE(n_5428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][1]  (
+	.CLK(CTS_46),
+	.D(n_6714),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][2]  (
+	.CLK(CTS_31),
+	.D(n_7606),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][3]  (
+	.CLK(CTS_46),
+	.D(n_8244),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][4]  (
+	.CLK(CTS_46),
+	.D(n_7605),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][5]  (
+	.CLK(CTS_31),
+	.D(n_8182),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][6]  (
+	.CLK(CTS_46),
+	.D(n_7603),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[141][7]  (
+	.CLK(CTS_46),
+	.D(n_8303),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][0]  (
+	.CLK(CTS_46),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
+	.SCE(n_5433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][1]  (
+	.CLK(CTS_46),
+	.D(n_7602),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][2]  (
+	.CLK(CTS_46),
+	.D(n_7601),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][3]  (
+	.CLK(CTS_46),
+	.D(n_7600),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][4]  (
+	.CLK(CTS_46),
+	.D(n_7599),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][5]  (
+	.CLK(CTS_46),
+	.D(n_7598),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][6]  (
+	.CLK(CTS_46),
+	.D(n_7597),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[142][7]  (
+	.CLK(CTS_46),
+	.D(n_7596),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][0]  (
+	.CLK(CTS_46),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
+	.SCE(n_5412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][1]  (
+	.CLK(CTS_46),
+	.D(n_7595),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][2]  (
+	.CLK(CTS_30),
+	.D(n_8147),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][3]  (
+	.CLK(CTS_30),
+	.D(n_8061),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][4]  (
+	.CLK(CTS_46),
+	.D(n_7594),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][5]  (
+	.CLK(CTS_30),
+	.D(n_7593),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][6]  (
+	.CLK(CTS_30),
+	.D(n_8088),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[143][7]  (
+	.CLK(CTS_46),
+	.D(n_7592),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
+	.SCE(n_5369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][1]  (
+	.CLK(CTS_31),
+	.D(n_8056),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][2]  (
+	.CLK(CTS_31),
+	.D(n_7591),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][3]  (
+	.CLK(CTS_31),
+	.D(n_8058),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][4]  (
+	.CLK(CTS_31),
+	.D(n_7590),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][5]  (
+	.CLK(CTS_31),
+	.D(n_8076),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][6]  (
+	.CLK(CTS_27),
+	.D(n_8078),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[144][7]  (
+	.CLK(CTS_31),
+	.D(n_8095),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
+	.SCE(n_5341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][1]  (
+	.CLK(CTS_31),
+	.D(n_8090),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][2]  (
+	.CLK(CTS_31),
+	.D(n_8144),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][3]  (
+	.CLK(CTS_27),
+	.D(n_8137),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][4]  (
+	.CLK(CTS_27),
+	.D(n_7589),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][5]  (
+	.CLK(CTS_27),
+	.D(n_8059),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][6]  (
+	.CLK(CTS_27),
+	.D(n_7588),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[145][7]  (
+	.CLK(CTS_31),
+	.D(n_8069),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
+	.SCE(n_5363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][1]  (
+	.CLK(CTS_27),
+	.D(n_7587),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][2]  (
+	.CLK(CTS_27),
+	.D(n_7586),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][3]  (
+	.CLK(CTS_27),
+	.D(n_7585),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][4]  (
+	.CLK(CTS_31),
+	.D(n_7584),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][5]  (
+	.CLK(CTS_31),
+	.D(n_7583),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][6]  (
+	.CLK(CTS_31),
+	.D(n_7582),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[146][7]  (
+	.CLK(CTS_27),
+	.D(n_7581),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
+	.SCE(n_5338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][1]  (
+	.CLK(CTS_27),
+	.D(n_7580),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][2]  (
+	.CLK(CTS_31),
+	.D(n_8125),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][3]  (
+	.CLK(CTS_31),
+	.D(n_8119),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][4]  (
+	.CLK(CTS_31),
+	.D(n_7578),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][5]  (
+	.CLK(CTS_31),
+	.D(n_7577),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][6]  (
+	.CLK(CTS_27),
+	.D(n_8070),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[147][7]  (
+	.CLK(CTS_27),
+	.D(n_7576),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
+	.SCE(n_5345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][1]  (
+	.CLK(CTS_127),
+	.D(n_7575),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][2]  (
+	.CLK(CTS_31),
+	.D(n_7574),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][3]  (
+	.CLK(CTS_31),
+	.D(n_7573),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][4]  (
+	.CLK(CTS_127),
+	.D(n_7572),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][5]  (
+	.CLK(CTS_31),
+	.D(n_7571),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][6]  (
+	.CLK(CTS_27),
+	.D(n_7570),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[148][7]  (
+	.CLK(CTS_31),
+	.D(n_7569),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
+	.SCE(n_5347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][1]  (
+	.CLK(CTS_127),
+	.D(n_8103),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][2]  (
+	.CLK(CTS_31),
+	.D(n_8120),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][3]  (
+	.CLK(CTS_31),
+	.D(n_8173),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][4]  (
+	.CLK(CTS_31),
+	.D(n_7568),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][5]  (
+	.CLK(CTS_31),
+	.D(n_8214),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][6]  (
+	.CLK(CTS_27),
+	.D(n_7567),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[149][7]  (
+	.CLK(CTS_31),
+	.D(n_8776),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
+	.SCE(n_5348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][1]  (
+	.CLK(CTS_31),
+	.D(n_7566),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][2]  (
+	.CLK(CTS_31),
+	.D(n_7565),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][3]  (
+	.CLK(CTS_127),
+	.D(n_7564),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][4]  (
+	.CLK(CTS_31),
+	.D(n_7563),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][5]  (
+	.CLK(CTS_31),
+	.D(n_7562),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][6]  (
+	.CLK(CTS_27),
+	.D(n_7561),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[150][7]  (
+	.CLK(CTS_31),
+	.D(n_7560),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
+	.SCE(n_5390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][1]  (
+	.CLK(CTS_31),
+	.D(n_7558),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][2]  (
+	.CLK(CTS_31),
+	.D(n_6796),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][3]  (
+	.CLK(CTS_27),
+	.D(n_7557),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][4]  (
+	.CLK(CTS_27),
+	.D(n_6903),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][5]  (
+	.CLK(CTS_27),
+	.D(n_6939),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][6]  (
+	.CLK(CTS_27),
+	.D(n_6905),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[151][7]  (
+	.CLK(CTS_31),
+	.D(n_7556),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][0]  (
+	.CLK(CTS_31),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][1]  (
+	.CLK(CTS_30),
+	.D(n_7555),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][2]  (
+	.CLK(CTS_31),
+	.D(n_7554),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][3]  (
+	.CLK(CTS_31),
+	.D(n_7553),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][4]  (
+	.CLK(CTS_31),
+	.D(n_7552),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][5]  (
+	.CLK(CTS_31),
+	.D(n_7551),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][6]  (
+	.CLK(CTS_31),
+	.D(n_7550),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[152][7]  (
+	.CLK(CTS_31),
+	.D(n_7549),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
+	.SCE(n_5563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][1]  (
+	.CLK(CTS_31),
+	.D(n_6937),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][2]  (
+	.CLK(CTS_30),
+	.D(n_7548),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][3]  (
+	.CLK(CTS_27),
+	.D(n_6827),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][4]  (
+	.CLK(CTS_31),
+	.D(n_7546),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][5]  (
+	.CLK(CTS_27),
+	.D(n_8038),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][6]  (
+	.CLK(CTS_30),
+	.D(n_7545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[153][7]  (
+	.CLK(CTS_31),
+	.D(n_8041),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
+	.SCE(n_5568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][1]  (
+	.CLK(CTS_30),
+	.D(n_7541),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][2]  (
+	.CLK(CTS_31),
+	.D(n_7540),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][3]  (
+	.CLK(CTS_30),
+	.D(n_7539),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][4]  (
+	.CLK(CTS_31),
+	.D(n_7538),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][5]  (
+	.CLK(CTS_31),
+	.D(n_7537),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][6]  (
+	.CLK(CTS_31),
+	.D(n_7536),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[154][7]  (
+	.CLK(CTS_31),
+	.D(n_7535),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][0]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][1]  (
+	.CLK(CTS_30),
+	.D(n_7534),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][2]  (
+	.CLK(CTS_31),
+	.D(n_8098),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][3]  (
+	.CLK(CTS_31),
+	.D(n_7532),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][4]  (
+	.CLK(CTS_30),
+	.D(n_8239),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][5]  (
+	.CLK(CTS_31),
+	.D(n_7531),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][6]  (
+	.CLK(CTS_31),
+	.D(n_8089),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[155][7]  (
+	.CLK(CTS_31),
+	.D(n_7530),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
+	.SCE(n_5359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][1]  (
+	.CLK(CTS_127),
+	.D(n_7529),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][2]  (
+	.CLK(CTS_31),
+	.D(n_7528),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][3]  (
+	.CLK(CTS_31),
+	.D(n_7527),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][4]  (
+	.CLK(CTS_31),
+	.D(n_7526),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][5]  (
+	.CLK(CTS_31),
+	.D(n_7525),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][6]  (
+	.CLK(CTS_27),
+	.D(n_7524),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[156][7]  (
+	.CLK(CTS_31),
+	.D(n_7523),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
+	.SCE(n_5386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][1]  (
+	.CLK(CTS_31),
+	.D(n_8226),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][2]  (
+	.CLK(CTS_31),
+	.D(n_7522),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][3]  (
+	.CLK(CTS_31),
+	.D(n_8195),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][4]  (
+	.CLK(CTS_31),
+	.D(n_7521),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][5]  (
+	.CLK(CTS_31),
+	.D(n_8092),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][6]  (
+	.CLK(CTS_27),
+	.D(n_7519),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[157][7]  (
+	.CLK(CTS_31),
+	.D(n_6902),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][0]  (
+	.CLK(CTS_127),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
+	.SCE(n_5373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][1]  (
+	.CLK(CTS_127),
+	.D(n_7518),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][2]  (
+	.CLK(CTS_31),
+	.D(n_7517),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][3]  (
+	.CLK(CTS_31),
+	.D(n_7516),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][4]  (
+	.CLK(CTS_31),
+	.D(n_7515),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][5]  (
+	.CLK(CTS_31),
+	.D(n_7514),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][6]  (
+	.CLK(CTS_27),
+	.D(n_6931),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[158][7]  (
+	.CLK(CTS_127),
+	.D(n_7513),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][0]  (
+	.CLK(CTS_31),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
+	.SCE(n_5343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][1]  (
+	.CLK(CTS_127),
+	.D(n_7512),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][2]  (
+	.CLK(CTS_27),
+	.D(n_6933),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][3]  (
+	.CLK(CTS_27),
+	.D(n_7510),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][4]  (
+	.CLK(CTS_31),
+	.D(n_6936),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][5]  (
+	.CLK(CTS_27),
+	.D(n_7509),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][6]  (
+	.CLK(CTS_30),
+	.D(n_8072),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[159][7]  (
+	.CLK(CTS_127),
+	.D(n_7508),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
+	.SCE(n_5370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][1]  (
+	.CLK(CTS_27),
+	.D(n_8055),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][2]  (
+	.CLK(CTS_41),
+	.D(n_7507),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][3]  (
+	.CLK(CTS_41),
+	.D(n_8115),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][4]  (
+	.CLK(CTS_41),
+	.D(n_7506),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][5]  (
+	.CLK(CTS_41),
+	.D(n_7505),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][6]  (
+	.CLK(CTS_41),
+	.D(n_7504),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[160][7]  (
+	.CLK(CTS_27),
+	.D(n_8123),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
+	.SCE(n_5340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][1]  (
+	.CLK(CTS_27),
+	.D(n_8128),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][2]  (
+	.CLK(CTS_27),
+	.D(n_7503),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][3]  (
+	.CLK(CTS_27),
+	.D(n_8131),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][4]  (
+	.CLK(CTS_27),
+	.D(n_7502),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][5]  (
+	.CLK(CTS_27),
+	.D(n_7501),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][6]  (
+	.CLK(CTS_27),
+	.D(n_7500),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[161][7]  (
+	.CLK(CTS_27),
+	.D(n_8141),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][0]  (
+	.CLK(CTS_41),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
+	.SCE(n_5362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][1]  (
+	.CLK(CTS_27),
+	.D(n_7499),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][2]  (
+	.CLK(CTS_41),
+	.D(n_7498),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][3]  (
+	.CLK(CTS_41),
+	.D(n_7497),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][4]  (
+	.CLK(CTS_41),
+	.D(n_7496),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][5]  (
+	.CLK(CTS_41),
+	.D(n_7495),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][6]  (
+	.CLK(CTS_41),
+	.D(n_7494),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[162][7]  (
+	.CLK(CTS_27),
+	.D(n_7493),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][0]  (
+	.CLK(CTS_41),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
+	.SCE(n_5337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][1]  (
+	.CLK(CTS_27),
+	.D(n_7492),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][2]  (
+	.CLK(CTS_41),
+	.D(n_8082),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][3]  (
+	.CLK(CTS_41),
+	.D(n_7491),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][4]  (
+	.CLK(CTS_41),
+	.D(n_7490),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][5]  (
+	.CLK(CTS_41),
+	.D(n_7489),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][6]  (
+	.CLK(CTS_41),
+	.D(n_8295),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[163][7]  (
+	.CLK(CTS_27),
+	.D(n_7488),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
+	.SCE(n_5346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][1]  (
+	.CLK(CTS_27),
+	.D(n_7487),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][2]  (
+	.CLK(CTS_27),
+	.D(n_7486),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][3]  (
+	.CLK(CTS_27),
+	.D(n_7484),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][4]  (
+	.CLK(CTS_27),
+	.D(n_7483),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][5]  (
+	.CLK(CTS_27),
+	.D(n_7482),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][6]  (
+	.CLK(CTS_27),
+	.D(n_7481),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[164][7]  (
+	.CLK(CTS_41),
+	.D(n_7480),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
+	.SCE(n_5349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][1]  (
+	.CLK(CTS_41),
+	.D(n_8077),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][2]  (
+	.CLK(CTS_27),
+	.D(n_7479),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][3]  (
+	.CLK(CTS_41),
+	.D(n_7478),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][4]  (
+	.CLK(CTS_27),
+	.D(n_7477),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][5]  (
+	.CLK(CTS_27),
+	.D(n_8148),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][6]  (
+	.CLK(CTS_27),
+	.D(n_7476),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[165][7]  (
+	.CLK(CTS_41),
+	.D(n_8110),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
+	.SCE(n_5350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][1]  (
+	.CLK(CTS_41),
+	.D(n_7475),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][2]  (
+	.CLK(CTS_41),
+	.D(n_7474),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][3]  (
+	.CLK(CTS_41),
+	.D(n_7473),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][4]  (
+	.CLK(CTS_41),
+	.D(n_7472),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][5]  (
+	.CLK(CTS_41),
+	.D(n_7471),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][6]  (
+	.CLK(CTS_41),
+	.D(n_7470),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[166][7]  (
+	.CLK(CTS_41),
+	.D(n_7469),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
+	.SCE(n_5392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][1]  (
+	.CLK(CTS_27),
+	.D(n_7468),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][2]  (
+	.CLK(CTS_27),
+	.D(n_7467),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][3]  (
+	.CLK(CTS_27),
+	.D(n_7466),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][4]  (
+	.CLK(CTS_27),
+	.D(n_7914),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][5]  (
+	.CLK(CTS_27),
+	.D(n_7465),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][6]  (
+	.CLK(CTS_27),
+	.D(n_8134),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[167][7]  (
+	.CLK(CTS_27),
+	.D(n_7464),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
+	.SCE(n_5518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][1]  (
+	.CLK(CTS_27),
+	.D(n_7463),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][2]  (
+	.CLK(CTS_41),
+	.D(n_7462),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][3]  (
+	.CLK(CTS_27),
+	.D(n_7461),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][4]  (
+	.CLK(CTS_30),
+	.D(n_7460),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][5]  (
+	.CLK(CTS_41),
+	.D(n_7459),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][6]  (
+	.CLK(CTS_41),
+	.D(n_7458),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[168][7]  (
+	.CLK(CTS_41),
+	.D(n_7457),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
+	.SCE(n_5567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][1]  (
+	.CLK(CTS_27),
+	.D(n_8346),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][2]  (
+	.CLK(CTS_27),
+	.D(n_7456),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][3]  (
+	.CLK(CTS_27),
+	.D(n_6825),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][4]  (
+	.CLK(CTS_27),
+	.D(n_8726),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][5]  (
+	.CLK(CTS_27),
+	.D(n_8360),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][6]  (
+	.CLK(CTS_30),
+	.D(n_6727),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[169][7]  (
+	.CLK(CTS_27),
+	.D(n_7430),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
+	.SCE(n_5566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][1]  (
+	.CLK(CTS_30),
+	.D(n_7455),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][2]  (
+	.CLK(CTS_29),
+	.D(n_7454),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][3]  (
+	.CLK(CTS_27),
+	.D(n_7453),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][4]  (
+	.CLK(CTS_29),
+	.D(n_7452),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][5]  (
+	.CLK(CTS_41),
+	.D(n_7451),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][6]  (
+	.CLK(CTS_29),
+	.D(n_8063),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[170][7]  (
+	.CLK(CTS_30),
+	.D(n_7450),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][0]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][1]  (
+	.CLK(CTS_30),
+	.D(n_7886),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][2]  (
+	.CLK(CTS_29),
+	.D(n_8766),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][3]  (
+	.CLK(CTS_30),
+	.D(n_8037),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][4]  (
+	.CLK(CTS_29),
+	.D(n_8065),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][5]  (
+	.CLK(CTS_29),
+	.D(n_6817),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][6]  (
+	.CLK(CTS_29),
+	.D(n_8101),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[171][7]  (
+	.CLK(CTS_30),
+	.D(n_7447),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][0]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][1]  (
+	.CLK(CTS_27),
+	.D(n_7446),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][2]  (
+	.CLK(CTS_30),
+	.D(n_7445),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][3]  (
+	.CLK(CTS_30),
+	.D(n_7444),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][4]  (
+	.CLK(CTS_30),
+	.D(n_7443),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][5]  (
+	.CLK(CTS_27),
+	.D(n_8121),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][6]  (
+	.CLK(CTS_30),
+	.D(n_7442),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[172][7]  (
+	.CLK(CTS_27),
+	.D(n_7441),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
+	.SCE(n_5385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][1]  (
+	.CLK(CTS_27),
+	.D(n_8086),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][2]  (
+	.CLK(CTS_27),
+	.D(n_8136),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][3]  (
+	.CLK(CTS_27),
+	.D(n_8146),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][4]  (
+	.CLK(CTS_30),
+	.D(n_8135),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][5]  (
+	.CLK(CTS_27),
+	.D(n_8132),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][6]  (
+	.CLK(CTS_27),
+	.D(n_7439),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[173][7]  (
+	.CLK(CTS_27),
+	.D(n_8208),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
+	.SCE(n_5372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][1]  (
+	.CLK(CTS_41),
+	.D(n_7438),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][2]  (
+	.CLK(CTS_41),
+	.D(n_7437),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][3]  (
+	.CLK(CTS_30),
+	.D(n_7436),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][4]  (
+	.CLK(CTS_27),
+	.D(n_7862),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][5]  (
+	.CLK(CTS_41),
+	.D(n_7435),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][6]  (
+	.CLK(CTS_41),
+	.D(n_7434),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[174][7]  (
+	.CLK(CTS_41),
+	.D(n_7433),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][0]  (
+	.CLK(CTS_27),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
+	.SCE(n_5344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][1]  (
+	.CLK(CTS_41),
+	.D(n_7432),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][2]  (
+	.CLK(CTS_27),
+	.D(n_8759),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][3]  (
+	.CLK(CTS_27),
+	.D(n_7859),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][4]  (
+	.CLK(CTS_30),
+	.D(n_8275),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][5]  (
+	.CLK(CTS_27),
+	.D(n_7431),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][6]  (
+	.CLK(CTS_30),
+	.D(n_8788),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[175][7]  (
+	.CLK(CTS_41),
+	.D(n_8777),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][0]  (
+	.CLK(CTS_29),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
+	.SCE(n_5371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][1]  (
+	.CLK(CTS_36),
+	.D(n_8252),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][2]  (
+	.CLK(CTS_29),
+	.D(n_8576),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][3]  (
+	.CLK(CTS_29),
+	.D(n_6793),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][4]  (
+	.CLK(CTS_29),
+	.D(n_7429),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][5]  (
+	.CLK(CTS_38),
+	.D(n_6960),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][6]  (
+	.CLK(CTS_36),
+	.D(n_6914),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[176][7]  (
+	.CLK(CTS_30),
+	.D(n_7785),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
+	.SCE(n_5339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][1]  (
+	.CLK(CTS_36),
+	.D(n_7825),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][2]  (
+	.CLK(CTS_30),
+	.D(n_7428),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][3]  (
+	.CLK(CTS_30),
+	.D(n_7856),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][4]  (
+	.CLK(CTS_36),
+	.D(n_7427),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][5]  (
+	.CLK(CTS_30),
+	.D(n_8785),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][6]  (
+	.CLK(CTS_30),
+	.D(n_7426),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[177][7]  (
+	.CLK(CTS_36),
+	.D(n_8255),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
+	.SCE(n_5361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][1]  (
+	.CLK(CTS_42),
+	.D(n_7425),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][2]  (
+	.CLK(CTS_36),
+	.D(n_7424),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][3]  (
+	.CLK(CTS_30),
+	.D(n_7423),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][4]  (
+	.CLK(CTS_36),
+	.D(n_7422),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][5]  (
+	.CLK(CTS_30),
+	.D(n_7421),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][6]  (
+	.CLK(CTS_36),
+	.D(n_7420),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[178][7]  (
+	.CLK(CTS_30),
+	.D(n_7419),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][0]  (
+	.CLK(CTS_30),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][1]  (
+	.CLK(CTS_36),
+	.D(n_7418),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][2]  (
+	.CLK(CTS_36),
+	.D(n_8079),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][3]  (
+	.CLK(CTS_36),
+	.D(n_7416),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][4]  (
+	.CLK(CTS_36),
+	.D(n_8052),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][5]  (
+	.CLK(CTS_36),
+	.D(n_7415),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][6]  (
+	.CLK(CTS_36),
+	.D(n_6733),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[179][7]  (
+	.CLK(CTS_36),
+	.D(n_6726),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][0]  (
+	.CLK(CTS_29),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][1]  (
+	.CLK(CTS_36),
+	.D(n_7414),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][2]  (
+	.CLK(CTS_36),
+	.D(n_7413),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][3]  (
+	.CLK(CTS_36),
+	.D(n_7412),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][4]  (
+	.CLK(CTS_29),
+	.D(n_7411),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][5]  (
+	.CLK(CTS_29),
+	.D(n_7410),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][6]  (
+	.CLK(CTS_29),
+	.D(n_7409),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[180][7]  (
+	.CLK(CTS_36),
+	.D(n_7408),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
+	.SCE(n_5351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][1]  (
+	.CLK(CTS_36),
+	.D(n_7407),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][2]  (
+	.CLK(CTS_36),
+	.D(n_7406),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][3]  (
+	.CLK(CTS_36),
+	.D(n_7787),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][4]  (
+	.CLK(CTS_30),
+	.D(n_7405),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][5]  (
+	.CLK(CTS_30),
+	.D(n_7831),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][6]  (
+	.CLK(CTS_30),
+	.D(n_7404),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[181][7]  (
+	.CLK(CTS_36),
+	.D(n_8720),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
+	.SCE(n_5352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][1]  (
+	.CLK(CTS_36),
+	.D(n_7403),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][2]  (
+	.CLK(CTS_29),
+	.D(n_7402),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][3]  (
+	.CLK(CTS_36),
+	.D(n_7401),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][4]  (
+	.CLK(CTS_29),
+	.D(n_7400),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][5]  (
+	.CLK(CTS_29),
+	.D(n_7399),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][6]  (
+	.CLK(CTS_36),
+	.D(n_7398),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[182][7]  (
+	.CLK(CTS_36),
+	.D(n_7397),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
+	.SCE(n_5393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][1]  (
+	.CLK(CTS_36),
+	.D(n_7396),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][2]  (
+	.CLK(CTS_30),
+	.D(n_8093),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][3]  (
+	.CLK(CTS_30),
+	.D(n_7395),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][4]  (
+	.CLK(CTS_36),
+	.D(n_8218),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][5]  (
+	.CLK(CTS_30),
+	.D(n_7394),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][6]  (
+	.CLK(CTS_30),
+	.D(n_7511),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[183][7]  (
+	.CLK(CTS_36),
+	.D(n_7392),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
+	.SCE(n_5517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][1]  (
+	.CLK(CTS_42),
+	.D(n_7391),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][2]  (
+	.CLK(CTS_42),
+	.D(n_7390),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][3]  (
+	.CLK(CTS_30),
+	.D(n_7389),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][4]  (
+	.CLK(CTS_42),
+	.D(n_7388),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][5]  (
+	.CLK(CTS_42),
+	.D(n_7387),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][6]  (
+	.CLK(CTS_42),
+	.D(n_7386),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[184][7]  (
+	.CLK(CTS_30),
+	.D(n_7385),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
+	.SCE(n_5565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][1]  (
+	.CLK(CTS_42),
+	.D(n_8094),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][2]  (
+	.CLK(CTS_42),
+	.D(n_7384),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][3]  (
+	.CLK(CTS_30),
+	.D(n_8116),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][4]  (
+	.CLK(CTS_42),
+	.D(n_7383),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][5]  (
+	.CLK(CTS_30),
+	.D(n_8126),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][6]  (
+	.CLK(CTS_30),
+	.D(n_7382),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[185][7]  (
+	.CLK(CTS_30),
+	.D(n_7381),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
+	.SCE(n_5564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][1]  (
+	.CLK(CTS_42),
+	.D(n_7380),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][2]  (
+	.CLK(CTS_42),
+	.D(n_7379),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][3]  (
+	.CLK(CTS_30),
+	.D(n_7378),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][4]  (
+	.CLK(CTS_30),
+	.D(n_7377),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][5]  (
+	.CLK(CTS_42),
+	.D(n_7376),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][6]  (
+	.CLK(CTS_42),
+	.D(n_7375),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[186][7]  (
+	.CLK(CTS_30),
+	.D(n_7374),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
+	.SCE(n_5531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][1]  (
+	.CLK(CTS_42),
+	.D(n_7373),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][2]  (
+	.CLK(CTS_42),
+	.D(n_8108),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][3]  (
+	.CLK(CTS_36),
+	.D(n_7372),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][4]  (
+	.CLK(CTS_30),
+	.D(n_8139),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][5]  (
+	.CLK(CTS_42),
+	.D(n_7370),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][6]  (
+	.CLK(CTS_42),
+	.D(n_7369),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[187][7]  (
+	.CLK(CTS_30),
+	.D(n_7368),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][0]  (
+	.CLK(CTS_30),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
+	.SCE(n_5360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][1]  (
+	.CLK(CTS_42),
+	.D(n_7367),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][2]  (
+	.CLK(CTS_42),
+	.D(n_7366),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][3]  (
+	.CLK(CTS_42),
+	.D(n_7365),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][4]  (
+	.CLK(CTS_42),
+	.D(n_7364),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][5]  (
+	.CLK(CTS_42),
+	.D(n_7363),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][6]  (
+	.CLK(CTS_30),
+	.D(n_7362),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[188][7]  (
+	.CLK(CTS_36),
+	.D(n_7361),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][0]  (
+	.CLK(CTS_42),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
+	.SCE(n_5387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][1]  (
+	.CLK(CTS_42),
+	.D(n_8145),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][2]  (
+	.CLK(CTS_42),
+	.D(n_7360),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][3]  (
+	.CLK(CTS_42),
+	.D(n_8149),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][4]  (
+	.CLK(CTS_42),
+	.D(n_7359),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][5]  (
+	.CLK(CTS_42),
+	.D(n_8130),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][6]  (
+	.CLK(CTS_30),
+	.D(n_7358),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[189][7]  (
+	.CLK(CTS_42),
+	.D(n_6938),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][0]  (
+	.CLK(CTS_42),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
+	.SCE(n_5374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][1]  (
+	.CLK(CTS_36),
+	.D(n_7357),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][2]  (
+	.CLK(CTS_42),
+	.D(n_7356),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][3]  (
+	.CLK(CTS_36),
+	.D(n_7355),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][4]  (
+	.CLK(CTS_42),
+	.D(n_7353),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][5]  (
+	.CLK(CTS_42),
+	.D(n_7352),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][6]  (
+	.CLK(CTS_42),
+	.D(n_7351),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[190][7]  (
+	.CLK(CTS_36),
+	.D(n_7350),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][0]  (
+	.CLK(CTS_42),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
+	.SCE(n_5342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][1]  (
+	.CLK(CTS_36),
+	.D(n_6919),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][2]  (
+	.CLK(CTS_30),
+	.D(n_6922),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][3]  (
+	.CLK(CTS_30),
+	.D(n_7349),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][4]  (
+	.CLK(CTS_42),
+	.D(n_7897),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][5]  (
+	.CLK(CTS_30),
+	.D(n_8060),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][6]  (
+	.CLK(CTS_30),
+	.D(n_8249),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[191][7]  (
+	.CLK(CTS_42),
+	.D(n_8034),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][1]  (
+	.CLK(CTS_46),
+	.D(n_8142),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][2]  (
+	.CLK(CTS_127),
+	.D(n_6959),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][3]  (
+	.CLK(CTS_45),
+	.D(n_8222),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][4]  (
+	.CLK(CTS_45),
+	.D(n_7347),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][5]  (
+	.CLK(CTS_45),
+	.D(n_8163),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][6]  (
+	.CLK(CTS_46),
+	.D(n_7346),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[192][7]  (
+	.CLK(CTS_46),
+	.D(n_8186),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][1]  (
+	.CLK(CTS_45),
+	.D(n_8021),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][2]  (
+	.CLK(CTS_46),
+	.D(n_8111),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][3]  (
+	.CLK(CTS_45),
+	.D(n_7345),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][4]  (
+	.CLK(CTS_46),
+	.D(n_8197),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][5]  (
+	.CLK(CTS_45),
+	.D(n_7343),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][6]  (
+	.CLK(CTS_45),
+	.D(n_8787),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[193][7]  (
+	.CLK(CTS_45),
+	.D(n_7342),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][1]  (
+	.CLK(CTS_45),
+	.D(n_7340),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][2]  (
+	.CLK(CTS_46),
+	.D(n_7339),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][3]  (
+	.CLK(CTS_45),
+	.D(n_7338),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][4]  (
+	.CLK(CTS_46),
+	.D(n_7337),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][5]  (
+	.CLK(CTS_45),
+	.D(n_7336),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][6]  (
+	.CLK(CTS_46),
+	.D(n_7335),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[194][7]  (
+	.CLK(CTS_45),
+	.D(n_7334),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][1]  (
+	.CLK(CTS_24),
+	.D(n_7917),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][2]  (
+	.CLK(CTS_46),
+	.D(n_7332),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][3]  (
+	.CLK(CTS_45),
+	.D(n_6720),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][4]  (
+	.CLK(CTS_46),
+	.D(n_7331),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][5]  (
+	.CLK(CTS_45),
+	.D(n_7887),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][6]  (
+	.CLK(CTS_45),
+	.D(n_7330),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[195][7]  (
+	.CLK(CTS_45),
+	.D(n_7328),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][1]  (
+	.CLK(CTS_127),
+	.D(n_7326),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][2]  (
+	.CLK(CTS_46),
+	.D(n_7325),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][3]  (
+	.CLK(CTS_45),
+	.D(n_7324),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][4]  (
+	.CLK(CTS_46),
+	.D(n_7323),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][5]  (
+	.CLK(CTS_45),
+	.D(n_7322),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][6]  (
+	.CLK(CTS_46),
+	.D(n_7321),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[196][7]  (
+	.CLK(CTS_127),
+	.D(n_7320),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][1]  (
+	.CLK(CTS_46),
+	.D(n_7795),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][2]  (
+	.CLK(CTS_127),
+	.D(n_7319),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][3]  (
+	.CLK(CTS_45),
+	.D(n_6735),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][4]  (
+	.CLK(CTS_46),
+	.D(n_7318),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][5]  (
+	.CLK(CTS_45),
+	.D(n_7813),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][6]  (
+	.CLK(CTS_46),
+	.D(n_7317),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[197][7]  (
+	.CLK(CTS_127),
+	.D(n_7316),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][0]  (
+	.CLK(CTS_46),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][1]  (
+	.CLK(CTS_127),
+	.D(n_7315),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][2]  (
+	.CLK(CTS_127),
+	.D(n_7314),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][3]  (
+	.CLK(CTS_45),
+	.D(n_7313),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][4]  (
+	.CLK(CTS_45),
+	.D(n_7312),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][5]  (
+	.CLK(CTS_45),
+	.D(n_7311),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][6]  (
+	.CLK(CTS_45),
+	.D(n_7310),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[198][7]  (
+	.CLK(CTS_127),
+	.D(n_7309),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][0]  (
+	.CLK(CTS_46),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
+	.SCE(n_5911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][1]  (
+	.CLK(CTS_46),
+	.D(n_7307),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][2]  (
+	.CLK(CTS_46),
+	.D(n_8548),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][3]  (
+	.CLK(CTS_46),
+	.D(n_7306),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][4]  (
+	.CLK(CTS_46),
+	.D(n_8557),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][5]  (
+	.CLK(CTS_46),
+	.D(n_7305),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][6]  (
+	.CLK(CTS_46),
+	.D(n_7304),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[199][7]  (
+	.CLK(CTS_46),
+	.D(n_7303),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][1]  (
+	.CLK(CTS_45),
+	.D(n_7302),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][2]  (
+	.CLK(CTS_45),
+	.D(n_7301),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][3]  (
+	.CLK(CTS_45),
+	.D(n_7300),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][4]  (
+	.CLK(CTS_45),
+	.D(n_7299),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][5]  (
+	.CLK(CTS_24),
+	.D(n_7298),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][6]  (
+	.CLK(CTS_45),
+	.D(n_7297),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[200][7]  (
+	.CLK(CTS_45),
+	.D(n_7296),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][1]  (
+	.CLK(CTS_45),
+	.D(n_8073),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][2]  (
+	.CLK(CTS_45),
+	.D(n_7294),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][3]  (
+	.CLK(CTS_45),
+	.D(n_8080),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][4]  (
+	.CLK(CTS_45),
+	.D(n_7293),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][5]  (
+	.CLK(CTS_24),
+	.D(n_7291),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][6]  (
+	.CLK(CTS_24),
+	.D(n_7290),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[201][7]  (
+	.CLK(CTS_45),
+	.D(n_8085),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][1]  (
+	.CLK(CTS_45),
+	.D(n_7289),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][2]  (
+	.CLK(CTS_45),
+	.D(n_7288),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][3]  (
+	.CLK(CTS_45),
+	.D(n_7287),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][4]  (
+	.CLK(CTS_24),
+	.D(n_7286),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][5]  (
+	.CLK(CTS_24),
+	.D(n_7285),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][6]  (
+	.CLK(CTS_24),
+	.D(n_7284),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[202][7]  (
+	.CLK(CTS_24),
+	.D(n_7283),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][0]  (
+	.CLK(CTS_24),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
+	.SCE(n_5934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][1]  (
+	.CLK(CTS_24),
+	.D(n_7282),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][2]  (
+	.CLK(CTS_24),
+	.D(n_8097),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][3]  (
+	.CLK(CTS_24),
+	.D(n_7281),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][4]  (
+	.CLK(CTS_24),
+	.D(n_7280),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][5]  (
+	.CLK(CTS_24),
+	.D(n_7278),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][6]  (
+	.CLK(CTS_24),
+	.D(n_8083),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[203][7]  (
+	.CLK(CTS_24),
+	.D(n_7277),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][1]  (
+	.CLK(CTS_45),
+	.D(n_7276),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][2]  (
+	.CLK(CTS_45),
+	.D(n_7275),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][3]  (
+	.CLK(CTS_45),
+	.D(n_7274),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][4]  (
+	.CLK(CTS_45),
+	.D(n_7273),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][5]  (
+	.CLK(CTS_45),
+	.D(n_7272),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][6]  (
+	.CLK(CTS_45),
+	.D(n_7271),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[204][7]  (
+	.CLK(CTS_45),
+	.D(n_7270),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][1]  (
+	.CLK(CTS_45),
+	.D(n_6794),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][2]  (
+	.CLK(CTS_45),
+	.D(n_7269),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][3]  (
+	.CLK(CTS_45),
+	.D(n_7268),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][4]  (
+	.CLK(CTS_45),
+	.D(n_7267),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][5]  (
+	.CLK(CTS_45),
+	.D(n_8071),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][6]  (
+	.CLK(CTS_45),
+	.D(n_7266),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[205][7]  (
+	.CLK(CTS_45),
+	.D(n_8054),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][1]  (
+	.CLK(CTS_45),
+	.D(n_7265),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][2]  (
+	.CLK(CTS_45),
+	.D(n_7264),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][3]  (
+	.CLK(CTS_45),
+	.D(n_7263),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][4]  (
+	.CLK(CTS_45),
+	.D(n_7262),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][5]  (
+	.CLK(CTS_45),
+	.D(n_7261),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][6]  (
+	.CLK(CTS_45),
+	.D(n_7260),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[206][7]  (
+	.CLK(CTS_45),
+	.D(n_7259),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][1]  (
+	.CLK(CTS_45),
+	.D(n_7258),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][2]  (
+	.CLK(CTS_45),
+	.D(n_7257),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][3]  (
+	.CLK(CTS_45),
+	.D(n_7256),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][4]  (
+	.CLK(CTS_45),
+	.D(n_8337),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][5]  (
+	.CLK(CTS_24),
+	.D(n_7255),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][6]  (
+	.CLK(CTS_24),
+	.D(n_8293),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[207][7]  (
+	.CLK(CTS_45),
+	.D(n_7254),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][1]  (
+	.CLK(CTS_24),
+	.D(n_8300),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][2]  (
+	.CLK(CTS_42),
+	.D(n_7253),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][3]  (
+	.CLK(CTS_24),
+	.D(n_8067),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][4]  (
+	.CLK(CTS_42),
+	.D(n_7252),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][5]  (
+	.CLK(CTS_24),
+	.D(n_8057),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][6]  (
+	.CLK(CTS_42),
+	.D(n_8068),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[208][7]  (
+	.CLK(CTS_24),
+	.D(n_7251),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][1]  (
+	.CLK(CTS_24),
+	.D(n_8129),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][2]  (
+	.CLK(CTS_22),
+	.D(n_7250),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][3]  (
+	.CLK(CTS_24),
+	.D(n_8319),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][4]  (
+	.CLK(CTS_24),
+	.D(n_7249),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][5]  (
+	.CLK(CTS_24),
+	.D(n_8062),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][6]  (
+	.CLK(CTS_24),
+	.D(n_7248),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[209][7]  (
+	.CLK(CTS_24),
+	.D(n_7247),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][1]  (
+	.CLK(CTS_24),
+	.D(n_7246),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][2]  (
+	.CLK(CTS_42),
+	.D(n_7245),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][3]  (
+	.CLK(CTS_24),
+	.D(n_7244),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][4]  (
+	.CLK(CTS_46),
+	.D(n_7243),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][5]  (
+	.CLK(CTS_24),
+	.D(n_7242),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][6]  (
+	.CLK(CTS_42),
+	.D(n_7241),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[210][7]  (
+	.CLK(CTS_24),
+	.D(n_7240),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][1]  (
+	.CLK(CTS_24),
+	.D(n_7239),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][2]  (
+	.CLK(CTS_42),
+	.D(n_8540),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][3]  (
+	.CLK(CTS_42),
+	.D(n_7237),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][4]  (
+	.CLK(CTS_46),
+	.D(n_7607),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][5]  (
+	.CLK(CTS_42),
+	.D(n_7236),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][6]  (
+	.CLK(CTS_42),
+	.D(n_7235),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[211][7]  (
+	.CLK(CTS_24),
+	.D(n_7234),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][1]  (
+	.CLK(CTS_42),
+	.D(n_7233),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][2]  (
+	.CLK(CTS_42),
+	.D(n_7232),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][3]  (
+	.CLK(CTS_42),
+	.D(n_7231),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][4]  (
+	.CLK(CTS_42),
+	.D(n_7230),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][5]  (
+	.CLK(CTS_42),
+	.D(n_7229),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][6]  (
+	.CLK(CTS_42),
+	.D(n_7228),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[212][7]  (
+	.CLK(CTS_42),
+	.D(n_7227),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][1]  (
+	.CLK(CTS_42),
+	.D(n_8178),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][2]  (
+	.CLK(CTS_42),
+	.D(n_7226),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][3]  (
+	.CLK(CTS_42),
+	.D(n_8240),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][4]  (
+	.CLK(CTS_42),
+	.D(n_7225),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][5]  (
+	.CLK(CTS_42),
+	.D(n_7224),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][6]  (
+	.CLK(CTS_42),
+	.D(n_7223),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[213][7]  (
+	.CLK(CTS_42),
+	.D(n_8143),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][0]  (
+	.CLK(CTS_42),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
+	.SCE(n_5923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][1]  (
+	.CLK(CTS_42),
+	.D(n_7222),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][2]  (
+	.CLK(CTS_42),
+	.D(n_7221),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][3]  (
+	.CLK(CTS_42),
+	.D(n_7220),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][4]  (
+	.CLK(CTS_42),
+	.D(n_7219),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][5]  (
+	.CLK(CTS_42),
+	.D(n_7218),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][6]  (
+	.CLK(CTS_42),
+	.D(n_7217),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[214][7]  (
+	.CLK(CTS_42),
+	.D(n_7216),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][0]  (
+	.CLK(CTS_42),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][1]  (
+	.CLK(CTS_42),
+	.D(n_7215),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][2]  (
+	.CLK(CTS_24),
+	.D(n_8036),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][3]  (
+	.CLK(CTS_22),
+	.D(n_7213),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][4]  (
+	.CLK(CTS_42),
+	.D(n_7212),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][5]  (
+	.CLK(CTS_22),
+	.D(n_7211),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][6]  (
+	.CLK(CTS_22),
+	.D(n_8046),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[215][7]  (
+	.CLK(CTS_42),
+	.D(n_7210),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][1]  (
+	.CLK(CTS_22),
+	.D(n_7209),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][2]  (
+	.CLK(CTS_22),
+	.D(n_7208),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][3]  (
+	.CLK(CTS_26),
+	.D(n_7207),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][4]  (
+	.CLK(CTS_22),
+	.D(n_7206),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][5]  (
+	.CLK(CTS_22),
+	.D(n_7205),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][6]  (
+	.CLK(CTS_22),
+	.D(n_7204),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[216][7]  (
+	.CLK(CTS_22),
+	.D(n_7203),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][1]  (
+	.CLK(CTS_22),
+	.D(n_6792),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][2]  (
+	.CLK(CTS_22),
+	.D(n_7201),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][3]  (
+	.CLK(CTS_22),
+	.D(n_7200),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][4]  (
+	.CLK(CTS_22),
+	.D(n_7199),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][5]  (
+	.CLK(CTS_22),
+	.D(n_8774),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][6]  (
+	.CLK(CTS_22),
+	.D(n_7198),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[217][7]  (
+	.CLK(CTS_22),
+	.D(n_8066),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][1]  (
+	.CLK(CTS_22),
+	.D(n_7197),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][2]  (
+	.CLK(CTS_36),
+	.D(n_7196),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][3]  (
+	.CLK(CTS_22),
+	.D(n_7195),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][4]  (
+	.CLK(CTS_36),
+	.D(n_7194),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][5]  (
+	.CLK(CTS_22),
+	.D(n_7193),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][6]  (
+	.CLK(CTS_22),
+	.D(n_7192),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[218][7]  (
+	.CLK(CTS_22),
+	.D(n_7191),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][1]  (
+	.CLK(CTS_22),
+	.D(n_7190),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][2]  (
+	.CLK(CTS_36),
+	.D(n_7189),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][3]  (
+	.CLK(CTS_36),
+	.D(n_7188),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][4]  (
+	.CLK(CTS_36),
+	.D(n_8602),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][5]  (
+	.CLK(CTS_36),
+	.D(n_7187),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][6]  (
+	.CLK(CTS_36),
+	.D(n_8365),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[219][7]  (
+	.CLK(CTS_22),
+	.D(n_7186),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][1]  (
+	.CLK(CTS_36),
+	.D(n_7185),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][2]  (
+	.CLK(CTS_36),
+	.D(n_7184),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][3]  (
+	.CLK(CTS_36),
+	.D(n_7183),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][4]  (
+	.CLK(CTS_36),
+	.D(n_7182),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][5]  (
+	.CLK(CTS_36),
+	.D(n_7181),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][6]  (
+	.CLK(CTS_36),
+	.D(n_7180),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[220][7]  (
+	.CLK(CTS_22),
+	.D(n_7179),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][0]  (
+	.CLK(CTS_36),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][1]  (
+	.CLK(CTS_36),
+	.D(n_7177),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][2]  (
+	.CLK(CTS_36),
+	.D(n_7176),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][3]  (
+	.CLK(CTS_36),
+	.D(n_8124),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][4]  (
+	.CLK(CTS_36),
+	.D(n_7174),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][5]  (
+	.CLK(CTS_36),
+	.D(n_8114),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][6]  (
+	.CLK(CTS_42),
+	.D(n_7173),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[221][7]  (
+	.CLK(CTS_26),
+	.D(n_8117),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][0]  (
+	.CLK(CTS_36),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
+	.SCE(n_5930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][1]  (
+	.CLK(CTS_36),
+	.D(n_7171),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][2]  (
+	.CLK(CTS_36),
+	.D(n_7170),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][3]  (
+	.CLK(CTS_36),
+	.D(n_7169),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][4]  (
+	.CLK(CTS_36),
+	.D(n_7168),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][5]  (
+	.CLK(CTS_36),
+	.D(n_7167),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][6]  (
+	.CLK(CTS_36),
+	.D(n_7166),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[222][7]  (
+	.CLK(CTS_36),
+	.D(n_7165),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][1]  (
+	.CLK(CTS_22),
+	.D(n_7164),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][2]  (
+	.CLK(CTS_22),
+	.D(n_8118),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][3]  (
+	.CLK(CTS_22),
+	.D(n_7162),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][4]  (
+	.CLK(CTS_22),
+	.D(n_8122),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][5]  (
+	.CLK(CTS_22),
+	.D(n_7161),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][6]  (
+	.CLK(CTS_22),
+	.D(n_6916),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[223][7]  (
+	.CLK(CTS_22),
+	.D(n_7160),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][1]  (
+	.CLK(CTS_45),
+	.D(n_6911),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][2]  (
+	.CLK(CTS_23),
+	.D(n_7159),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][3]  (
+	.CLK(CTS_45),
+	.D(n_6901),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][4]  (
+	.CLK(CTS_45),
+	.D(n_7158),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][5]  (
+	.CLK(CTS_45),
+	.D(n_7156),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][6]  (
+	.CLK(CTS_23),
+	.D(n_7155),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[224][7]  (
+	.CLK(CTS_45),
+	.D(n_6923),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][1]  (
+	.CLK(CTS_45),
+	.D(n_8140),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][2]  (
+	.CLK(CTS_45),
+	.D(n_7153),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][3]  (
+	.CLK(CTS_24),
+	.D(n_7329),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][4]  (
+	.CLK(CTS_45),
+	.D(n_7152),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][5]  (
+	.CLK(CTS_45),
+	.D(n_7151),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][6]  (
+	.CLK(CTS_45),
+	.D(n_7150),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[225][7]  (
+	.CLK(CTS_45),
+	.D(n_6928),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][1]  (
+	.CLK(CTS_24),
+	.D(n_7149),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][2]  (
+	.CLK(CTS_23),
+	.D(n_7148),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][3]  (
+	.CLK(CTS_45),
+	.D(n_7147),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][4]  (
+	.CLK(CTS_24),
+	.D(n_7146),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][5]  (
+	.CLK(CTS_45),
+	.D(n_7145),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][6]  (
+	.CLK(CTS_23),
+	.D(n_7144),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[226][7]  (
+	.CLK(CTS_24),
+	.D(n_7142),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][1]  (
+	.CLK(CTS_45),
+	.D(n_7141),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][2]  (
+	.CLK(CTS_23),
+	.D(n_8176),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][3]  (
+	.CLK(CTS_23),
+	.D(n_7140),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][4]  (
+	.CLK(CTS_24),
+	.D(n_7139),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][5]  (
+	.CLK(CTS_23),
+	.D(n_7138),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][6]  (
+	.CLK(CTS_23),
+	.D(n_8019),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[227][7]  (
+	.CLK(CTS_23),
+	.D(n_7137),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][0]  (
+	.CLK(CTS_45),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][1]  (
+	.CLK(CTS_45),
+	.D(n_7136),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][2]  (
+	.CLK(CTS_45),
+	.D(n_7135),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][3]  (
+	.CLK(CTS_23),
+	.D(n_7134),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][4]  (
+	.CLK(CTS_45),
+	.D(n_7133),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][5]  (
+	.CLK(CTS_45),
+	.D(n_7132),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][6]  (
+	.CLK(CTS_45),
+	.D(n_7131),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[228][7]  (
+	.CLK(CTS_45),
+	.D(n_7130),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][0]  (
+	.CLK(CTS_45),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
+	.SCE(n_5922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][1]  (
+	.CLK(CTS_45),
+	.D(n_8320),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][2]  (
+	.CLK(CTS_45),
+	.D(n_7129),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][3]  (
+	.CLK(CTS_45),
+	.D(n_7128),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][4]  (
+	.CLK(CTS_45),
+	.D(n_7127),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][5]  (
+	.CLK(CTS_45),
+	.D(n_7849),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][6]  (
+	.CLK(CTS_45),
+	.D(n_7125),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[229][7]  (
+	.CLK(CTS_45),
+	.D(n_7749),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][0]  (
+	.CLK(CTS_45),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][1]  (
+	.CLK(CTS_23),
+	.D(n_7124),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][2]  (
+	.CLK(CTS_23),
+	.D(n_7123),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][3]  (
+	.CLK(CTS_23),
+	.D(n_7122),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][4]  (
+	.CLK(CTS_45),
+	.D(n_7121),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][5]  (
+	.CLK(CTS_23),
+	.D(n_7120),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][6]  (
+	.CLK(CTS_23),
+	.D(n_7119),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[230][7]  (
+	.CLK(CTS_23),
+	.D(n_7118),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][1]  (
+	.CLK(CTS_23),
+	.D(n_7117),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][2]  (
+	.CLK(CTS_24),
+	.D(n_7116),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][3]  (
+	.CLK(CTS_24),
+	.D(n_7115),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][4]  (
+	.CLK(CTS_23),
+	.D(n_8263),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][5]  (
+	.CLK(CTS_24),
+	.D(n_7113),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][6]  (
+	.CLK(CTS_24),
+	.D(n_8048),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[231][7]  (
+	.CLK(CTS_23),
+	.D(n_7112),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][1]  (
+	.CLK(CTS_23),
+	.D(n_7111),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][2]  (
+	.CLK(CTS_23),
+	.D(n_7110),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][3]  (
+	.CLK(CTS_23),
+	.D(n_7109),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][4]  (
+	.CLK(CTS_23),
+	.D(n_7108),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][5]  (
+	.CLK(CTS_23),
+	.D(n_7107),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][6]  (
+	.CLK(CTS_23),
+	.D(n_7106),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[232][7]  (
+	.CLK(CTS_23),
+	.D(n_7105),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][0]  (
+	.CLK(CTS_23),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
+	.SCE(n_5985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][1]  (
+	.CLK(CTS_23),
+	.D(n_7104),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][2]  (
+	.CLK(CTS_24),
+	.D(n_7103),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][3]  (
+	.CLK(CTS_24),
+	.D(n_8258),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][4]  (
+	.CLK(CTS_23),
+	.D(n_7102),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][5]  (
+	.CLK(CTS_24),
+	.D(n_8192),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][6]  (
+	.CLK(CTS_24),
+	.D(n_7101),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[233][7]  (
+	.CLK(CTS_23),
+	.D(n_6787),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][0]  (
+	.CLK(CTS_23),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][1]  (
+	.CLK(CTS_23),
+	.D(n_7100),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][2]  (
+	.CLK(CTS_23),
+	.D(n_7098),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][3]  (
+	.CLK(CTS_23),
+	.D(n_7097),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][4]  (
+	.CLK(CTS_23),
+	.D(n_7096),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][5]  (
+	.CLK(CTS_23),
+	.D(n_7095),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][6]  (
+	.CLK(CTS_23),
+	.D(n_7094),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[234][7]  (
+	.CLK(CTS_23),
+	.D(n_7093),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][0]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][1]  (
+	.CLK(CTS_23),
+	.D(n_7092),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][2]  (
+	.CLK(CTS_23),
+	.D(n_7091),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][3]  (
+	.CLK(CTS_23),
+	.D(n_7090),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][4]  (
+	.CLK(CTS_25),
+	.D(n_7089),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][5]  (
+	.CLK(CTS_23),
+	.D(n_7088),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][6]  (
+	.CLK(CTS_23),
+	.D(n_7087),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[235][7]  (
+	.CLK(CTS_23),
+	.D(n_7086),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][1]  (
+	.CLK(CTS_23),
+	.D(n_7085),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][2]  (
+	.CLK(CTS_23),
+	.D(n_7084),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][3]  (
+	.CLK(CTS_23),
+	.D(n_7083),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][4]  (
+	.CLK(CTS_25),
+	.D(n_7082),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][5]  (
+	.CLK(CTS_23),
+	.D(n_7081),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][6]  (
+	.CLK(CTS_23),
+	.D(n_7080),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[236][7]  (
+	.CLK(CTS_23),
+	.D(n_7079),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][1]  (
+	.CLK(CTS_23),
+	.D(n_7078),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][2]  (
+	.CLK(CTS_23),
+	.D(n_7077),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][3]  (
+	.CLK(CTS_23),
+	.D(n_7076),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][4]  (
+	.CLK(CTS_23),
+	.D(n_7075),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][5]  (
+	.CLK(CTS_23),
+	.D(n_7074),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][6]  (
+	.CLK(CTS_23),
+	.D(n_7073),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[237][7]  (
+	.CLK(CTS_23),
+	.D(n_7072),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][1]  (
+	.CLK(CTS_23),
+	.D(n_7071),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][2]  (
+	.CLK(CTS_23),
+	.D(n_7070),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][3]  (
+	.CLK(CTS_23),
+	.D(n_7069),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][4]  (
+	.CLK(CTS_23),
+	.D(n_7068),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][5]  (
+	.CLK(CTS_23),
+	.D(n_7067),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][6]  (
+	.CLK(CTS_23),
+	.D(n_7066),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[238][7]  (
+	.CLK(CTS_23),
+	.D(n_7065),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][1]  (
+	.CLK(CTS_23),
+	.D(n_7344),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][2]  (
+	.CLK(CTS_24),
+	.D(n_7063),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][3]  (
+	.CLK(CTS_23),
+	.D(n_7062),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][4]  (
+	.CLK(CTS_23),
+	.D(n_6958),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][5]  (
+	.CLK(CTS_23),
+	.D(n_7061),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][6]  (
+	.CLK(CTS_23),
+	.D(n_7060),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[239][7]  (
+	.CLK(CTS_23),
+	.D(n_7059),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][0]  (
+	.CLK(CTS_22),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
+	.SCE(n_5929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][1]  (
+	.CLK(CTS_22),
+	.D(n_7058),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][2]  (
+	.CLK(CTS_22),
+	.D(n_6879),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][3]  (
+	.CLK(CTS_22),
+	.D(n_6886),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][4]  (
+	.CLK(CTS_22),
+	.D(n_7056),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][5]  (
+	.CLK(CTS_22),
+	.D(n_7055),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][6]  (
+	.CLK(CTS_22),
+	.D(n_6885),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[240][7]  (
+	.CLK(CTS_22),
+	.D(n_7054),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][0]  (
+	.CLK(CTS_22),
+	.D(n_545),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
+	.RESET_B(n_544),
+	.SCD(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
+	.SCE(n_5945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][1]  (
+	.CLK(CTS_22),
+	.D(n_6881),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][2]  (
+	.CLK(CTS_22),
+	.D(n_7053),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][3]  (
+	.CLK(CTS_22),
+	.D(n_7052),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][4]  (
+	.CLK(CTS_22),
+	.D(n_7051),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][5]  (
+	.CLK(CTS_22),
+	.D(n_6873),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][6]  (
+	.CLK(CTS_22),
+	.D(n_7050),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[241][7]  (
+	.CLK(CTS_22),
+	.D(n_7049),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][1]  (
+	.CLK(CTS_25),
+	.D(n_7048),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][2]  (
+	.CLK(CTS_26),
+	.D(n_7047),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][3]  (
+	.CLK(CTS_22),
+	.D(n_7046),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][4]  (
+	.CLK(CTS_25),
+	.D(n_7045),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][5]  (
+	.CLK(CTS_25),
+	.D(n_6833),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][6]  (
+	.CLK(CTS_25),
+	.D(n_7044),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[242][7]  (
+	.CLK(CTS_25),
+	.D(n_7043),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][1]  (
+	.CLK(CTS_25),
+	.D(n_7042),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][2]  (
+	.CLK(CTS_25),
+	.D(n_7040),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][3]  (
+	.CLK(CTS_25),
+	.D(n_7039),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][4]  (
+	.CLK(CTS_25),
+	.D(n_7038),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][5]  (
+	.CLK(CTS_25),
+	.D(n_7036),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][6]  (
+	.CLK(CTS_25),
+	.D(n_7035),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[243][7]  (
+	.CLK(CTS_25),
+	.D(n_7034),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][1]  (
+	.CLK(CTS_25),
+	.D(n_7033),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][2]  (
+	.CLK(CTS_22),
+	.D(n_7032),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][3]  (
+	.CLK(CTS_25),
+	.D(n_7031),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][4]  (
+	.CLK(CTS_22),
+	.D(n_7030),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][5]  (
+	.CLK(CTS_25),
+	.D(n_7029),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][6]  (
+	.CLK(CTS_25),
+	.D(n_7027),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[244][7]  (
+	.CLK(CTS_25),
+	.D(n_7026),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][1]  (
+	.CLK(CTS_25),
+	.D(n_7025),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][2]  (
+	.CLK(CTS_22),
+	.D(n_7024),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][3]  (
+	.CLK(CTS_25),
+	.D(n_7023),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][4]  (
+	.CLK(CTS_26),
+	.D(n_6780),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][5]  (
+	.CLK(CTS_25),
+	.D(n_7022),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][6]  (
+	.CLK(CTS_22),
+	.D(n_7021),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[245][7]  (
+	.CLK(CTS_25),
+	.D(n_6871),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][1]  (
+	.CLK(CTS_25),
+	.D(n_7020),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][2]  (
+	.CLK(CTS_26),
+	.D(n_7019),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][3]  (
+	.CLK(CTS_25),
+	.D(n_7018),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][4]  (
+	.CLK(CTS_26),
+	.D(n_7017),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][5]  (
+	.CLK(CTS_25),
+	.D(n_7016),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][6]  (
+	.CLK(CTS_25),
+	.D(n_7015),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[246][7]  (
+	.CLK(CTS_25),
+	.D(n_7014),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][1]  (
+	.CLK(CTS_25),
+	.D(n_7013),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][2]  (
+	.CLK(CTS_22),
+	.D(n_7012),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][3]  (
+	.CLK(CTS_25),
+	.D(n_7011),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][4]  (
+	.CLK(CTS_22),
+	.D(n_7010),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][5]  (
+	.CLK(CTS_25),
+	.D(n_7009),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][6]  (
+	.CLK(CTS_25),
+	.D(n_7008),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[247][7]  (
+	.CLK(CTS_25),
+	.D(n_7007),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][1]  (
+	.CLK(CTS_25),
+	.D(n_7006),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][2]  (
+	.CLK(CTS_25),
+	.D(n_7005),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][3]  (
+	.CLK(CTS_25),
+	.D(n_7004),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][4]  (
+	.CLK(CTS_25),
+	.D(n_7003),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][5]  (
+	.CLK(CTS_25),
+	.D(n_7002),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][6]  (
+	.CLK(CTS_25),
+	.D(n_7001),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[248][7]  (
+	.CLK(CTS_25),
+	.D(n_7000),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][1]  (
+	.CLK(CTS_25),
+	.D(n_6822),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][2]  (
+	.CLK(CTS_25),
+	.D(n_6998),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][3]  (
+	.CLK(CTS_25),
+	.D(n_8225),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][4]  (
+	.CLK(CTS_25),
+	.D(n_6997),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][5]  (
+	.CLK(CTS_25),
+	.D(n_6854),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][6]  (
+	.CLK(CTS_25),
+	.D(n_6996),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[249][7]  (
+	.CLK(CTS_25),
+	.D(n_6995),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][0]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][1]  (
+	.CLK(CTS_25),
+	.D(n_6994),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][2]  (
+	.CLK(CTS_25),
+	.D(n_6993),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][3]  (
+	.CLK(CTS_25),
+	.D(n_6992),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][4]  (
+	.CLK(CTS_25),
+	.D(n_6991),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][5]  (
+	.CLK(CTS_25),
+	.D(n_6990),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][6]  (
+	.CLK(CTS_25),
+	.D(n_6989),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[250][7]  (
+	.CLK(CTS_25),
+	.D(n_6988),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][0]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][1]  (
+	.CLK(CTS_25),
+	.D(n_6987),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][2]  (
+	.CLK(CTS_25),
+	.D(n_6837),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][3]  (
+	.CLK(CTS_25),
+	.D(n_6986),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][4]  (
+	.CLK(CTS_25),
+	.D(n_6831),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][5]  (
+	.CLK(CTS_25),
+	.D(n_6984),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][6]  (
+	.CLK(CTS_25),
+	.D(n_6835),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[251][7]  (
+	.CLK(CTS_25),
+	.D(n_6983),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][0]  (
+	.CLK(CTS_24),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][1]  (
+	.CLK(CTS_28),
+	.D(n_6982),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][2]  (
+	.CLK(CTS_25),
+	.D(n_6981),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][3]  (
+	.CLK(CTS_25),
+	.D(n_6980),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][4]  (
+	.CLK(CTS_25),
+	.D(n_6979),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][5]  (
+	.CLK(CTS_25),
+	.D(n_6978),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][6]  (
+	.CLK(CTS_25),
+	.D(n_6977),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[252][7]  (
+	.CLK(CTS_25),
+	.D(n_6976),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][0]  (
+	.CLK(CTS_22),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][1]  (
+	.CLK(CTS_25),
+	.D(n_8217),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][2]  (
+	.CLK(CTS_25),
+	.D(n_6786),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][3]  (
+	.CLK(CTS_28),
+	.D(n_6830),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][4]  (
+	.CLK(CTS_25),
+	.D(n_6975),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][5]  (
+	.CLK(CTS_25),
+	.D(n_6790),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][6]  (
+	.CLK(CTS_25),
+	.D(n_6974),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[253][7]  (
+	.CLK(CTS_25),
+	.D(n_8216),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][0]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][1]  (
+	.CLK(CTS_25),
+	.D(n_6972),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][2]  (
+	.CLK(CTS_25),
+	.D(n_6971),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][3]  (
+	.CLK(CTS_28),
+	.D(n_6970),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][4]  (
+	.CLK(CTS_25),
+	.D(n_6969),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][5]  (
+	.CLK(CTS_25),
+	.D(n_6968),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][6]  (
+	.CLK(CTS_25),
+	.D(n_6967),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[254][7]  (
+	.CLK(CTS_25),
+	.D(n_6966),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][0]  (
+	.CLK(CTS_25),
+	.D(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
+	.RESET_B(n_544),
+	.SCD(n_545),
+	.SCE(n_5582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][1]  (
+	.CLK(CTS_25),
+	.D(n_6965),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][2]  (
+	.CLK(CTS_25),
+	.D(n_8211),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][3]  (
+	.CLK(CTS_25),
+	.D(n_6964),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][4]  (
+	.CLK(CTS_25),
+	.D(n_6785),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][5]  (
+	.CLK(CTS_25),
+	.D(n_6847),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][6]  (
+	.CLK(CTS_25),
+	.D(n_8206),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer_reg[255][7]  (
+	.CLK(CTS_25),
+	.D(n_6962),
+	.Q(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[0]  (
+	.CLK(CTS_23),
+	.D(n_6488),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[1]  (
+	.CLK(CTS_23),
+	.D(n_9690),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[3]  (
+	.CLK(CTS_24),
+	.D(n_11587),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[4]  (
+	.CLK(CTS_24),
+	.D(n_12153),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[6]  (
+	.CLK(CTS_24),
+	.D(n_12372),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[7]  (
+	.CLK(CTS_24),
+	.D(n_12431),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[0]  (
+	.CLK(CTS_22),
+	.D(n_2753),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[1]  (
+	.CLK(CTS_22),
+	.D(n_4699),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[4]  (
+	.CLK(CTS_22),
+	.D(n_8617),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[5]  (
+	.CLK(CTS_22),
+	.D(n_9698),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[6]  (
+	.CLK(CTS_22),
+	.D(n_8542),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[8]  (
+	.CLK(CTS_22),
+	.D(n_9953),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 soc_top_u_uart_u_uart_core_rx_clr_reg (
+	.CLK(CTS_57),
+	.D(n_2673),
+	.Q(soc_top_u_uart_u_uart_core_rx_clr),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_rx_en_reg (
+	.CLK(CTS_57),
+	.D(n_2667),
+	.Q(soc_top_u_uart_u_uart_core_rx_en),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 soc_top_u_uart_u_uart_core_rx_status_reg (
+	.CLK(CTS_57),
+	.D(soc_top_u_uart_u_uart_core_rx_status),
+	.Q(soc_top_u_uart_u_uart_core_rx_status),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_uart_u_uart_core_rx_intr),
+	.SCE(n_1118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_1259),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.RESET_B(n_544),
+	.SCD(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.SCE(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_2640),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[5]  (
+	.CLK(CTS_50),
+	.D(n_2724),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[8]  (
+	.CLK(CTS_50),
+	.D(n_2611),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[10]  (
+	.CLK(CTS_50),
+	.D(n_2648),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[12]  (
+	.CLK(CTS_50),
+	.D(n_2739),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[15]  (
+	.CLK(CTS_50),
+	.D(n_2603),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[17]  (
+	.CLK(CTS_50),
+	.D(n_2596),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[23]  (
+	.CLK(CTS_57),
+	.D(n_2664),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[24]  (
+	.CLK(CTS_57),
+	.D(n_2730),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[25]  (
+	.CLK(CTS_57),
+	.D(n_2728),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[26]  (
+	.CLK(CTS_57),
+	.D(n_2677),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[27]  (
+	.CLK(CTS_57),
+	.D(n_2682),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[28]  (
+	.CLK(CTS_57),
+	.D(n_2717),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[29]  (
+	.CLK(CTS_57),
+	.D(n_2589),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[30]  (
+	.CLK(CTS_57),
+	.D(n_2752),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[31]  (
+	.CLK(CTS_57),
+	.D(n_2729),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_rx_time_rx_timeout_o_reg (
+	.CLK(CTS_57),
+	.D(n_30025),
+	.Q(soc_top_u_uart_u_uart_core_rx_intr),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[0]  (
+	.CLK(CTS_50),
+	.D(n_2988),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_2986),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_2987),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfstp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_2990),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[3]),
+	.SET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[4]  (
+	.CLK(CTS_50),
+	.D(n_3011),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[5]  (
+	.CLK(CTS_50),
+	.D(n_2984),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[6]  (
+	.CLK(CTS_50),
+	.D(n_2985),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[6]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_3012),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[8]  (
+	.CLK(CTS_50),
+	.D(n_3013),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[9]  (
+	.CLK(CTS_50),
+	.D(n_2980),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[10]  (
+	.CLK(CTS_50),
+	.D(n_2965),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[11]  (
+	.CLK(CTS_50),
+	.D(n_2994),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[12]  (
+	.CLK(CTS_50),
+	.D(n_2982),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[13]  (
+	.CLK(CTS_50),
+	.D(n_2983),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[13]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[14]  (
+	.CLK(CTS_50),
+	.D(n_3014),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[15]  (
+	.CLK(CTS_50),
+	.D(n_2995),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[16]  (
+	.CLK(CTS_50),
+	.D(n_2966),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[17]  (
+	.CLK(CTS_50),
+	.D(n_2979),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[18]  (
+	.CLK(CTS_50),
+	.D(n_2952),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[18]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[19]  (
+	.CLK(CTS_50),
+	.D(n_2996),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[20]  (
+	.CLK(CTS_50),
+	.D(n_3015),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[21]  (
+	.CLK(CTS_50),
+	.D(n_2981),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[21]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[22]  (
+	.CLK(CTS_50),
+	.D(n_2977),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_uart_u_uart_core_rx_time_state_reg_reg[0]  (
+	.CLK(CTS_57),
+	.D(n_3840),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfrtp_2 \soc_top_u_uart_u_uart_core_rx_time_state_reg_reg[1]  (
+	.CLK(CTS_57),
+	.D(n_2972),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.RESET_B(n_544),
+	.SCD(io_oeb[26]),
+	.SCE(io_oeb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[0]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [0]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[1]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [1]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[2]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [2]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[3]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [3]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[4]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [4]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[5]  (
+	.CLK(CTS_127),
+	.D(\soc_top_xbar_to_timer[a_data] [5]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[6]  (
+	.CLK(CTS_127),
+	.D(\soc_top_xbar_to_timer[a_data] [6]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[7]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [7]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[8]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [8]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[9]  (
+	.CLK(CTS_127),
+	.D(\soc_top_xbar_to_timer[a_data] [9]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[10]  (
+	.CLK(CTS_127),
+	.D(\soc_top_xbar_to_timer[a_data] [10]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[11]  (
+	.CLK(CTS_127),
+	.D(\soc_top_xbar_to_timer[a_data] [11]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[12]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [12]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[13]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [13]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[14]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [14]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[15]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [15]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[16]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [16]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[17]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [17]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[18]  (
+	.CLK(CTS_49),
+	.D(\soc_top_xbar_to_timer[a_data] [18]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[19]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [19]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[20]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [20]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[21]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [21]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[22]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [22]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[23]  (
+	.CLK(CTS_50),
+	.D(\soc_top_xbar_to_timer[a_data] [23]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[24]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [24]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[25]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [25]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[26]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [26]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[27]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [27]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[28]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [28]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[29]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [29]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[30]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [30]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__sdfxtp_1 \soc_top_u_uart_u_uart_core_rx_timeout_reg[31]  (
+	.CLK(CTS_57),
+	.D(\soc_top_xbar_to_timer[a_data] [31]),
+	.Q(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.SCD(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.SCE(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_en_reg (
+	.CLK(CTS_55),
+	.D(n_2672),
+	.Q(soc_top_u_uart_u_uart_core_tx_en),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_fifo_clear_reg (
+	.CLK(CTS_55),
+	.D(n_4170),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_fifo_init_reg (
+	.CLK(CTS_55),
+	.D(n_2575),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 soc_top_u_uart_u_uart_core_tx_fifo_reset_reg (
+	.CLK(CTS_55),
+	.D(n_4171),
+	.Q(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773596 (
+	.A1(n_3312),
+	.A2(n_11918),
+	.B1(n_64731),
+	.B2(n_9383),
+	.C1(n_12744),
+	.Y(n_12748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773597 (
+	.A(n_52377),
+	.B(n_12746),
+	.Y(n_12747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773598 (
+	.A(n_12745),
+	.B(n_11153),
+	.Y(n_12746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773600 (
+	.A1(n_3312),
+	.A2(n_11919),
+	.B1(n_162),
+	.B2(n_9383),
+	.C1(n_12740),
+	.Y(n_12745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773601 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [30]),
+	.B1(n_8961),
+	.B2(n_3070),
+	.C1(n_12741),
+	.Y(n_12744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773602 (
+	.A(n_33326),
+	.B(n_12742),
+	.Y(n_12743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773603 (
+	.A(n_12738),
+	.B(n_11153),
+	.Y(n_12742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773604 (
+	.A1(n_10570),
+	.A2(n_2380),
+	.B1(n_162),
+	.B2(n_4484),
+	.C1(n_12739),
+	.Y(n_12741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773605 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [29]),
+	.B1(n_8961),
+	.B2(n_3188),
+	.C1(n_12736),
+	.Y(n_12740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773607 (
+	.A1(n_1918),
+	.A2(n_43984),
+	.B1(n_12737),
+	.Y(n_12739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773608 (
+	.A1(n_3312),
+	.A2(n_11920),
+	.B1(n_794),
+	.B2(n_9383),
+	.C1(n_12732),
+	.Y(n_12738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g773609 (
+	.A1(n_12726),
+	.A2(n_3919),
+	.B1(n_9991),
+	.C1(n_10228),
+	.D1(n_10728),
+	.Y(n_12737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773610 (
+	.A1(n_10411),
+	.A2(n_2380),
+	.B1(n_794),
+	.B2(n_4484),
+	.C1(n_12733),
+	.Y(n_12736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773611 (
+	.A(n_56922),
+	.B(n_12734),
+	.Y(n_12735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773612 (
+	.A(n_12730),
+	.B(n_11153),
+	.Y(n_12734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773614 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.B1(n_12731),
+	.Y(n_12733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773615 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [28]),
+	.B1(n_8961),
+	.B2(n_3166),
+	.C1(n_12729),
+	.Y(n_12732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773616 (
+	.A(n_10729),
+	.B(n_10229),
+	.C(n_12723),
+	.D(n_9990),
+	.Y(n_12731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773617 (
+	.A1(n_3312),
+	.A2(n_11921),
+	.B1(n_163),
+	.B2(n_9383),
+	.C1(n_12724),
+	.Y(n_12730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773619 (
+	.A1(n_10314),
+	.A2(n_2380),
+	.B1(n_163),
+	.B2(n_4484),
+	.C1(n_12728),
+	.Y(n_12729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773621 (
+	.A1(n_1918),
+	.A2(n_49646),
+	.B1(n_12721),
+	.Y(n_12728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773622 (
+	.A(n_62500),
+	.B(n_12722),
+	.Y(n_12727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g773623 (
+	.A(n_12725),
+	.Y(n_12726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773624 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [27]),
+	.B1(n_8961),
+	.B2(n_3186),
+	.C1(n_12718),
+	.Y(n_12724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g773625 (
+	.A(n_11573),
+	.B(n_12719),
+	.X(n_12725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773626 (
+	.A(n_3920),
+	.B(n_12720),
+	.Y(n_12723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g773628 (
+	.A(n_12715),
+	.B(n_10559),
+	.C(n_12141),
+	.Y(n_12722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773629 (
+	.A(n_10730),
+	.B(n_10230),
+	.C(n_12713),
+	.D(n_9989),
+	.Y(n_12721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773630 (
+	.A(n_11580),
+	.B(n_12709),
+	.COUT(n_12719),
+	.SUM(n_12720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773632 (
+	.A1(n_10075),
+	.A2(n_2380),
+	.B1(n_152),
+	.B2(n_4484),
+	.C1(n_12714),
+	.Y(n_12718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773633 (
+	.A(n_10969),
+	.B(n_12716),
+	.Y(n_12717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773634 (
+	.A(n_12711),
+	.B(n_11153),
+	.Y(n_12716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773635 (
+	.A(n_10232),
+	.B(n_10523),
+	.C(n_12706),
+	.D(n_11005),
+	.Y(n_12715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773636 (
+	.A1(n_1918),
+	.A2(n_49744),
+	.B1(n_12712),
+	.Y(n_12714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773637 (
+	.A(n_3920),
+	.B(n_12710),
+	.Y(n_12713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773639 (
+	.A(n_10731),
+	.B(n_10231),
+	.C(n_12704),
+	.D(n_9988),
+	.Y(n_12712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773640 (
+	.A1(n_3312),
+	.A2(n_11922),
+	.B1(n_165),
+	.B2(n_9383),
+	.C1(n_12705),
+	.Y(n_12711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773641 (
+	.A(n_10978),
+	.B(n_12700),
+	.COUT(n_12709),
+	.SUM(n_12710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773643 (
+	.A(n_10968),
+	.B(n_12707),
+	.Y(n_12708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773644 (
+	.A(n_12702),
+	.B(n_11153),
+	.Y(n_12707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773645 (
+	.A1(n_4483),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B1(n_12703),
+	.Y(n_12706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773646 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [25]),
+	.B1(n_8961),
+	.B2(n_3184),
+	.C1(n_12698),
+	.Y(n_12705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773647 (
+	.A(n_3920),
+	.B(n_12701),
+	.Y(n_12704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773648 (
+	.A1(n_10029),
+	.A2(n_3135),
+	.B1(n_9380),
+	.B2(n_25668),
+	.C1(n_12699),
+	.X(n_12703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773649 (
+	.A1(n_3312),
+	.A2(n_11923),
+	.B1(n_790),
+	.B2(n_9383),
+	.C1(n_12696),
+	.Y(n_12702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773650 (
+	.A(n_10979),
+	.B(n_12692),
+	.COUT(n_12700),
+	.SUM(n_12701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773652 (
+	.A1(n_1918),
+	.A2(n_54412),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.C1(n_12695),
+	.X(n_12699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773653 (
+	.A1(n_10311),
+	.A2(n_2380),
+	.B1(n_790),
+	.B2(n_4484),
+	.C1(n_12697),
+	.Y(n_12698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773654 (
+	.A1(n_1918),
+	.A2(n_73723),
+	.B1(n_12694),
+	.Y(n_12697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773655 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [24]),
+	.B1(n_8961),
+	.B2(n_3093),
+	.C1(n_12691),
+	.Y(n_12696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g773656 (
+	.A1(n_3920),
+	.A2(n_12693),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [26]),
+	.X(n_12695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773657 (
+	.A(n_10732),
+	.B(n_10233),
+	.C(n_12688),
+	.D(n_9987),
+	.Y(n_12694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773658 (
+	.A(n_10976),
+	.B(n_12684),
+	.COUT(n_12692),
+	.SUM(n_12693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g773660 (
+	.A1(n_10310),
+	.A2(n_2380),
+	.B1(n_799),
+	.B2(n_4484),
+	.C1(n_12690),
+	.Y(n_12691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773662 (
+	.A1(n_1918),
+	.A2(n_68796),
+	.B1(n_12686),
+	.Y(n_12690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773663 (
+	.A(n_10965),
+	.B(n_12687),
+	.Y(n_12689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773664 (
+	.A(n_3920),
+	.B(n_12685),
+	.Y(n_12688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773665 (
+	.A(n_12683),
+	.B(n_12143),
+	.Y(n_12687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773666 (
+	.A(n_10733),
+	.B(n_10234),
+	.C(n_12680),
+	.D(n_9986),
+	.Y(n_12686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 g773667 (
+	.A(n_11161),
+	.B(n_12676),
+	.COUT(n_12684),
+	.SUM(n_12685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773670 (
+	.A(n_10353),
+	.B(n_10237),
+	.C(n_12675),
+	.D(n_9984),
+	.Y(n_12683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773672 (
+	.A(n_10967),
+	.B(n_12678),
+	.Y(n_12682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773673 (
+	.A(n_10966),
+	.B(n_12679),
+	.Y(n_12681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773674 (
+	.A(n_3920),
+	.B(n_12677),
+	.Y(n_12680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g773675 (
+	.A(n_12672),
+	.B(n_11102),
+	.C(n_12142),
+	.Y(n_12679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773676 (
+	.A1(n_12215),
+	.A2(n_3313),
+	.B1(n_12674),
+	.Y(n_12678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773677 (
+	.A(n_10977),
+	.B(n_12669),
+	.COUT(n_12676),
+	.SUM(n_12677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773679 (
+	.A1(n_10032),
+	.A2(n_25695),
+	.B1(n_12673),
+	.Y(n_12675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g773680 (
+	.A1(n_3920),
+	.A2(n_12670),
+	.B1(n_11723),
+	.C1(n_10559),
+	.X(n_12674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773681 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [21]),
+	.C1(n_12671),
+	.X(n_12673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g773682 (
+	.A1(n_10308),
+	.A2(n_2380),
+	.B1(n_10609),
+	.C1(n_12666),
+	.Y(n_12672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773685 (
+	.A1(n_8961),
+	.A2(n_3180),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.C1(n_12663),
+	.X(n_12671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773687 (
+	.A(n_11162),
+	.B(n_12657),
+	.COUT(n_12669),
+	.SUM(n_12670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773688 (
+	.A(n_10963),
+	.B(n_12664),
+	.Y(n_12668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773689 (
+	.A(n_10964),
+	.B(n_12665),
+	.Y(n_12667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g773690 (
+	.A1(n_3920),
+	.A2(n_12661),
+	.B1(n_10029),
+	.B2(n_3111),
+	.Y(n_12666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773691 (
+	.A1(n_12041),
+	.A2(n_3313),
+	.B1(n_12660),
+	.Y(n_12665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773693 (
+	.A1(n_12042),
+	.A2(n_3313),
+	.B1(n_12662),
+	.Y(n_12664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773694 (
+	.A1(n_3920),
+	.A2(n_12656),
+	.B1(n_1918),
+	.B2(n_33467),
+	.C1(n_10521),
+	.X(n_12663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773695 (
+	.A(n_10354),
+	.B(n_10239),
+	.C(n_12652),
+	.D(n_9982),
+	.Y(n_12662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773697 (
+	.A1(n_10029),
+	.A2(n_3080),
+	.B1(n_9384),
+	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.C1(n_12659),
+	.X(n_12660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g773698 (
+	.A(n_11156),
+	.B(n_12655),
+	.X(n_12661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773699 (
+	.A(n_10520),
+	.B(n_10238),
+	.C(n_12099),
+	.D(n_12651),
+	.Y(n_12659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773700 (
+	.A(n_10962),
+	.B(n_12654),
+	.Y(n_12658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g773701 (
+	.A(n_12655),
+	.B(n_11156),
+	.C(n_9902),
+	.X(n_12657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773702 (
+	.A(n_11297),
+	.B(n_12648),
+	.COUT(n_12655),
+	.SUM(n_12656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773704 (
+	.A1(n_12043),
+	.A2(n_3313),
+	.B1(n_12653),
+	.Y(n_12654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773706 (
+	.A(n_10355),
+	.B(n_10240),
+	.C(n_12643),
+	.D(n_9981),
+	.Y(n_12653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773707 (
+	.A1(n_8961),
+	.A2(n_3114),
+	.B1(n_10032),
+	.B2(n_25693),
+	.C1(n_12646),
+	.Y(n_12652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773708 (
+	.A(n_3920),
+	.B(n_12649),
+	.Y(n_12651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773709 (
+	.A(n_10961),
+	.B(n_12647),
+	.Y(n_12650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773710 (
+	.A(n_11296),
+	.B(n_12640),
+	.COUT(n_12648),
+	.SUM(n_12649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773712 (
+	.A1(n_12044),
+	.A2(n_3313),
+	.B1(n_12645),
+	.Y(n_12647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773713 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [19]),
+	.C1(n_12644),
+	.X(n_12646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773714 (
+	.A(n_10356),
+	.B(n_10241),
+	.C(n_12636),
+	.D(n_9979),
+	.Y(n_12645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773716 (
+	.A1(n_3920),
+	.A2(n_12641),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.C1(n_10663),
+	.X(n_12644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773717 (
+	.A1(n_8961),
+	.A2(n_3112),
+	.B1(n_10032),
+	.B2(n_25692),
+	.C1(n_12638),
+	.Y(n_12643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773718 (
+	.A(n_10960),
+	.B(n_12639),
+	.Y(n_12642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773719 (
+	.A(n_11579),
+	.B(n_12634),
+	.COUT(n_12640),
+	.SUM(n_12641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g773721 (
+	.A1(n_3312),
+	.A2(n_12086),
+	.B1(n_12633),
+	.C1(n_10242),
+	.D1(n_10357),
+	.X(n_12639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773722 (
+	.A1(n_4485),
+	.A2(n_67809),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [18]),
+	.C1(n_12637),
+	.X(n_12638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773723 (
+	.A1(n_3920),
+	.A2(n_12635),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.C1(n_10664),
+	.X(n_12637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773724 (
+	.A1(n_8961),
+	.A2(n_3176),
+	.B1(n_10032),
+	.B2(n_25691),
+	.C1(n_12632),
+	.Y(n_12636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773726 (
+	.A(n_11576),
+	.B(n_12625),
+	.COUT(n_12634),
+	.SUM(n_12635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773727 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B1(n_9380),
+	.B2(n_25658),
+	.C1(n_12628),
+	.Y(n_12633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773729 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [17]),
+	.C1(n_12629),
+	.X(n_12632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773730 (
+	.A(n_10959),
+	.B(n_12630),
+	.Y(n_12631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g773731 (
+	.A1(n_3312),
+	.A2(n_12045),
+	.B1(n_12620),
+	.C1(n_10519),
+	.D1(n_11194),
+	.X(n_12630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773733 (
+	.A1(n_3920),
+	.A2(n_12626),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.C1(n_10432),
+	.X(n_12629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773734 (
+	.A1(n_8961),
+	.A2(n_3118),
+	.B1(n_10032),
+	.B2(n_25690),
+	.C1(n_12623),
+	.X(n_12628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773735 (
+	.A(n_10958),
+	.B(n_12624),
+	.Y(n_12627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773736 (
+	.A(n_11578),
+	.B(n_12617),
+	.COUT(n_12625),
+	.SUM(n_12626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773738 (
+	.A1(n_12046),
+	.A2(n_3313),
+	.B1(n_12622),
+	.Y(n_12624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773739 (
+	.A1(n_4485),
+	.A2(n_61692),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [16]),
+	.C1(n_12621),
+	.X(n_12623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773740 (
+	.A(n_10358),
+	.B(n_10243),
+	.C(n_12612),
+	.D(n_9978),
+	.Y(n_12622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773742 (
+	.A1(n_3920),
+	.A2(n_12618),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.C1(n_10665),
+	.X(n_12621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773743 (
+	.A1(n_9380),
+	.A2(n_25657),
+	.B1(n_10452),
+	.B2(n_25538),
+	.C1(n_12615),
+	.Y(n_12620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773744 (
+	.A(n_10957),
+	.B(n_12616),
+	.Y(n_12619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773745 (
+	.A(n_11577),
+	.B(n_12609),
+	.COUT(n_12617),
+	.SUM(n_12618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773747 (
+	.A1(n_12047),
+	.A2(n_3313),
+	.B1(n_12614),
+	.Y(n_12616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773748 (
+	.A1(n_4485),
+	.A2(n_33201),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [15]),
+	.C1(n_12613),
+	.X(n_12615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773749 (
+	.A(n_10359),
+	.B(n_10244),
+	.C(n_12604),
+	.D(n_9975),
+	.Y(n_12614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773751 (
+	.A1(n_3920),
+	.A2(n_12610),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.C1(n_10883),
+	.X(n_12613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773752 (
+	.A1(n_8961),
+	.A2(n_3202),
+	.B1(n_10032),
+	.B2(n_25688),
+	.C1(n_12607),
+	.Y(n_12612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773753 (
+	.A(n_10956),
+	.B(n_12608),
+	.Y(n_12611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773754 (
+	.A(n_10980),
+	.B(n_12601),
+	.COUT(n_12609),
+	.SUM(n_12610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773756 (
+	.A1(n_12048),
+	.A2(n_3313),
+	.B1(n_12606),
+	.Y(n_12608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773757 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [13]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [14]),
+	.C1(n_12605),
+	.X(n_12607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773758 (
+	.A(n_10360),
+	.B(n_10245),
+	.C(n_12596),
+	.D(n_9970),
+	.Y(n_12606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773760 (
+	.A1(n_3920),
+	.A2(n_12602),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.C1(n_10666),
+	.X(n_12605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773761 (
+	.A1(n_8961),
+	.A2(n_3174),
+	.B1(n_10032),
+	.B2(n_25687),
+	.C1(n_12599),
+	.Y(n_12604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773762 (
+	.A(n_10955),
+	.B(n_12600),
+	.Y(n_12603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773763 (
+	.A(n_10601),
+	.B(n_12593),
+	.COUT(n_12601),
+	.SUM(n_12602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773765 (
+	.A1(n_12049),
+	.A2(n_3313),
+	.B1(n_12598),
+	.Y(n_12600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773766 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [13]),
+	.C1(n_12597),
+	.X(n_12599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773767 (
+	.A(n_10361),
+	.B(n_10246),
+	.C(n_12588),
+	.D(n_9969),
+	.Y(n_12598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773769 (
+	.A1(n_3920),
+	.A2(n_12594),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.C1(n_11000),
+	.X(n_12597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773770 (
+	.A1(n_8961),
+	.A2(n_3162),
+	.B1(n_10032),
+	.B2(n_25686),
+	.C1(n_12591),
+	.Y(n_12596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773771 (
+	.A(n_10954),
+	.B(n_12592),
+	.Y(n_12595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773772 (
+	.A(n_10602),
+	.B(n_12585),
+	.COUT(n_12593),
+	.SUM(n_12594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773774 (
+	.A1(n_12050),
+	.A2(n_3313),
+	.B1(n_12590),
+	.Y(n_12592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773775 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [12]),
+	.C1(n_12589),
+	.X(n_12591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773776 (
+	.A(n_10362),
+	.B(n_10247),
+	.C(n_12581),
+	.D(n_9965),
+	.Y(n_12590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773778 (
+	.A1(n_3920),
+	.A2(n_12586),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.C1(n_10423),
+	.X(n_12589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773779 (
+	.A1(n_8961),
+	.A2(n_3083),
+	.B1(n_10032),
+	.B2(n_25685),
+	.C1(n_12584),
+	.Y(n_12588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773780 (
+	.A(n_10953),
+	.B(n_12583),
+	.Y(n_12587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773781 (
+	.A(n_10975),
+	.B(n_12578),
+	.COUT(n_12585),
+	.SUM(n_12586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773783 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [10]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [11]),
+	.C1(n_12582),
+	.X(n_12584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g773784 (
+	.A1(n_3312),
+	.A2(n_12089),
+	.B1(n_12577),
+	.C1(n_10248),
+	.D1(n_10363),
+	.X(n_12583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773786 (
+	.A1(n_3920),
+	.A2(n_12579),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.C1(n_11160),
+	.X(n_12582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773787 (
+	.A1(n_8961),
+	.A2(n_3095),
+	.B1(n_10032),
+	.B2(n_25684),
+	.C1(n_12576),
+	.Y(n_12581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773788 (
+	.A(n_10952),
+	.B(n_12575),
+	.Y(n_12580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773789 (
+	.A(n_10603),
+	.B(n_12569),
+	.COUT(n_12578),
+	.SUM(n_12579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773790 (
+	.A1(n_9384),
+	.A2(n_33202),
+	.B1(n_9380),
+	.B2(n_25651),
+	.C1(n_12573),
+	.Y(n_12577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773792 (
+	.A1(n_4485),
+	.A2(n_33202),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [10]),
+	.C1(n_12574),
+	.X(n_12576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g773793 (
+	.A1(n_3312),
+	.A2(n_12196),
+	.B1(n_12572),
+	.C1(n_10249),
+	.X(n_12575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773795 (
+	.A1(n_3920),
+	.A2(n_12570),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.C1(n_11001),
+	.X(n_12574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773796 (
+	.A1(n_8961),
+	.A2(n_3172),
+	.B1(n_10032),
+	.B2(n_25683),
+	.C1(n_12567),
+	.X(n_12573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773797 (
+	.A1(n_10029),
+	.A2(n_3161),
+	.B1(n_12568),
+	.Y(n_12572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773798 (
+	.A(n_10951),
+	.B(n_12566),
+	.Y(n_12571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773799 (
+	.A(n_10604),
+	.B(n_12560),
+	.COUT(n_12569),
+	.SUM(n_12570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773800 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [8]),
+	.B1(n_9380),
+	.B2(n_25650),
+	.C1(n_12563),
+	.X(n_12568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773802 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [8]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [9]),
+	.C1(n_12564),
+	.X(n_12567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773803 (
+	.A1(n_12197),
+	.A2(n_3313),
+	.B1(n_12565),
+	.Y(n_12566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773804 (
+	.A(n_10364),
+	.B(n_10250),
+	.C(n_12555),
+	.D(n_9960),
+	.Y(n_12565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773806 (
+	.A1(n_3920),
+	.A2(n_12561),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.C1(n_10667),
+	.X(n_12564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773807 (
+	.A1(n_8961),
+	.A2(n_3160),
+	.B1(n_10032),
+	.B2(n_25682),
+	.C1(n_12559),
+	.X(n_12563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773808 (
+	.A(n_10950),
+	.B(n_12558),
+	.Y(n_12562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773809 (
+	.A(n_10605),
+	.B(n_12552),
+	.COUT(n_12560),
+	.SUM(n_12561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773811 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [7]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [8]),
+	.C1(n_12556),
+	.X(n_12559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773812 (
+	.A1(n_12199),
+	.A2(n_3313),
+	.B1(n_12557),
+	.Y(n_12558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773813 (
+	.A(n_10365),
+	.B(n_10251),
+	.C(n_12547),
+	.D(n_9954),
+	.Y(n_12557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773815 (
+	.A1(n_3920),
+	.A2(n_12553),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.C1(n_11086),
+	.X(n_12556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773816 (
+	.A1(n_8961),
+	.A2(n_3089),
+	.B1(n_10032),
+	.B2(n_25681),
+	.C1(n_12550),
+	.Y(n_12555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773817 (
+	.A(n_10949),
+	.B(n_12551),
+	.Y(n_12554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773818 (
+	.A(n_10606),
+	.B(n_12544),
+	.COUT(n_12552),
+	.SUM(n_12553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773820 (
+	.A1(n_12195),
+	.A2(n_3313),
+	.B1(n_12549),
+	.Y(n_12551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773821 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [6]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [7]),
+	.C1(n_12548),
+	.X(n_12550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773822 (
+	.A(n_10366),
+	.B(n_10252),
+	.C(n_12539),
+	.D(n_9950),
+	.Y(n_12549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773824 (
+	.A1(n_3920),
+	.A2(n_12545),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.C1(n_10884),
+	.X(n_12548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773825 (
+	.A1(n_8961),
+	.A2(n_3194),
+	.B1(n_10032),
+	.B2(n_25680),
+	.C1(n_12543),
+	.Y(n_12547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773827 (
+	.A(n_10417),
+	.B(n_12536),
+	.COUT(n_12544),
+	.SUM(n_12545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773838 (
+	.A1(n_4485),
+	.A2(n_33203),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [6]),
+	.C1(n_12540),
+	.X(n_12543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773839 (
+	.A1(n_12194),
+	.A2(n_3313),
+	.B1(n_12541),
+	.Y(n_12542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773848 (
+	.A(n_10367),
+	.B(n_10253),
+	.C(n_12527),
+	.D(n_9919),
+	.Y(n_12541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773850 (
+	.A1(n_3920),
+	.A2(n_12537),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.C1(n_10885),
+	.X(n_12540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773851 (
+	.A1(n_8961),
+	.A2(n_3170),
+	.B1(n_10032),
+	.B2(n_25679),
+	.C1(n_12532),
+	.Y(n_12539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773853 (
+	.A(n_10416),
+	.B(n_12524),
+	.COUT(n_12536),
+	.SUM(n_12537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773854 (
+	.A(soc_top_u_spi_host_spi_host_last_bit),
+	.B(n_12531),
+	.Y(n_12535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773857 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [4]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [5]),
+	.C1(n_12528),
+	.X(n_12532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g773858 (
+	.A1(n_12520),
+	.A2(n_450),
+	.B1_N(soc_top_u_spi_host_spi_host_tip),
+	.X(n_12534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773859 (
+	.A(n_450),
+	.B(n_12520),
+	.Y(n_12530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g773860 (
+	.A_N(n_25196),
+	.B(n_12520),
+	.Y(n_12531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773862 (
+	.A(n_10368),
+	.B(n_10254),
+	.C(n_12517),
+	.D(n_9908),
+	.Y(n_12529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773863 (
+	.A1(n_3920),
+	.A2(n_12525),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.C1(n_10886),
+	.X(n_12528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773864 (
+	.A1(n_8961),
+	.A2(n_3068),
+	.B1(n_10032),
+	.B2(n_25678),
+	.C1(n_12522),
+	.Y(n_12527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773866 (
+	.A(n_10415),
+	.B(n_12514),
+	.COUT(n_12524),
+	.SUM(n_12525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773867 (
+	.A1(n_12192),
+	.A2(n_3313),
+	.B1(n_12519),
+	.Y(n_12523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773869 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [3]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [4]),
+	.C1(n_12518),
+	.X(n_12522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g773870 (
+	.A1(n_12510),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.B1(n_12520),
+	.X(n_12521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773872 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[14]),
+	.B(n_12510),
+	.Y(n_12520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773873 (
+	.A(n_10369),
+	.B(n_10255),
+	.C(n_12506),
+	.D(n_9897),
+	.Y(n_12519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773874 (
+	.A1(n_3920),
+	.A2(n_12515),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.C1(n_11002),
+	.X(n_12518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773875 (
+	.A1(n_8961),
+	.A2(n_3196),
+	.B1(n_10032),
+	.B2(n_25677),
+	.C1(n_12513),
+	.Y(n_12517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773876 (
+	.A(n_10945),
+	.B(n_12512),
+	.Y(n_12516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773877 (
+	.A(n_10418),
+	.B(n_12503),
+	.COUT(n_12514),
+	.SUM(n_12515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773880 (
+	.A1(n_4485),
+	.A2(\soc_top_xbar_to_timer[a_address] [2]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [3]),
+	.C1(n_12508),
+	.X(n_12513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773881 (
+	.A1(n_12198),
+	.A2(n_3313),
+	.B1(n_12509),
+	.Y(n_12512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g773882 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.B(n_12499),
+	.X(n_12511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773883 (
+	.A(n_10370),
+	.B(n_10256),
+	.C(n_12497),
+	.D(n_9788),
+	.Y(n_12509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g773884 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[13]),
+	.B(n_12499),
+	.Y(n_12510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773885 (
+	.A1(n_3920),
+	.A2(n_12504),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.C1(n_10887),
+	.X(n_12508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g773886 (
+	.A(n_10944),
+	.B(n_12505),
+	.Y(n_12507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773887 (
+	.A1(n_8961),
+	.A2(n_3146),
+	.B1(n_10032),
+	.B2(n_25676),
+	.C1(n_12502),
+	.Y(n_12506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773888 (
+	.A1(n_12424),
+	.A2(n_3313),
+	.B1(n_12501),
+	.Y(n_12505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773889 (
+	.A(n_10414),
+	.B(n_12493),
+	.COUT(n_12503),
+	.SUM(n_12504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773890 (
+	.A1(n_4485),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [2]),
+	.C1(n_12498),
+	.X(n_12502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773892 (
+	.A(n_11087),
+	.B(n_10518),
+	.C(n_12491),
+	.D(n_10162),
+	.Y(n_12501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g773893 (
+	.A1(n_12487),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.B1(n_12499),
+	.X(n_12500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773896 (
+	.A1(n_3920),
+	.A2(n_12494),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.C1(n_11375),
+	.X(n_12498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773897 (
+	.A1(n_8961),
+	.A2(n_3158),
+	.B1(n_10032),
+	.B2(n_25675),
+	.C1(n_12490),
+	.Y(n_12497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773898 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[12]),
+	.B(n_12487),
+	.Y(n_12499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g773901 (
+	.A(n_10042),
+	.B(n_12488),
+	.Y(n_12496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773902 (
+	.A1(n_12479),
+	.A2(n_798),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.B1(n_12470),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[14]),
+	.X(n_12495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773903 (
+	.A(n_11707),
+	.B(n_12438),
+	.COUT(n_12493),
+	.SUM(n_12494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g773909 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.B(n_12455),
+	.X(n_12492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g773910 (
+	.A1(n_9384),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.C1(n_12482),
+	.Y(n_12491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773911 (
+	.A1(n_4485),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [1]),
+	.C1(n_12486),
+	.X(n_12490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g773912 (
+	.A1(n_12477),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[15]),
+	.B1(n_12479),
+	.B2(n_1661),
+	.X(n_12489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g773913 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.B(n_12480),
+	.Y(n_12488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g773921 (
+	.A1(n_3920),
+	.A2(n_12457),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.C1(n_10888),
+	.X(n_12486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773922 (
+	.A1(n_12456),
+	.A2(n_164),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.B1(n_12465),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.X(n_12485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g773923 (
+	.A(n_10042),
+	.B_N(n_12481),
+	.Y(n_12484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773924 (
+	.A1(n_12452),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.B1(n_12417),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.X(n_12483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g773925 (
+	.A(n_10257),
+	.B(n_9099),
+	.C(n_3734),
+	.D(n_12450),
+	.Y(n_12482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g773927 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[11]),
+	.B(n_12455),
+	.Y(n_12487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g773929 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
+	.B(n_12432),
+	.COUT(n_12480),
+	.SUM(n_12481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773940 (
+	.A1(n_12439),
+	.A2(n_160),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.B1(n_12445),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.X(n_12478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g773941 (
+	.A1(n_1661),
+	.A2(n_12312),
+	.B1_N(n_12468),
+	.Y(n_12477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g773942 (
+	.A1(n_12134),
+	.A2(n_6650),
+	.B1(n_8051),
+	.C1(n_12421),
+	.D1(n_9111),
+	.Y(n_12476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g773943 (
+	.A1(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.A2(n_8535),
+	.B1(n_12280),
+	.C1(n_12447),
+	.Y(n_12475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773944 (
+	.A1(n_12437),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[14]),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.B1(n_12451),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[15]),
+	.X(n_12474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773945 (
+	.A1(n_12426),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.B1(n_12377),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.X(n_12473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773946 (
+	.A1(n_12436),
+	.A2(n_140),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.B1(n_12415),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.X(n_12472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773947 (
+	.A1(n_12437),
+	.A2(n_150),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.B1(n_12446),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[14]),
+	.X(n_12471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g773948 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.A2(n_12312),
+	.B1_N(n_12468),
+	.Y(n_12470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g773949 (
+	.A(n_12469),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.X(n_12479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g773963 (
+	.A1(n_6703),
+	.A2(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B1(n_12297),
+	.C1(n_12423),
+	.X(n_12467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g773964 (
+	.A1(n_12311),
+	.A2(n_1631),
+	.B1(n_12454),
+	.X(n_12466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g773965 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.A2(n_12312),
+	.B1_N(n_12454),
+	.Y(n_12465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g773966 (
+	.A1(n_12427),
+	.A2(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.B1(n_12391),
+	.B2(n_2094),
+	.X(n_12464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773967 (
+	.A1(n_12391),
+	.A2(n_266),
+	.A3(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.B1(n_12428),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.X(n_12463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773968 (
+	.A1(n_12400),
+	.A2(n_176),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.B1(n_12420),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.X(n_12462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g773969 (
+	.A1(n_12373),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.B1(n_12455),
+	.X(n_12461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773970 (
+	.A1(n_12403),
+	.A2(n_153),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.B1(n_12429),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.X(n_12460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773971 (
+	.A1(n_12401),
+	.A2(n_797),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.B1(n_12413),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.X(n_12459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773972 (
+	.A1(n_12402),
+	.A2(n_148),
+	.A3(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.B1(n_12385),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.X(n_12458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g773974 (
+	.A(n_1631),
+	.B_N(n_12456),
+	.Y(n_12469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g773975 (
+	.A1(n_2154),
+	.A2(n_12312),
+	.B1_N(n_12454),
+	.Y(n_12468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g773992 (
+	.A(n_10042),
+	.B_N(n_12433),
+	.Y(n_12453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g773993 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.B_N(n_12436),
+	.Y(n_12452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g773994 (
+	.A(n_12335),
+	.B(n_12435),
+	.X(n_12451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g773995 (
+	.A1(n_3920),
+	.A2(n_12367),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [0]),
+	.Y(n_12450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g773996 (
+	.A1(n_12374),
+	.A2(n_157),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.B1(n_12382),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.X(n_12449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g773998 (
+	.A1(n_4884),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B1(n_12423),
+	.Y(n_12447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g773999 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.A2(n_12334),
+	.B1_N(n_12435),
+	.Y(n_12446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774000 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.A2(n_12312),
+	.B1_N(n_12434),
+	.Y(n_12445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g774001 (
+	.A1(n_12364),
+	.A2(n_4032),
+	.A3(n_792),
+	.B1(n_12376),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.X(n_12444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g774002 (
+	.A1(n_12375),
+	.A2(n_884),
+	.A3(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.B1(n_12362),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.X(n_12443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g774003 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.A2(n_787),
+	.A3(n_9738),
+	.A4(n_12348),
+	.B1(n_12425),
+	.Y(n_12442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774004 (
+	.A(n_368),
+	.B(n_12351),
+	.C(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.X(n_12441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g774005 (
+	.A1(n_11973),
+	.A2(n_12350),
+	.B1_N(n_12438),
+	.X(n_12457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774006 (
+	.A(n_1733),
+	.B_N(n_12439),
+	.Y(n_12456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774007 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[10]),
+	.B(n_12373),
+	.Y(n_12455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774008 (
+	.A1(n_12311),
+	.A2(n_1733),
+	.B1(n_12434),
+	.X(n_12454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774010 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.B(n_12345),
+	.COUT(n_12432),
+	.SUM(n_12433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774011 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_12386),
+	.Y(n_12431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774013 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.A2(n_12334),
+	.B1(n_12387),
+	.Y(n_12429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774014 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.A2(n_12309),
+	.B1_N(n_12389),
+	.Y(n_12428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774015 (
+	.A1(n_12310),
+	.A2(n_1379),
+	.B1(n_12389),
+	.X(n_12427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774016 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.B_N(n_12402),
+	.Y(n_12426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774017 (
+	.A1(n_12365),
+	.A2(n_12338),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.Y(n_12425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774018 (
+	.A(n_12378),
+	.B(n_10037),
+	.Y(n_12424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774019 (
+	.A(n_1625),
+	.B_N(n_12401),
+	.Y(n_12439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774020 (
+	.A(n_12404),
+	.B_N(n_11973),
+	.Y(n_12438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774021 (
+	.A(n_1396),
+	.B_N(n_12400),
+	.Y(n_12437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774022 (
+	.A(n_1306),
+	.B_N(n_12403),
+	.Y(n_12436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774023 (
+	.A1(n_12335),
+	.A2(n_1396),
+	.B1(n_12390),
+	.X(n_12435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774024 (
+	.A1(n_12311),
+	.A2(n_1625),
+	.B1(n_12392),
+	.X(n_12434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774030 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.A2(n_1648),
+	.A3(n_12348),
+	.B1(n_859),
+	.B2(n_12361),
+	.Y(n_12422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g774031 (
+	.A1(n_6647),
+	.A2(n_12368),
+	.B1(n_6393),
+	.B2(n_3368),
+	.Y(n_12421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774032 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.A2(n_12334),
+	.B1_N(n_12390),
+	.Y(n_12420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774033 (
+	.A1(n_12371),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B1(n_12356),
+	.B2(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.X(n_12419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774034 (
+	.A1(n_12335),
+	.A2(n_1306),
+	.B1(n_12388),
+	.X(n_12418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774035 (
+	.A1(n_3445),
+	.A2(n_12334),
+	.B1(n_12387),
+	.Y(n_12417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g774036 (
+	.A1(n_12347),
+	.A2(n_200),
+	.A3(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.B1(n_12355),
+	.B2(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.X(n_12416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774037 (
+	.A1(n_2507),
+	.A2(n_12334),
+	.B1(n_12387),
+	.Y(n_12415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774038 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.A2(n_3436),
+	.A3(n_12348),
+	.B1(n_864),
+	.B2(n_12360),
+	.Y(n_12414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774039 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.A2(n_12312),
+	.B1_N(n_12392),
+	.Y(n_12413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774040 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.A2(n_4996),
+	.A3(n_12348),
+	.B1(n_878),
+	.B2(n_12359),
+	.Y(n_12412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774041 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.A2(n_6696),
+	.A3(n_12348),
+	.B1(n_232),
+	.B2(n_12358),
+	.Y(n_12411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774042 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[10]),
+	.A2(n_9416),
+	.A3(n_12348),
+	.B1(n_842),
+	.B2(n_12357),
+	.Y(n_12410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774043 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.A2(n_2489),
+	.A3(n_12348),
+	.B1(n_12396),
+	.Y(n_12409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774044 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.A2(n_4039),
+	.A3(n_12348),
+	.B1(n_12397),
+	.Y(n_12408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774045 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.A2(n_8979),
+	.A3(n_12348),
+	.B1(n_12398),
+	.Y(n_12407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774046 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.A2(n_6009),
+	.A3(n_12348),
+	.B1(n_175),
+	.B2(n_12352),
+	.Y(n_12406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774047 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.A2(n_9738),
+	.A3(n_12348),
+	.B1(n_12394),
+	.Y(n_12405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774048 (
+	.A1(n_12368),
+	.A2(n_6646),
+	.B1(n_6648),
+	.Y(n_12423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g774049 (
+	.A(n_12350),
+	.Y(n_12404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774051 (
+	.A1(n_676),
+	.A2(n_12341),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.Y(n_12398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774052 (
+	.A1(n_676),
+	.A2(n_12340),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.Y(n_12397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774053 (
+	.A1(n_676),
+	.A2(n_12339),
+	.B1(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.Y(n_12396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774054 (
+	.A(n_12354),
+	.B_N(n_3769),
+	.Y(n_12395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774055 (
+	.A(n_12365),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.Y(n_12394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774056 (
+	.A(n_3431),
+	.B_N(n_12364),
+	.Y(n_12393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774057 (
+	.A(n_4988),
+	.B_N(n_12364),
+	.Y(n_12403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g774058 (
+	.A(n_12364),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.X(n_12402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774059 (
+	.A(n_1646),
+	.B_N(n_12374),
+	.Y(n_12401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g774060 (
+	.A(n_12364),
+	.B(n_6707),
+	.X(n_12400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g774066 (
+	.A(n_12387),
+	.Y(n_12388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g774067 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(n_12343),
+	.Y(n_12386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774068 (
+	.A1(n_12335),
+	.A2(n_1730),
+	.B1(n_1585),
+	.X(n_12385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774069 (
+	.A1(n_12335),
+	.A2(n_3431),
+	.B1(n_1585),
+	.X(n_12384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g774070 (
+	.A1(n_12313),
+	.A2(n_803),
+	.A3(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.B1(n_12326),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.X(n_12383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774071 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.A2(n_12312),
+	.B1_N(n_12366),
+	.Y(n_12382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g774072 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.B(n_12302),
+	.X(n_12381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774073 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.A2(n_12334),
+	.B1(n_1584),
+	.Y(n_12380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774074 (
+	.A1(n_12342),
+	.A2(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.B1(n_12313),
+	.B2(n_2098),
+	.X(n_12379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g774075 (
+	.A1(n_27236),
+	.A2(n_35675),
+	.B1(n_6657),
+	.B2(n_33200),
+	.C1(n_9638),
+	.C2(n_26791),
+	.Y(n_12378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774076 (
+	.A1(n_2526),
+	.A2(n_12334),
+	.B1(n_1584),
+	.Y(n_12377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774077 (
+	.A1(n_4032),
+	.A2(n_12334),
+	.B1(n_1584),
+	.Y(n_12376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774078 (
+	.A1(n_12311),
+	.A2(n_1646),
+	.B1(n_12366),
+	.X(n_12392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g774079 (
+	.A(n_1393),
+	.B(n_9738),
+	.C(n_12348),
+	.Y(n_12391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774080 (
+	.A1(n_6707),
+	.A2(n_12334),
+	.B1(n_1584),
+	.Y(n_12390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774081 (
+	.A1(n_12310),
+	.A2(n_1393),
+	.B1(n_12365),
+	.X(n_12389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774082 (
+	.A1(n_12335),
+	.A2(n_4988),
+	.B1(n_1585),
+	.Y(n_12387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774083 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_12344),
+	.Y(n_12372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774084 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.B_N(n_12349),
+	.Y(n_12371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774085 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B(n_12320),
+	.Y(n_12370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774086 (
+	.A(n_10042),
+	.B_N(n_12346),
+	.Y(n_12369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774087 (
+	.A(n_1341),
+	.B_N(n_12349),
+	.Y(n_12375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774088 (
+	.A(n_3444),
+	.B_N(n_12349),
+	.Y(n_12374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g774089 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[9]),
+	.B(n_12302),
+	.Y(n_12373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774101 (
+	.A1(n_12311),
+	.A2(n_1341),
+	.B1(n_1591),
+	.X(n_12363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774102 (
+	.A1(n_2528),
+	.A2(n_12312),
+	.B1(n_1590),
+	.Y(n_12362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774103 (
+	.A1(n_12310),
+	.A2(n_1648),
+	.B1(n_676),
+	.Y(n_12361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774104 (
+	.A1(n_12310),
+	.A2(n_3436),
+	.B1(n_676),
+	.Y(n_12360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774105 (
+	.A1(n_12310),
+	.A2(n_4996),
+	.B1(n_676),
+	.Y(n_12359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774106 (
+	.A1(n_12310),
+	.A2(n_6696),
+	.B1(n_676),
+	.Y(n_12358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774107 (
+	.A1(n_12310),
+	.A2(n_9416),
+	.B1(n_676),
+	.Y(n_12357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g774108 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.A2(n_12312),
+	.B1(n_1590),
+	.Y(n_12356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774109 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.A2(n_12309),
+	.B1(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_12355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g774110 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.A2(n_841),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.B2(n_150),
+	.C1(n_12321),
+	.Y(n_12354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g774111 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.A2(n_784),
+	.A3(n_9739),
+	.A4(n_12278),
+	.B1(n_12337),
+	.Y(n_12353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774112 (
+	.A1(n_12310),
+	.A2(n_6009),
+	.B1(n_676),
+	.Y(n_12352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774113 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.A2(n_12306),
+	.B1(n_3367),
+	.Y(n_12368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774114 (
+	.A1(n_12319),
+	.A2(n_11969),
+	.B1(n_12350),
+	.Y(n_12367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774115 (
+	.A(n_798),
+	.B(n_12305),
+	.C(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.X(n_12351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774116 (
+	.A1(n_12311),
+	.A2(n_3444),
+	.B1(n_1591),
+	.X(n_12366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774117 (
+	.A1(n_12310),
+	.A2(n_9738),
+	.B1(n_676),
+	.X(n_12365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774118 (
+	.A(n_1585),
+	.B(n_12334),
+	.Y(n_12364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g774119 (
+	.A(n_12348),
+	.Y(n_12347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774120 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.B(n_12282),
+	.COUT(n_12345),
+	.SUM(n_12346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774121 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(n_12284),
+	.COUT(n_12343),
+	.SUM(n_12344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774122 (
+	.A1(n_12243),
+	.A2(n_1423),
+	.B1(n_12314),
+	.X(n_12342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774123 (
+	.A(n_12309),
+	.B_N(n_8979),
+	.Y(n_12341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774124 (
+	.A(n_12309),
+	.B_N(n_4039),
+	.Y(n_12340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774125 (
+	.A(n_12309),
+	.B_N(n_2489),
+	.Y(n_12339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774126 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.B(n_12309),
+	.Y(n_12338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774127 (
+	.A1(n_12293),
+	.A2(n_12275),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.Y(n_12337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774130 (
+	.A(n_12309),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_12336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774131 (
+	.A(n_11969),
+	.B(n_12319),
+	.Y(n_12350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774132 (
+	.A(n_1591),
+	.B(n_12312),
+	.Y(n_12349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774134 (
+	.A(n_12310),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Y(n_12348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g774136 (
+	.A(n_12335),
+	.Y(n_12334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774137 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.A2(n_2490),
+	.A3(n_12278),
+	.B1(n_12315),
+	.Y(n_12333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g774138 (
+	.A1(n_12277),
+	.A2(n_245),
+	.A3(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.B1(n_12291),
+	.B2(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.X(n_12332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774139 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.A2(n_3432),
+	.A3(n_12278),
+	.B1(n_251),
+	.B2(n_12295),
+	.Y(n_12331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774140 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.A2(n_1359),
+	.A3(n_12278),
+	.B1(n_883),
+	.B2(n_12296),
+	.Y(n_12330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774141 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.A2(n_4995),
+	.A3(n_12278),
+	.B1(n_890),
+	.B2(n_12298),
+	.Y(n_12329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774142 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.A2(n_6695),
+	.A3(n_12278),
+	.B1(n_226),
+	.B2(n_12301),
+	.Y(n_12328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774143 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[10]),
+	.A2(n_9415),
+	.A3(n_12278),
+	.B1(n_838),
+	.B2(n_12289),
+	.Y(n_12327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g774144 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.A2(n_12242),
+	.B1_N(n_12314),
+	.Y(n_12326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774145 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.A2(n_4036),
+	.A3(n_12278),
+	.B1(n_12317),
+	.Y(n_12325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774146 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.A2(n_8980),
+	.A3(n_12278),
+	.B1(n_12316),
+	.Y(n_12324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g774147 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.A2(n_6010),
+	.A3(n_12278),
+	.B1(n_818),
+	.B2(n_12290),
+	.Y(n_12323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774148 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.A2(n_9739),
+	.A3(n_12278),
+	.B1(n_12318),
+	.Y(n_12322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774149 (
+	.A(n_769),
+	.B(n_12292),
+	.C(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.X(n_12321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g774150 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.B(n_12294),
+	.X(n_12320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774151 (
+	.A(n_841),
+	.B(n_12268),
+	.C(soc_top_u_pwm_pwm_core_period[15]),
+	.X(n_12335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774152 (
+	.A(n_12293),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.Y(n_12318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774153 (
+	.A1(n_674),
+	.A2(n_12276),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.Y(n_12317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774154 (
+	.A1(n_674),
+	.A2(n_12271),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.Y(n_12316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774155 (
+	.A1(n_674),
+	.A2(n_12273),
+	.B1(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.Y(n_12315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g774157 (
+	.A1(n_12279),
+	.A2(n_2579),
+	.B1(n_12286),
+	.Y(n_12319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g774161 (
+	.A(n_12312),
+	.Y(n_12311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g774162 (
+	.A(n_12310),
+	.Y(n_12309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774163 (
+	.A(n_52374),
+	.B(n_34755),
+	.Y(n_12308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g774165 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.D(n_12217),
+	.X(n_12306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774166 (
+	.A(n_777),
+	.B(n_12206),
+	.C(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.X(n_12305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774168 (
+	.A1(n_12204),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.B1(n_12302),
+	.X(n_12303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774169 (
+	.A1(n_12243),
+	.A2(n_1716),
+	.B1(n_12293),
+	.X(n_12314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g774170 (
+	.A(n_1716),
+	.B(n_9739),
+	.C(n_12278),
+	.Y(n_12313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g774171 (
+	.A1(n_2909),
+	.A2(n_1828),
+	.B1(n_1457),
+	.C1(n_1853),
+	.D1(n_12270),
+	.X(n_12312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g774172 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.B1(n_3847),
+	.C1(n_4191),
+	.D1(n_12220),
+	.X(n_12310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774173 (
+	.A1(n_12243),
+	.A2(n_6695),
+	.B1(n_674),
+	.Y(n_12301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774174 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_12281),
+	.Y(n_12300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774175 (
+	.A(n_10042),
+	.B_N(n_12283),
+	.Y(n_12299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774176 (
+	.A1(n_12243),
+	.A2(n_4995),
+	.B1(n_674),
+	.Y(n_12298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g774177 (
+	.A1(n_25345),
+	.A2(n_5617),
+	.B1(n_107),
+	.B2(n_4885),
+	.C1(n_12280),
+	.Y(n_12297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774178 (
+	.A1(n_12243),
+	.A2(n_1359),
+	.B1(n_674),
+	.Y(n_12296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774179 (
+	.A1(n_12243),
+	.A2(n_3432),
+	.B1(n_674),
+	.Y(n_12295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g774180 (
+	.A_N(n_1347),
+	.B(n_12284),
+	.Y(n_12294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774181 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[8]),
+	.B(n_12204),
+	.Y(n_12302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774182 (
+	.A(n_176),
+	.B(n_12140),
+	.C(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.X(n_12292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774183 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.A2(n_12242),
+	.B1(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_12291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774184 (
+	.A1(n_12243),
+	.A2(n_6010),
+	.B1(n_674),
+	.Y(n_12290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774185 (
+	.A1(n_12243),
+	.A2(n_9415),
+	.B1(n_674),
+	.Y(n_12289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774186 (
+	.A1(n_43384),
+	.A2(n_62471),
+	.B1(n_12269),
+	.Y(n_12288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g774187 (
+	.A1(n_10301),
+	.A2(n_69701),
+	.B1(n_6016),
+	.C1(n_4994),
+	.D1(n_12184),
+	.X(n_12287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774188 (
+	.A(n_12051),
+	.B(n_12274),
+	.Y(n_12286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g774190 (
+	.A1(n_12243),
+	.A2(n_9739),
+	.B1(n_674),
+	.X(n_12293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774191 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
+	.B(n_12138),
+	.COUT(n_12282),
+	.SUM(n_12283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774192 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(n_12136),
+	.COUT(n_12284),
+	.SUM(n_12281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g774225 (
+	.A(n_12278),
+	.Y(n_12277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774226 (
+	.A(n_12242),
+	.B_N(n_4036),
+	.Y(n_12276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774227 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.B(n_12242),
+	.Y(n_12275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g774228 (
+	.A(n_12055),
+	.B(n_12244),
+	.Y(n_12274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774229 (
+	.A(n_12242),
+	.B_N(n_2490),
+	.Y(n_12273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g774230 (
+	.A1(n_43384),
+	.A2(n_8534),
+	.B1(n_9003),
+	.C1(n_12187),
+	.X(n_12272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774231 (
+	.A(n_12242),
+	.B_N(n_8980),
+	.Y(n_12271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g774232 (
+	.A1(n_4166),
+	.A2(n_6264),
+	.A3(n_11903),
+	.B1(n_1441),
+	.C1(n_2909),
+	.X(n_12270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774233 (
+	.A1(n_5000),
+	.A2(n_8706),
+	.B1(n_12219),
+	.Y(n_12269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774245 (
+	.A(n_150),
+	.B(n_12152),
+	.C(soc_top_u_pwm_pwm_core_period[14]),
+	.X(n_12268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774249 (
+	.A(n_12242),
+	.B(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_12267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g774255 (
+	.A1(n_107),
+	.A2(n_25342),
+	.A3(n_5617),
+	.B1(n_12216),
+	.X(n_12280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g774256 (
+	.A(n_12245),
+	.B(n_11702),
+	.Y(n_12279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774258 (
+	.A(n_12243),
+	.B(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Y(n_12278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774265 (
+	.A(n_12167),
+	.B(n_2887),
+	.Y(n_12260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774266 (
+	.A(n_12166),
+	.B(n_2896),
+	.Y(n_12259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774267 (
+	.A(n_12165),
+	.B(n_2910),
+	.Y(n_12258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774268 (
+	.A(n_12164),
+	.B(n_2886),
+	.Y(n_12257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774269 (
+	.A(n_12163),
+	.B(n_2936),
+	.Y(n_12256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774270 (
+	.A(n_12162),
+	.B(n_2891),
+	.Y(n_12255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774271 (
+	.A(n_12161),
+	.B(n_2890),
+	.Y(n_12254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774273 (
+	.A(n_12160),
+	.B(n_2908),
+	.Y(n_12252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774274 (
+	.A(n_12145),
+	.B(n_2906),
+	.Y(n_12251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774277 (
+	.A(n_12151),
+	.B(n_2885),
+	.Y(n_12248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774278 (
+	.A(n_12157),
+	.B(n_2933),
+	.Y(n_12247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g774296 (
+	.A(n_12244),
+	.Y(n_12245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g774297 (
+	.A(n_12243),
+	.Y(n_12242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774299 (
+	.A(n_12176),
+	.B(n_2914),
+	.Y(n_12240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774301 (
+	.A(n_12177),
+	.B(n_2927),
+	.Y(n_12238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774302 (
+	.A(n_12179),
+	.B(n_2894),
+	.Y(n_12237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774304 (
+	.A(n_12180),
+	.B(n_2918),
+	.Y(n_12235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774305 (
+	.A(n_12181),
+	.B(n_2917),
+	.Y(n_12234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774306 (
+	.A(n_12182),
+	.B(n_2895),
+	.Y(n_12233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774307 (
+	.A(n_12183),
+	.B(n_2903),
+	.Y(n_12232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774308 (
+	.A(n_12147),
+	.B(n_2907),
+	.Y(n_12231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774309 (
+	.A(n_12146),
+	.B(n_2911),
+	.Y(n_12230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774310 (
+	.A(n_12202),
+	.B(n_61288),
+	.Y(n_12229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774311 (
+	.A(n_12202),
+	.B(n_61289),
+	.Y(n_12228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774312 (
+	.A(n_12202),
+	.B(n_61287),
+	.Y(n_12227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774313 (
+	.A(n_12202),
+	.B(n_61290),
+	.Y(n_12226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774314 (
+	.A(n_12203),
+	.B(n_61291),
+	.Y(n_12225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774315 (
+	.A(n_12203),
+	.B(n_61285),
+	.Y(n_12224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774316 (
+	.A(n_12203),
+	.B(n_61292),
+	.Y(n_12223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774317 (
+	.A(n_12203),
+	.B(n_61293),
+	.Y(n_12222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g774318 (
+	.A0(n_12132),
+	.A1(n_12131),
+	.S(soc_top_u_top_u_core_pc_set),
+	.Y(n_12221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g774319 (
+	.A1(n_1357),
+	.A2(n_2007),
+	.B1(n_4268),
+	.C1(n_12090),
+	.Y(n_12220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774320 (
+	.A1(n_9774),
+	.A2(n_10326),
+	.B1(n_10803),
+	.C1(n_11725),
+	.D1(n_9007),
+	.Y(n_12219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774321 (
+	.A1(n_10399),
+	.A2(n_3776),
+	.B1(n_61625),
+	.Y(n_12218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g774322 (
+	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.B(n_12027),
+	.C(n_5109),
+	.Y(n_12217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g774323 (
+	.A1(n_6651),
+	.A2(n_12134),
+	.B1(n_6393),
+	.B2(n_3367),
+	.Y(n_12216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g774324 (
+	.A1(n_9638),
+	.A2(n_26814),
+	.B1(n_12037),
+	.C1(n_10409),
+	.X(n_12215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774326 (
+	.A1(n_4505),
+	.A2(n_4515),
+	.B1(n_6652),
+	.C1(n_72810),
+	.D1(n_8567),
+	.Y(n_12213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g774328 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.B(n_11677),
+	.X(n_12211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774330 (
+	.A1(n_1277),
+	.A2(n_12098),
+	.B1(n_6005),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.X(n_12209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774331 (
+	.A1_N(soc_top_u_top_u_core_priv_mode_id[0]),
+	.A2_N(n_2367),
+	.B1(n_2873),
+	.B2(n_12032),
+	.Y(n_12208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774332 (
+	.A1_N(soc_top_u_top_u_core_priv_mode_id[1]),
+	.A2_N(n_2367),
+	.B1(n_2873),
+	.B2(n_12031),
+	.Y(n_12207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g774333 (
+	.A(n_12038),
+	.B(n_11640),
+	.C(n_12133),
+	.Y(n_12244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g774334 (
+	.A(n_146),
+	.B(n_12084),
+	.C(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.X(n_12206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g774335 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
+	.B1(n_3844),
+	.C1(n_4173),
+	.D1(n_11866),
+	.X(n_12243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g774448 (
+	.A(n_12188),
+	.Y(n_12205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774449 (
+	.A1(n_5322),
+	.A2(\soc_top_lsu_to_xbar[a_address] [31]),
+	.B1(n_3925),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.C1(n_29990),
+	.X(n_12201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774451 (
+	.A(n_10012),
+	.B(n_10037),
+	.C(n_11724),
+	.Y(n_12199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774452 (
+	.A(n_10017),
+	.B(n_10037),
+	.C(n_11721),
+	.Y(n_12198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g774453 (
+	.A(n_10011),
+	.B(n_10037),
+	.C(n_53333),
+	.D(n_65197),
+	.Y(n_12197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g774454 (
+	.A1(n_9638),
+	.A2(n_61831),
+	.B1(n_11916),
+	.C1(n_10036),
+	.Y(n_12196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g774455 (
+	.A(n_10013),
+	.B(n_10037),
+	.C(n_73092),
+	.D(n_8701),
+	.Y(n_12195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g774456 (
+	.A(n_10014),
+	.B(n_10037),
+	.C(n_11542),
+	.D(n_8702),
+	.Y(n_12194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g774457 (
+	.A(n_10015),
+	.B(n_10037),
+	.C(n_11543),
+	.D(n_8703),
+	.Y(n_12193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g774458 (
+	.A(n_10016),
+	.B(n_10037),
+	.C(n_11544),
+	.D(n_8704),
+	.Y(n_12192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774459 (
+	.A1(n_5876),
+	.A2(n_27136),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.C1(n_11928),
+	.X(n_12191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774460 (
+	.A1(n_5876),
+	.A2(n_27104),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C1(n_11927),
+	.X(n_12190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774461 (
+	.A1(n_5878),
+	.A2(n_27201),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.C1(n_11926),
+	.X(n_12189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g774462 (
+	.A1(n_11557),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27169),
+	.Y(n_12188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g774463 (
+	.A1(n_10321),
+	.A2(n_2812),
+	.B1(n_11557),
+	.B2(n_66593),
+	.C1(n_2811),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[OF] ),
+	.Y(n_12187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g774466 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.A2(n_2884),
+	.A3(n_25287),
+	.B1(n_6229),
+	.C1(n_11913),
+	.Y(n_12184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774467 (
+	.A1(soc_top_intr_controller_ip[31]),
+	.A2(n_2458),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[31]),
+	.Y(n_12183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774468 (
+	.A1(soc_top_intr_controller_ip[30]),
+	.A2(n_2458),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[30]),
+	.Y(n_12182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774469 (
+	.A1(soc_top_intr_controller_ip[29]),
+	.A2(n_2471),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[29]),
+	.Y(n_12181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774470 (
+	.A1(soc_top_intr_controller_ip[28]),
+	.A2(n_2471),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[28]),
+	.Y(n_12180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774471 (
+	.A1(soc_top_intr_controller_ip[27]),
+	.A2(n_2458),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[27]),
+	.Y(n_12179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774472 (
+	.A1(soc_top_intr_controller_ip[26]),
+	.A2(n_2458),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[26]),
+	.Y(n_12178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774473 (
+	.A1(soc_top_intr_controller_ip[25]),
+	.A2(n_2471),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[25]),
+	.Y(n_12177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774474 (
+	.A1(soc_top_intr_controller_ip[24]),
+	.A2(n_2471),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[24]),
+	.Y(n_12176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774475 (
+	.A1(soc_top_intr_controller_ip[23]),
+	.A2(n_2470),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[23]),
+	.Y(n_12175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774476 (
+	.A1(soc_top_intr_controller_ip[22]),
+	.A2(n_2470),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[22]),
+	.Y(n_12174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774477 (
+	.A1(soc_top_intr_controller_ip[21]),
+	.A2(n_2462),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[21]),
+	.Y(n_12173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774478 (
+	.A1(soc_top_intr_controller_ip[19]),
+	.A2(n_2470),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[19]),
+	.Y(n_12172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774479 (
+	.A1(soc_top_intr_controller_ip[20]),
+	.A2(n_2462),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[20]),
+	.Y(n_12171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774480 (
+	.A1(soc_top_intr_controller_ip[18]),
+	.A2(n_2470),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[18]),
+	.Y(n_12170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774481 (
+	.A1(soc_top_intr_controller_ip[17]),
+	.A2(n_2462),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[17]),
+	.Y(n_12169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774482 (
+	.A1(soc_top_intr_controller_ip[16]),
+	.A2(n_2462),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[16]),
+	.Y(n_12168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774483 (
+	.A1(soc_top_intr_controller_ip[15]),
+	.A2(n_2460),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[15]),
+	.Y(n_12167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774484 (
+	.A1(soc_top_intr_controller_ip[14]),
+	.A2(n_2460),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[14]),
+	.Y(n_12166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774485 (
+	.A1(soc_top_intr_controller_ip[13]),
+	.A2(n_2465),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[13]),
+	.Y(n_12165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774486 (
+	.A1(soc_top_intr_controller_ip[12]),
+	.A2(n_2465),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[12]),
+	.Y(n_12164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774487 (
+	.A1(soc_top_intr_controller_ip[11]),
+	.A2(n_2460),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[11]),
+	.Y(n_12163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774488 (
+	.A1(soc_top_intr_controller_ip[10]),
+	.A2(n_2460),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[10]),
+	.Y(n_12162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774489 (
+	.A1(soc_top_intr_controller_ip[9]),
+	.A2(n_2465),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[9]),
+	.Y(n_12161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774490 (
+	.A1(soc_top_intr_controller_ip[8]),
+	.A2(n_2465),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[8]),
+	.Y(n_12160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774491 (
+	.A1(soc_top_intr_controller_ip[7]),
+	.A2(n_2437),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[7]),
+	.Y(n_12159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774492 (
+	.A1(soc_top_intr_controller_ip[6]),
+	.A2(n_2437),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[6]),
+	.Y(n_12158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774493 (
+	.A1(soc_top_intr_controller_ip[5]),
+	.A2(n_2453),
+	.A3(n_11967),
+	.B1(soc_top_intr_controller_u_gateway_ia[5]),
+	.Y(n_12157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774494 (
+	.A1(soc_top_intr_controller_ip[3]),
+	.A2(n_2437),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[3]),
+	.Y(n_12156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774495 (
+	.A1(soc_top_intr_controller_ip[2]),
+	.A2(n_2437),
+	.A3(n_11968),
+	.B1(soc_top_intr_controller_u_gateway_ia[2]),
+	.Y(n_12155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774496 (
+	.A(n_10042),
+	.B_N(n_12139),
+	.Y(n_12154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774497 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_12137),
+	.Y(n_12153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g774498 (
+	.A(n_12026),
+	.B_N(n_3759),
+	.Y(n_12152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774499 (
+	.A1(soc_top_intr_controller_ip[4]),
+	.A2(n_2453),
+	.A3(n_11965),
+	.B1(soc_top_intr_controller_u_gateway_ia[4]),
+	.Y(n_12151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774501 (
+	.A1(n_10050),
+	.A2(n_3825),
+	.B1(n_9913),
+	.C1(n_11641),
+	.D1(n_61189),
+	.Y(n_12149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g774502 (
+	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.A2(n_11701),
+	.B1(n_2091),
+	.C1(n_11909),
+	.Y(n_12148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g774503 (
+	.A1(n_1363),
+	.A2(n_11559),
+	.A3(soc_top_intr_controller_ip[32]),
+	.A4(n_2453),
+	.B1(soc_top_intr_controller_u_gateway_ia[32]),
+	.Y(n_12147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g774504 (
+	.A1(n_1363),
+	.A2(n_11559),
+	.A3(soc_top_intr_controller_ip[34]),
+	.A4(n_2437),
+	.B1(soc_top_intr_controller_u_gateway_ia[34]),
+	.Y(n_12146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g774505 (
+	.A1(soc_top_intr_controller_ip[1]),
+	.A2(n_2453),
+	.A3(n_11966),
+	.B1(soc_top_intr_controller_u_gateway_ia[1]),
+	.Y(n_12145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g774507 (
+	.A1(n_10000),
+	.A2(n_10037),
+	.A3(n_11529),
+	.B1(n_3312),
+	.Y(n_12143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g774508 (
+	.A1(n_10564),
+	.A2(n_9999),
+	.A3(n_11528),
+	.B1(n_3312),
+	.Y(n_12142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g774509 (
+	.A1(n_10564),
+	.A2(n_9998),
+	.A3(n_11525),
+	.B1(n_3312),
+	.Y(n_12141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g774514 (
+	.A1(n_1726),
+	.A2(n_3773),
+	.B1(n_765),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.C1(n_11930),
+	.X(n_12140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g774517 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[7]),
+	.B(n_11677),
+	.Y(n_12204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g774559 (
+	.A1(n_16220),
+	.A2(n_11698),
+	.B1(n_11972),
+	.C1(n_61279),
+	.Y(n_12203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g774560 (
+	.A(n_61279),
+	.B(n_11933),
+	.C(n_11971),
+	.Y(n_12202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774561 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
+	.B(n_11258),
+	.COUT(n_12138),
+	.SUM(n_12139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g774562 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(n_11255),
+	.COUT(n_12136),
+	.SUM(n_12137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g774749 (
+	.A(n_12082),
+	.Y(n_12135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g774750 (
+	.A(n_11095),
+	.B(n_11901),
+	.Y(n_12133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g774751 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.B(n_11574),
+	.X(n_12132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774753 (
+	.A1(n_11645),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(n_1285),
+	.B2(n_25604),
+	.C1(n_11700),
+	.X(n_12130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774754 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.A2_N(n_11693),
+	.B1(n_29973),
+	.B2(n_25224),
+	.Y(n_12129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774755 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.A2_N(n_11693),
+	.B1(n_11678),
+	.B2(n_25224),
+	.Y(n_12128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774756 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.A2_N(n_11688),
+	.B1(n_11678),
+	.B2(n_25270),
+	.Y(n_12127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774757 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.A2_N(n_11688),
+	.B1(n_29973),
+	.B2(n_25270),
+	.Y(n_12126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774758 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.A2_N(n_11694),
+	.B1(n_11678),
+	.B2(n_25293),
+	.Y(n_12125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774759 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.A2_N(n_11694),
+	.B1(n_29973),
+	.B2(n_25293),
+	.Y(n_12124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774760 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.A2_N(n_11696),
+	.B1(n_11676),
+	.B2(n_3350),
+	.Y(n_12123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774761 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.A2_N(n_11696),
+	.B1(n_11682),
+	.B2(n_3350),
+	.Y(n_12122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774762 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.A2_N(n_11697),
+	.B1(n_11672),
+	.B2(n_3350),
+	.Y(n_12121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774763 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.A2_N(n_11697),
+	.B1(n_11673),
+	.B2(n_3350),
+	.Y(n_12120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774764 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.A2_N(n_11692),
+	.B1(n_11672),
+	.B2(n_3346),
+	.Y(n_12119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774765 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.A2_N(n_11692),
+	.B1(n_11673),
+	.B2(n_3346),
+	.Y(n_12118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774766 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.A2_N(n_11689),
+	.B1(n_11683),
+	.B2(n_3346),
+	.Y(n_12117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774767 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.A2_N(n_11689),
+	.B1(n_11681),
+	.B2(n_3346),
+	.Y(n_12116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774768 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.A2_N(n_11687),
+	.B1(n_11676),
+	.B2(n_3348),
+	.Y(n_12115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774769 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.A2_N(n_11687),
+	.B1(n_11682),
+	.B2(n_3348),
+	.Y(n_12114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774770 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.A2_N(n_11691),
+	.B1(n_11672),
+	.B2(n_3348),
+	.Y(n_12113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774771 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.A2_N(n_11691),
+	.B1(n_11673),
+	.B2(n_3348),
+	.Y(n_12112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774772 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.A2_N(n_11695),
+	.B1(n_11683),
+	.B2(n_2416),
+	.Y(n_12111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774773 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.A2_N(n_11686),
+	.B1(n_11682),
+	.B2(n_2395),
+	.Y(n_12110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774774 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.A2_N(n_11695),
+	.B1(n_11681),
+	.B2(n_2416),
+	.Y(n_12109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774775 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.A2_N(n_11686),
+	.B1(n_11676),
+	.B2(n_2395),
+	.Y(n_12108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774776 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.A2_N(n_11690),
+	.B1(n_11672),
+	.B2(n_2395),
+	.Y(n_12107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774777 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.A2_N(n_11690),
+	.B1(n_11673),
+	.B2(n_2395),
+	.Y(n_12106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774778 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.A2_N(n_11684),
+	.B1(n_11683),
+	.B2(n_2395),
+	.Y(n_12105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774779 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.A2_N(n_11684),
+	.B1(n_11681),
+	.B2(n_2395),
+	.Y(n_12104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774780 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.A2_N(n_11685),
+	.B1(n_11672),
+	.B2(n_2432),
+	.Y(n_12103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774781 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.A2_N(n_11685),
+	.B1(n_11673),
+	.B2(n_2432),
+	.Y(n_12102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774782 (
+	.A1(n_66583),
+	.A2(n_11557),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[2]),
+	.X(n_12101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774783 (
+	.A1_N(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.A2_N(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B1(n_2873),
+	.B2(n_11520),
+	.Y(n_12100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g774784 (
+	.A1(n_4483),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B1(n_8961),
+	.B2(n_3079),
+	.C1(n_11581),
+	.Y(n_12099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g774785 (
+	.A1(n_5994),
+	.A2(n_11558),
+	.B1(n_5992),
+	.B2(n_10835),
+	.Y(n_12098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774786 (
+	.A1(n_1277),
+	.A2(n_11346),
+	.B1(n_6005),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.X(n_12097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774787 (
+	.A1(n_11643),
+	.A2(n_5367),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.X(n_12096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g774788 (
+	.A1(n_1277),
+	.A2(n_11347),
+	.B1(n_6005),
+	.B2(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.X(n_12095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774789 (
+	.A1(n_62692),
+	.A2(n_5058),
+	.B1(n_11198),
+	.B2(n_61519),
+	.C1(n_10437),
+	.X(n_12094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774790 (
+	.A1(n_2873),
+	.A2(n_11503),
+	.B1(n_3901),
+	.Y(n_12093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g774791 (
+	.A1(n_5061),
+	.A2(n_66979),
+	.B1(n_5331),
+	.B2(n_61187),
+	.C1(n_11638),
+	.Y(n_12092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774792 (
+	.A1(n_5056),
+	.A2(n_10399),
+	.B1(n_10874),
+	.C1(n_10434),
+	.D1(n_11348),
+	.Y(n_12091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g774793 (
+	.A1(n_2007),
+	.A2(n_1357),
+	.B1(n_4088),
+	.C1(n_11634),
+	.Y(n_12090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g774794 (
+	.A1(n_9638),
+	.A2(n_26800),
+	.B1(n_11635),
+	.C1(n_10036),
+	.Y(n_12089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g774795 (
+	.A1(n_11558),
+	.A2(n_8962),
+	.B1(n_5139),
+	.C1(n_8696),
+	.Y(n_12088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774796 (
+	.A1(n_11558),
+	.A2(n_8958),
+	.B1(n_9304),
+	.Y(n_12087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774797 (
+	.A1(n_9638),
+	.A2(n_47810),
+	.B1(n_11935),
+	.Y(n_12086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g774798 (
+	.A1(n_11558),
+	.A2(n_4742),
+	.B1(n_4254),
+	.C1(n_4679),
+	.Y(n_12085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g774799 (
+	.A1(n_11046),
+	.A2(n_2931),
+	.B1(n_2656),
+	.B2(n_2137),
+	.C1(n_5764),
+	.Y(n_12084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774800 (
+	.A1(n_5331),
+	.A2(n_9740),
+	.B1(n_11014),
+	.C1(n_10988),
+	.D1(n_10371),
+	.Y(n_12083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g774801 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.A2(n_6390),
+	.B1(soc_top_u_top_u_core_exc_cause[2]),
+	.B2(n_2367),
+	.C1(n_8971),
+	.C2(n_11557),
+	.Y(n_12082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774802 (
+	.A1(n_5876),
+	.A2(n_27144),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.C1(n_11584),
+	.X(n_12081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774803 (
+	.A1(n_5876),
+	.A2(n_27142),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.C1(n_11583),
+	.X(n_12080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774804 (
+	.A1(n_5876),
+	.A2(n_27112),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.C1(n_11589),
+	.X(n_12079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774805 (
+	.A1(n_5876),
+	.A2(n_27110),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.C1(n_11585),
+	.X(n_12078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774806 (
+	.A1(n_5878),
+	.A2(n_27209),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.C1(n_11592),
+	.X(n_12077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774807 (
+	.A1(n_5878),
+	.A2(n_27207),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.C1(n_11591),
+	.X(n_12076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774808 (
+	.A1(n_5876),
+	.A2(n_27164),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.C1(n_11599),
+	.X(n_12075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774809 (
+	.A1(n_5876),
+	.A2(n_27154),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.C1(n_11598),
+	.X(n_12074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774810 (
+	.A1(n_5876),
+	.A2(n_27147),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.C1(n_11597),
+	.X(n_12073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774811 (
+	.A1(n_5878),
+	.A2(n_27177),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.C1(n_11594),
+	.X(n_12072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774812 (
+	.A1(n_5878),
+	.A2(n_27175),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.C1(n_11593),
+	.X(n_12071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774813 (
+	.A1(n_5876),
+	.A2(n_27132),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.C1(n_11602),
+	.X(n_12070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774814 (
+	.A1(n_5876),
+	.A2(n_27122),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.C1(n_11601),
+	.X(n_12069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774815 (
+	.A1(n_5876),
+	.A2(n_27115),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.C1(n_11600),
+	.X(n_12068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774816 (
+	.A1(n_5878),
+	.A2(n_27229),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.C1(n_11605),
+	.X(n_12067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774817 (
+	.A1(n_5878),
+	.A2(n_27219),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.C1(n_11604),
+	.X(n_12066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774818 (
+	.A1(n_5878),
+	.A2(n_27212),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.C1(n_11603),
+	.X(n_12065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774819 (
+	.A1(n_5876),
+	.A2(n_27138),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.C1(n_11609),
+	.X(n_12064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774820 (
+	.A1(n_5876),
+	.A2(n_27106),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.C1(n_11613),
+	.X(n_12063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774821 (
+	.A1(n_5878),
+	.A2(n_27197),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.C1(n_11608),
+	.X(n_12062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774822 (
+	.A1(n_5878),
+	.A2(n_27187),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.C1(n_11607),
+	.X(n_12061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774823 (
+	.A1(n_5878),
+	.A2(n_27180),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.C1(n_11606),
+	.X(n_12060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774824 (
+	.A1(n_5878),
+	.A2(n_27203),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.C1(n_11614),
+	.X(n_12059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774825 (
+	.A1(n_5876),
+	.A2(n_27145),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.C1(n_11615),
+	.X(n_12058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774826 (
+	.A1(n_5876),
+	.A2(n_27113),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C1(n_11618),
+	.X(n_12057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774827 (
+	.A1(n_5878),
+	.A2(n_27171),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.C1(n_11616),
+	.X(n_12056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g774828 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.B(n_11969),
+	.Y(n_12055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774829 (
+	.A(n_11971),
+	.B(n_11699),
+	.Y(n_12054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g774830 (
+	.A_N(n_11698),
+	.B(n_11972),
+	.Y(n_12053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g774831 (
+	.A(n_2155),
+	.B(n_11559),
+	.C(n_2453),
+	.X(n_12052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g774832 (
+	.A1(n_11158),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.D1(n_11702),
+	.X(n_12051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774833 (
+	.A(n_10010),
+	.B(n_10037),
+	.C(n_11540),
+	.Y(n_12050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774834 (
+	.A(n_10009),
+	.B(n_10037),
+	.C(n_11539),
+	.Y(n_12049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774835 (
+	.A(n_10008),
+	.B(n_10037),
+	.C(n_11538),
+	.Y(n_12048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774836 (
+	.A(n_10007),
+	.B(n_10037),
+	.C(n_11537),
+	.Y(n_12047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774837 (
+	.A(n_10006),
+	.B(n_10037),
+	.C(n_11536),
+	.Y(n_12046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g774838 (
+	.A(n_10005),
+	.B(n_10037),
+	.C(n_11535),
+	.X(n_12045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774839 (
+	.A(n_10004),
+	.B(n_10037),
+	.C(n_11533),
+	.Y(n_12044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774840 (
+	.A(n_10003),
+	.B(n_10037),
+	.C(n_11532),
+	.Y(n_12043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774841 (
+	.A(n_10002),
+	.B(n_10037),
+	.C(n_11531),
+	.Y(n_12042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g774842 (
+	.A(n_10001),
+	.B(n_10037),
+	.C(n_65604),
+	.Y(n_12041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774843 (
+	.A1(n_5878),
+	.A2(n_27210),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.C1(n_11619),
+	.X(n_12040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g774844 (
+	.A1(n_1363),
+	.A2(soc_top_intr_controller_ip[35]),
+	.A3(n_2437),
+	.A4(n_11680),
+	.B1(soc_top_intr_controller_u_gateway_ia[35]),
+	.Y(n_12039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g774845 (
+	.A(n_11623),
+	.B(n_11914),
+	.Y(n_12038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g774846 (
+	.A1_N(n_6657),
+	.A2_N(n_33159),
+	.B1(n_672),
+	.B2(n_11590),
+	.Y(n_12037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g774847 (
+	.A1(n_2395),
+	.A2(n_4165),
+	.B1(n_7727),
+	.C1(n_10706),
+	.D1(n_11917),
+	.Y(n_12036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774848 (
+	.A1(n_5023),
+	.A2(n_10399),
+	.B1(n_11902),
+	.Y(n_12035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774849 (
+	.A1(n_62209),
+	.A2(n_5021),
+	.B1(n_61198),
+	.Y(n_12034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g774850 (
+	.A1(n_10559),
+	.A2(n_9643),
+	.A3(n_2878),
+	.A4(n_45544),
+	.B1(n_11915),
+	.Y(n_12033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774851 (
+	.A1(n_6389),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(n_11970),
+	.Y(n_12032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g774852 (
+	.A1(n_6389),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.B1(n_11970),
+	.Y(n_12031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g774853 (
+	.A1(n_5878),
+	.A2(n_27178),
+	.B1(n_4712),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.C1(n_11620),
+	.X(n_12030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g774854 (
+	.A1(n_11261),
+	.A2(n_4050),
+	.B1(n_11910),
+	.Y(n_12029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g774855 (
+	.A1(n_5060),
+	.A2(n_10399),
+	.B1(n_5366),
+	.B2(n_61187),
+	.C1(n_10795),
+	.Y(n_12028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g774856 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.D(n_11083),
+	.Y(n_12027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g774857 (
+	.A1(n_769),
+	.A2(soc_top_u_pwm_pwm_core_period[13]),
+	.B1(n_176),
+	.B2(soc_top_u_pwm_pwm_core_period[12]),
+	.C1(n_11586),
+	.C2(n_6254),
+	.Y(n_12026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g774891 (
+	.A(n_27428),
+	.B(n_27434),
+	.C(n_10141),
+	.D(n_11082),
+	.X(n_12134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775232 (
+	.A(n_11898),
+	.Y(n_12025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775233 (
+	.A(n_11897),
+	.Y(n_12024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775234 (
+	.A(n_11896),
+	.Y(n_12023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775235 (
+	.A(n_11895),
+	.Y(n_12022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775236 (
+	.A(n_11894),
+	.Y(n_12021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775237 (
+	.A(n_11893),
+	.Y(n_12020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775238 (
+	.A(n_11892),
+	.Y(n_12019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775239 (
+	.A(n_11891),
+	.Y(n_12018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775240 (
+	.A(n_11890),
+	.Y(n_12017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775241 (
+	.A(n_11889),
+	.Y(n_12016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775242 (
+	.A(n_11888),
+	.Y(n_12015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775243 (
+	.A(n_11887),
+	.Y(n_12014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775244 (
+	.A(n_11886),
+	.Y(n_12013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775245 (
+	.A(n_11885),
+	.Y(n_12012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775246 (
+	.A(n_11884),
+	.Y(n_12011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775247 (
+	.A(n_11883),
+	.Y(n_12010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775248 (
+	.A(n_11882),
+	.Y(n_12009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775249 (
+	.A(n_11881),
+	.Y(n_12008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775250 (
+	.A(n_11880),
+	.Y(n_12007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775251 (
+	.A(n_11879),
+	.Y(n_12006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775252 (
+	.A(n_11878),
+	.Y(n_12005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775253 (
+	.A(n_11877),
+	.Y(n_12004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775254 (
+	.A(n_11876),
+	.Y(n_12003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775255 (
+	.A(n_11875),
+	.Y(n_12002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775256 (
+	.A(n_11874),
+	.Y(n_12001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775257 (
+	.A(n_11873),
+	.Y(n_12000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775258 (
+	.A(n_11872),
+	.Y(n_11999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775259 (
+	.A(n_11871),
+	.Y(n_11998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775260 (
+	.A(n_11870),
+	.Y(n_11997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775261 (
+	.A(n_11869),
+	.Y(n_11996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775262 (
+	.A(n_11868),
+	.Y(n_11995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775263 (
+	.A(n_11867),
+	.Y(n_11994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775264 (
+	.A(n_11839),
+	.Y(n_11993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775265 (
+	.A(n_11836),
+	.Y(n_11992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775266 (
+	.A(n_11835),
+	.Y(n_11991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775267 (
+	.A(n_11830),
+	.Y(n_11990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775268 (
+	.A(n_11823),
+	.Y(n_11989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775269 (
+	.A(n_11822),
+	.Y(n_11988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775270 (
+	.A(n_11821),
+	.Y(n_11987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775271 (
+	.A(n_11820),
+	.Y(n_11986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775272 (
+	.A(n_11819),
+	.Y(n_11985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775273 (
+	.A(n_11818),
+	.Y(n_11984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775274 (
+	.A(n_11817),
+	.Y(n_11983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775275 (
+	.A(n_11816),
+	.Y(n_11982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775276 (
+	.A(n_11815),
+	.Y(n_11981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775277 (
+	.A(n_11814),
+	.Y(n_11980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775278 (
+	.A(n_11813),
+	.Y(n_11979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775279 (
+	.A(n_11812),
+	.Y(n_11978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775280 (
+	.A(n_11811),
+	.Y(n_11977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775281 (
+	.A(n_11810),
+	.Y(n_11976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775282 (
+	.A(n_11778),
+	.Y(n_11975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775283 (
+	.A(n_11777),
+	.Y(n_11974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g775284 (
+	.A(n_2497),
+	.B(n_11295),
+	.Y(n_11964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775287 (
+	.A1_N(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.A2_N(n_11283),
+	.B1(n_11261),
+	.B2(n_3377),
+	.Y(n_11961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775288 (
+	.A1(n_11157),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(n_1285),
+	.B2(n_25603),
+	.C1(n_11277),
+	.X(n_11960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775289 (
+	.A1_N(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.A2_N(n_11283),
+	.B1(n_11262),
+	.B2(n_3377),
+	.Y(n_11959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775290 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.A2_N(n_11285),
+	.B1(n_11262),
+	.B2(n_3379),
+	.Y(n_11958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775291 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.A2_N(n_11285),
+	.B1(n_11261),
+	.B2(n_3379),
+	.Y(n_11957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775292 (
+	.A1(n_3374),
+	.A2(n_11263),
+	.B1(n_11284),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.X(n_11956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775293 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.A2_N(n_11284),
+	.B1(n_11261),
+	.B2(n_3373),
+	.Y(n_11955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775294 (
+	.A1(n_2814),
+	.A2(n_11263),
+	.B1(n_11293),
+	.B2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.X(n_11954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775295 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.A2_N(n_11293),
+	.B1(n_11261),
+	.B2(n_2815),
+	.Y(n_11953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775296 (
+	.A1(n_3989),
+	.A2(n_11263),
+	.B1(n_11289),
+	.B2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.X(n_11952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775297 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.A2_N(n_11289),
+	.B1(n_11261),
+	.B2(n_3988),
+	.Y(n_11951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775298 (
+	.A1(n_3985),
+	.A2(n_11263),
+	.B1(n_11288),
+	.B2(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.X(n_11950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775299 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.A2_N(n_11288),
+	.B1(n_11261),
+	.B2(n_3984),
+	.Y(n_11949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775300 (
+	.A1(n_3993),
+	.A2(n_11263),
+	.B1(n_11290),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.X(n_11948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775301 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.A2_N(n_11290),
+	.B1(n_11261),
+	.B2(n_3992),
+	.Y(n_11947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775302 (
+	.A1(n_3997),
+	.A2(n_11263),
+	.B1(n_11292),
+	.B2(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.X(n_11946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775303 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.A2_N(n_11292),
+	.B1(n_11261),
+	.B2(n_3996),
+	.Y(n_11945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775304 (
+	.A1(n_3979),
+	.A2(n_11263),
+	.B1(n_11291),
+	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.X(n_11944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775305 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.A2_N(n_11291),
+	.B1(n_11261),
+	.B2(n_3978),
+	.Y(n_11943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775306 (
+	.A1(n_3983),
+	.A2(n_11263),
+	.B1(n_11275),
+	.B2(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.X(n_11942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775307 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.A2_N(n_11275),
+	.B1(n_11261),
+	.B2(n_3982),
+	.Y(n_11941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775308 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.A2_N(n_11276),
+	.B1(n_11261),
+	.B2(n_3980),
+	.Y(n_11940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775309 (
+	.A1(n_3981),
+	.A2(n_11263),
+	.B1(n_11276),
+	.B2(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.X(n_11939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775310 (
+	.A1(n_3987),
+	.A2(n_11263),
+	.B1(n_11273),
+	.B2(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.X(n_11938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775311 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.A2_N(n_11273),
+	.B1(n_11261),
+	.B2(n_3986),
+	.Y(n_11937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775312 (
+	.A1(n_3995),
+	.A2(n_11263),
+	.B1(n_11274),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.X(n_11936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775313 (
+	.A(n_10037),
+	.B(n_11534),
+	.Y(n_11935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775314 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.A2_N(n_11274),
+	.B1(n_11261),
+	.B2(n_3994),
+	.Y(n_11934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g775315 (
+	.A_N(n_43984),
+	.B(n_11699),
+	.Y(n_11933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775316 (
+	.A1(n_3364),
+	.A2(n_11263),
+	.B1(n_11287),
+	.B2(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.X(n_11932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775317 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.A2_N(n_11286),
+	.B1(n_11261),
+	.B2(n_3366),
+	.Y(n_11931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g775318 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.A2(n_140),
+	.B1(n_1166),
+	.C1(n_1726),
+	.D1(n_11108),
+	.X(n_11930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775319 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.A2_N(n_11287),
+	.B1(n_11261),
+	.B2(n_3363),
+	.Y(n_11929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775320 (
+	.A(n_11558),
+	.B(n_29998),
+	.Y(n_11928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775321 (
+	.A(n_11558),
+	.B(n_4717),
+	.Y(n_11927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775322 (
+	.A(n_11558),
+	.B(n_66584),
+	.Y(n_11926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775323 (
+	.A1(n_66593),
+	.A2(n_10832),
+	.B1(n_2811),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[UF] ),
+	.C1(n_10226),
+	.Y(n_11925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775325 (
+	.A1(n_9638),
+	.A2(n_26815),
+	.B1_N(n_11527),
+	.Y(n_11923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775326 (
+	.A1(n_9638),
+	.A2(n_26816),
+	.B1_N(n_11526),
+	.Y(n_11922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775327 (
+	.A1(n_9638),
+	.A2(n_26818),
+	.B1_N(n_11524),
+	.Y(n_11921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775328 (
+	.A1(n_9638),
+	.A2(n_26819),
+	.B1_N(n_11523),
+	.Y(n_11920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775329 (
+	.A1(n_9638),
+	.A2(n_26820),
+	.B1_N(n_11522),
+	.Y(n_11919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g775330 (
+	.A1(n_9638),
+	.A2(n_26821),
+	.B1_N(n_11521),
+	.Y(n_11918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775331 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_1_qs),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.C1(n_11644),
+	.Y(n_11917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775332 (
+	.A1(n_61827),
+	.A2(n_6657),
+	.B1(n_10035),
+	.B2(n_51483),
+	.C1(n_10983),
+	.X(n_11916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g775333 (
+	.A(n_10227),
+	.B(n_9993),
+	.C(n_10662),
+	.D(n_11468),
+	.Y(n_11915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775334 (
+	.A(n_11092),
+	.B(n_11631),
+	.Y(n_11914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g775335 (
+	.A(n_11109),
+	.B(n_5003),
+	.C(n_2438),
+	.Y(n_11913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775336 (
+	.A1(n_8964),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.B1(n_11560),
+	.X(n_11912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775337 (
+	.A1(n_8964),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.B1(n_11560),
+	.X(n_11911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775338 (
+	.A1(n_1290),
+	.A2(n_11269),
+	.B1(soc_top_intr_controller_u_reg_msip0_qs),
+	.Y(n_11910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775339 (
+	.A1(n_2106),
+	.A2(n_11157),
+	.B1(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.Y(n_11909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775340 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.A2(n_11279),
+	.B1(n_11278),
+	.X(n_11908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775341 (
+	.A1(n_3365),
+	.A2(n_11263),
+	.B1(n_11286),
+	.B2(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.X(n_11907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775342 (
+	.A1(n_11281),
+	.A2(n_51105),
+	.B1(n_11280),
+	.X(n_11906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775343 (
+	.A1(n_3372),
+	.A2(n_11263),
+	.B1(n_11294),
+	.B2(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.X(n_11905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g775344 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][0][q] ),
+	.B1(n_9934),
+	.C1(n_9340),
+	.D1(n_11248),
+	.X(n_11904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775345 (
+	.A1(n_10658),
+	.A2(n_4169),
+	.B1(soc_top_u_pwm_pwm_core_period_2[8]),
+	.B2(n_773),
+	.C1(n_3832),
+	.Y(n_11903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775346 (
+	.A1(n_10796),
+	.A2(n_63049),
+	.B1(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.B2(n_61171),
+	.C1(n_9972),
+	.C2(n_5324),
+	.Y(n_11902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775347 (
+	.A1(n_9769),
+	.A2(n_10372),
+	.B1(n_11243),
+	.C1(n_11099),
+	.Y(n_11901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775349 (
+	.A1(n_61518),
+	.A2(n_5609),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.C1(n_11647),
+	.X(n_11899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775350 (
+	.A1(n_27289),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [17]),
+	.Y(n_11898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775351 (
+	.A1(n_27290),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [18]),
+	.Y(n_11897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775352 (
+	.A1(n_27291),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [19]),
+	.Y(n_11896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775353 (
+	.A1(n_27288),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [16]),
+	.Y(n_11895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775354 (
+	.A1(n_27292),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_11894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775355 (
+	.A1(n_27293),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_11893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775356 (
+	.A1(n_27294),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [22]),
+	.Y(n_11892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775357 (
+	.A1(n_27295),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [23]),
+	.Y(n_11891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775358 (
+	.A1(n_27296),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(n_11890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775359 (
+	.A1(n_27297),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(n_11889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775360 (
+	.A1(n_27298),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(n_11888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775361 (
+	.A1(n_27299),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_11887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775362 (
+	.A1(n_27300),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_11886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775363 (
+	.A1(n_27301),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_11885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775364 (
+	.A1(n_27302),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_11884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775365 (
+	.A1(n_27303),
+	.A2(n_10827),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.B2(n_10588),
+	.C1(n_1257),
+	.C2(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(n_11883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775366 (
+	.A1(n_27256),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [16]),
+	.Y(n_11882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775367 (
+	.A1(n_27257),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [17]),
+	.Y(n_11881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775368 (
+	.A1(n_27258),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [18]),
+	.Y(n_11880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775369 (
+	.A1(n_27259),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [19]),
+	.Y(n_11879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775370 (
+	.A1(n_27260),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_11878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775371 (
+	.A1(n_27261),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_11877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775372 (
+	.A1(n_27262),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [22]),
+	.Y(n_11876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775373 (
+	.A1(n_27263),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [23]),
+	.Y(n_11875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775374 (
+	.A1(n_27264),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(n_11874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775375 (
+	.A1(n_27265),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(n_11873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775376 (
+	.A1(n_27267),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_11872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775377 (
+	.A1(n_27268),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_11871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775378 (
+	.A1(n_27266),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(n_11870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775379 (
+	.A1(n_27269),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_11869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775380 (
+	.A1(n_27270),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_11868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775381 (
+	.A1(n_27271),
+	.A2(n_10826),
+	.B1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.B2(n_10590),
+	.C1(n_2368),
+	.C2(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(n_11867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g775382 (
+	.A(n_10690),
+	.B(n_9273),
+	.C(n_4260),
+	.D(n_4259),
+	.Y(n_11866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775383 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.A2_N(n_11294),
+	.B1(n_11261),
+	.B2(n_3371),
+	.Y(n_11865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775384 (
+	.A1(n_14),
+	.A2(n_1256),
+	.B1(n_11321),
+	.Y(n_11864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775385 (
+	.A1(n_9),
+	.A2(n_1256),
+	.B1(n_11320),
+	.Y(n_11863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775386 (
+	.A1(n_12),
+	.A2(n_1256),
+	.B1(n_11315),
+	.Y(n_11862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775387 (
+	.A1(n_8),
+	.A2(n_1256),
+	.B1(n_11314),
+	.Y(n_11861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775388 (
+	.A1(n_11),
+	.A2(n_1256),
+	.B1(n_11313),
+	.Y(n_11860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775389 (
+	.A1(n_13),
+	.A2(n_1256),
+	.B1(n_11312),
+	.Y(n_11859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775390 (
+	.A1(n_3381),
+	.A2(n_11263),
+	.B1(n_11272),
+	.B2(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.X(n_11858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775391 (
+	.A1(n_7),
+	.A2(n_1256),
+	.B1(n_11319),
+	.Y(n_11857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775392 (
+	.A1(n_5),
+	.A2(n_1256),
+	.B1(n_11318),
+	.Y(n_11856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775393 (
+	.A1(n_6),
+	.A2(n_1256),
+	.B1(n_11316),
+	.Y(n_11855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775394 (
+	.A1(n_663),
+	.A2(n_1256),
+	.B1(n_11317),
+	.Y(n_11854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775395 (
+	.A1(n_14),
+	.A2(n_2369),
+	.B1(n_11305),
+	.Y(n_11853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775396 (
+	.A1(n_9),
+	.A2(n_2369),
+	.B1(n_11304),
+	.Y(n_11852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775397 (
+	.A1(n_12),
+	.A2(n_2369),
+	.B1(n_11299),
+	.Y(n_11851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775398 (
+	.A1(n_8),
+	.A2(n_2369),
+	.B1(n_11298),
+	.Y(n_11850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775399 (
+	.A1(n_11),
+	.A2(n_2369),
+	.B1(n_11469),
+	.Y(n_11849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775400 (
+	.A1(n_13),
+	.A2(n_2369),
+	.B1(n_11349),
+	.Y(n_11848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775401 (
+	.A1(n_4),
+	.A2(n_1256),
+	.B1(n_11323),
+	.Y(n_11847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775402 (
+	.A1(n_7),
+	.A2(n_2369),
+	.B1(n_11303),
+	.Y(n_11846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775403 (
+	.A1(n_663),
+	.A2(n_2369),
+	.B1(n_11301),
+	.Y(n_11845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775404 (
+	.A1(n_5),
+	.A2(n_2369),
+	.B1(n_11302),
+	.Y(n_11844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775405 (
+	.A1(n_6),
+	.A2(n_2369),
+	.B1(n_11300),
+	.Y(n_11843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775406 (
+	.A1(n_659),
+	.A2(n_1256),
+	.B1(n_11322),
+	.Y(n_11842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775407 (
+	.A1(n_2),
+	.A2(n_1256),
+	.B1(n_11325),
+	.Y(n_11841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775408 (
+	.A1(n_4),
+	.A2(n_2369),
+	.B1(n_11307),
+	.Y(n_11840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775409 (
+	.A1(n_10830),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27170),
+	.Y(n_11839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775410 (
+	.A1(n_658),
+	.A2(n_1256),
+	.B1(n_11327),
+	.Y(n_11838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775411 (
+	.A1(n_5878),
+	.A2(n_27202),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.C1(n_11238),
+	.X(n_11837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775412 (
+	.A1(n_10832),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27168),
+	.Y(n_11836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775413 (
+	.A1(n_10834),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27174),
+	.Y(n_11835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775414 (
+	.A1(n_5876),
+	.A2(n_27105),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.C1(n_11236),
+	.X(n_11834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775415 (
+	.A1(n_5876),
+	.A2(n_27137),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.C1(n_11235),
+	.X(n_11833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775416 (
+	.A1(n_5878),
+	.A2(n_27200),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.C1(n_11233),
+	.X(n_11832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775417 (
+	.A1(n_5878),
+	.A2(n_27206),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.C1(n_11234),
+	.X(n_11831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775418 (
+	.A1(n_10836),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27167),
+	.Y(n_11830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775419 (
+	.A1(n_5876),
+	.A2(n_27103),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.C1(n_11231),
+	.X(n_11829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775420 (
+	.A1(n_5876),
+	.A2(n_27109),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.C1(n_11232),
+	.X(n_11828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775421 (
+	.A1(n_5876),
+	.A2(n_27135),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.C1(n_11228),
+	.X(n_11827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775422 (
+	.A1(n_5876),
+	.A2(n_27141),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.C1(n_11229),
+	.X(n_11826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775423 (
+	.A1(n_5878),
+	.A2(n_27199),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.C1(n_11227),
+	.X(n_11825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775424 (
+	.A1(n_659),
+	.A2(n_2369),
+	.B1(n_11306),
+	.Y(n_11824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775425 (
+	.A1(n_10838),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27172),
+	.Y(n_11823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775426 (
+	.A1(n_10840),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27173),
+	.Y(n_11822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775427 (
+	.A1(n_10850),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27183),
+	.Y(n_11821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775428 (
+	.A1(n_10848),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27182),
+	.Y(n_11820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775429 (
+	.A1(n_10852),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27185),
+	.Y(n_11819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775430 (
+	.A1(n_10854),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27186),
+	.Y(n_11818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775431 (
+	.A1(n_10857),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27189),
+	.Y(n_11817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775432 (
+	.A1(n_10859),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27190),
+	.Y(n_11816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775433 (
+	.A1(n_10861),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27191),
+	.Y(n_11815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775434 (
+	.A1(n_10863),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27192),
+	.Y(n_11814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775435 (
+	.A1(n_10864),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27193),
+	.Y(n_11813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775436 (
+	.A1(n_10847),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27195),
+	.Y(n_11812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775437 (
+	.A1(n_10845),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27196),
+	.Y(n_11811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775438 (
+	.A1(n_10842),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27198),
+	.Y(n_11810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775439 (
+	.A1(n_5876),
+	.A2(n_27102),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.C1(n_11226),
+	.X(n_11809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775440 (
+	.A1(n_5876),
+	.A2(n_27134),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.C1(n_11211),
+	.X(n_11808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775441 (
+	.A1(n_5878),
+	.A2(n_27204),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.C1(n_11246),
+	.X(n_11807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775442 (
+	.A1(n_5878),
+	.A2(n_27205),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.C1(n_11247),
+	.X(n_11806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775443 (
+	.A1(n_5878),
+	.A2(n_27214),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.C1(n_11173),
+	.X(n_11805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775444 (
+	.A1(n_5878),
+	.A2(n_27215),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.C1(n_11175),
+	.X(n_11804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775445 (
+	.A1(n_5878),
+	.A2(n_27217),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.C1(n_11178),
+	.X(n_11803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775446 (
+	.A1(n_5878),
+	.A2(n_27218),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.C1(n_11192),
+	.X(n_11802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775447 (
+	.A1(n_5878),
+	.A2(n_27221),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.C1(n_11254),
+	.X(n_11801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775448 (
+	.A1(n_5878),
+	.A2(n_27222),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.C1(n_11195),
+	.X(n_11800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775449 (
+	.A1(n_5878),
+	.A2(n_27223),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.C1(n_11197),
+	.X(n_11799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775450 (
+	.A1(n_5878),
+	.A2(n_27224),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.C1(n_11196),
+	.X(n_11798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775451 (
+	.A1(n_5878),
+	.A2(n_27227),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.C1(n_11208),
+	.X(n_11797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775452 (
+	.A1(n_5878),
+	.A2(n_27225),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.C1(n_11204),
+	.X(n_11796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775453 (
+	.A1(n_5878),
+	.A2(n_27228),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.C1(n_11209),
+	.X(n_11795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775454 (
+	.A1(n_5878),
+	.A2(n_27230),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.C1(n_11210),
+	.X(n_11794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775455 (
+	.A1(n_2),
+	.A2(n_2369),
+	.B1(n_11308),
+	.Y(n_11793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775456 (
+	.A1(n_5876),
+	.A2(n_27107),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.C1(n_11191),
+	.X(n_11792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775457 (
+	.A1(n_5876),
+	.A2(n_27108),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C1(n_11193),
+	.X(n_11791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775458 (
+	.A1(n_5876),
+	.A2(n_27117),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.C1(n_11199),
+	.X(n_11790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775459 (
+	.A1(n_5876),
+	.A2(n_27118),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.C1(n_11200),
+	.X(n_11789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775460 (
+	.A1(n_5876),
+	.A2(n_27120),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.C1(n_11201),
+	.X(n_11788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775461 (
+	.A1(n_5876),
+	.A2(n_27121),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C1(n_11202),
+	.X(n_11787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775462 (
+	.A1(n_5876),
+	.A2(n_27124),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.C1(n_11203),
+	.X(n_11786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775463 (
+	.A1(n_5876),
+	.A2(n_27125),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.C1(n_11205),
+	.X(n_11785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775464 (
+	.A1(n_5876),
+	.A2(n_27126),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.C1(n_11206),
+	.X(n_11784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775465 (
+	.A1(n_5876),
+	.A2(n_27127),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C1(n_11207),
+	.X(n_11783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775466 (
+	.A1(n_5876),
+	.A2(n_27128),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.C1(n_11239),
+	.X(n_11782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775467 (
+	.A1(n_5876),
+	.A2(n_27130),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.C1(n_11240),
+	.X(n_11781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775468 (
+	.A1(n_5876),
+	.A2(n_27131),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.C1(n_11241),
+	.X(n_11780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775469 (
+	.A1(n_5876),
+	.A2(n_27133),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.C1(n_11242),
+	.X(n_11779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775470 (
+	.A1(n_10868),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27176),
+	.Y(n_11778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775471 (
+	.A1(n_10866),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27181),
+	.Y(n_11777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775472 (
+	.A1(n_5876),
+	.A2(n_27139),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.C1(n_11164),
+	.X(n_11776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775473 (
+	.A1(n_5876),
+	.A2(n_27140),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.C1(n_11163),
+	.X(n_11775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775474 (
+	.A1(n_5876),
+	.A2(n_27149),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.C1(n_11174),
+	.X(n_11774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775475 (
+	.A1(n_5876),
+	.A2(n_27150),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.C1(n_11176),
+	.X(n_11773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775476 (
+	.A1(n_5876),
+	.A2(n_27152),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.C1(n_11177),
+	.X(n_11772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775477 (
+	.A1(n_5876),
+	.A2(n_27153),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.C1(n_11179),
+	.X(n_11771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775478 (
+	.A1(n_5876),
+	.A2(n_27156),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.C1(n_11180),
+	.X(n_11770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775479 (
+	.A1(n_5876),
+	.A2(n_27157),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.C1(n_11181),
+	.X(n_11769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775480 (
+	.A1(n_5876),
+	.A2(n_27158),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.C1(n_11182),
+	.X(n_11768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775481 (
+	.A1(n_5876),
+	.A2(n_27159),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.C1(n_11183),
+	.X(n_11767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775482 (
+	.A1(n_5876),
+	.A2(n_27160),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.C1(n_11184),
+	.X(n_11766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775483 (
+	.A1(n_5876),
+	.A2(n_27162),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.C1(n_11185),
+	.X(n_11765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775484 (
+	.A1(n_5876),
+	.A2(n_27163),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.C1(n_11186),
+	.X(n_11764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775485 (
+	.A1(n_5876),
+	.A2(n_27165),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.C1(n_11187),
+	.X(n_11763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775486 (
+	.A1(n_5878),
+	.A2(n_27208),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.C1(n_11168),
+	.X(n_11762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775487 (
+	.A1(n_5878),
+	.A2(n_27213),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.C1(n_11167),
+	.X(n_11761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775488 (
+	.A1(n_5876),
+	.A2(n_27111),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.C1(n_11170),
+	.X(n_11760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775489 (
+	.A1(n_5876),
+	.A2(n_27116),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C1(n_11169),
+	.X(n_11759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775490 (
+	.A1(n_5876),
+	.A2(n_27143),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.C1(n_11172),
+	.X(n_11758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775491 (
+	.A1(n_5876),
+	.A2(n_27148),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.C1(n_11171),
+	.X(n_11757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775492 (
+	.A1(n_658),
+	.A2(n_2369),
+	.B1(n_11309),
+	.Y(n_11756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775493 (
+	.A1(n_1),
+	.A2(n_1256),
+	.B1(n_11324),
+	.Y(n_11755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775494 (
+	.A1(n_0),
+	.A2(n_1256),
+	.B1(n_11326),
+	.Y(n_11754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775495 (
+	.A1(n_1),
+	.A2(n_2369),
+	.B1(n_11311),
+	.Y(n_11753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775496 (
+	.A1(n_0),
+	.A2(n_2369),
+	.B1(n_11310),
+	.Y(n_11752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775497 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.A2_N(n_11272),
+	.B1(n_11261),
+	.B2(n_3380),
+	.Y(n_11751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775498 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.A2_N(n_11282),
+	.B1(n_11262),
+	.B2(n_2440),
+	.Y(n_11750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775499 (
+	.A1(n_11152),
+	.A2(n_4742),
+	.B1(n_4248),
+	.C1(n_4673),
+	.Y(n_11749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775500 (
+	.A1(n_11150),
+	.A2(n_4742),
+	.B1(n_4247),
+	.C1(n_4670),
+	.Y(n_11748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775501 (
+	.A1(n_11146),
+	.A2(n_4742),
+	.B1(n_4244),
+	.C1(n_4668),
+	.Y(n_11747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775502 (
+	.A1(n_11144),
+	.A2(n_4742),
+	.B1(n_4237),
+	.C1(n_4662),
+	.Y(n_11746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775503 (
+	.A1(n_11147),
+	.A2(n_4742),
+	.B1(n_4227),
+	.C1(n_4652),
+	.Y(n_11745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775504 (
+	.A1(n_11142),
+	.A2(n_4742),
+	.B1(n_4252),
+	.C1(n_4677),
+	.Y(n_11744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775505 (
+	.A1(n_11140),
+	.A2(n_4742),
+	.B1(n_4246),
+	.C1(n_4646),
+	.Y(n_11743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775507 (
+	.A1(n_11152),
+	.A2(n_8962),
+	.B1(n_5133),
+	.C1(n_8690),
+	.Y(n_11741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775508 (
+	.A1(n_11150),
+	.A2(n_8962),
+	.B1(n_5131),
+	.C1(n_8688),
+	.Y(n_11740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775509 (
+	.A1(n_11146),
+	.A2(n_8962),
+	.B1(n_5128),
+	.C1(n_8685),
+	.Y(n_11739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775510 (
+	.A1(n_11144),
+	.A2(n_8962),
+	.B1(n_5121),
+	.C1(n_8678),
+	.Y(n_11738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775511 (
+	.A1(n_11147),
+	.A2(n_8962),
+	.B1(n_5112),
+	.C1(n_8668),
+	.Y(n_11737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775512 (
+	.A1(n_62209),
+	.A2(n_5055),
+	.B1(n_10874),
+	.C1(n_10341),
+	.D1(n_10675),
+	.Y(n_11736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775513 (
+	.A1(n_66087),
+	.A2(n_29254),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[4]),
+	.C1(n_11617),
+	.X(n_11735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775514 (
+	.A1(n_66087),
+	.A2(n_29257),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[8]),
+	.C1(n_11595),
+	.X(n_11734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775515 (
+	.A1(n_66087),
+	.A2(n_29259),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[10]),
+	.C1(n_11596),
+	.X(n_11733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775516 (
+	.A1(n_66087),
+	.A2(n_29260),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[11]),
+	.C1(n_11621),
+	.X(n_11732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775517 (
+	.A1(n_66087),
+	.A2(n_29261),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[13]),
+	.C1(n_11610),
+	.X(n_11731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775518 (
+	.A1(n_66087),
+	.A2(n_29268),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[20]),
+	.C1(n_11611),
+	.X(n_11730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775519 (
+	.A1(n_66087),
+	.A2(n_29278),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[30]),
+	.C1(n_11612),
+	.X(n_11729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775520 (
+	.A1(n_11142),
+	.A2(n_8962),
+	.B1(n_5137),
+	.C1(n_8694),
+	.Y(n_11728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775521 (
+	.A1(n_11140),
+	.A2(n_8962),
+	.B1(n_5130),
+	.C1(n_8687),
+	.Y(n_11727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775522 (
+	.A1(n_5606),
+	.A2(n_66979),
+	.B1(n_61485),
+	.C1(n_10429),
+	.D1(n_10985),
+	.Y(n_11726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775523 (
+	.A1(n_2513),
+	.A2(n_2812),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NV] ),
+	.B2(n_2811),
+	.C1(n_66593),
+	.C2(n_11141),
+	.Y(n_11725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775524 (
+	.A1(n_10035),
+	.A2(n_30396),
+	.B1(n_26861),
+	.B2(n_6657),
+	.C1(n_10982),
+	.Y(n_11724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775525 (
+	.A1(n_10309),
+	.A2(n_2380),
+	.B1(n_10608),
+	.C1(n_10235),
+	.D1(n_10352),
+	.Y(n_11723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775526 (
+	.A1(n_10597),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.B1(n_11677),
+	.X(n_11722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775527 (
+	.A1(n_10035),
+	.A2(n_44104),
+	.B1(n_26856),
+	.B2(n_6657),
+	.C1(n_10981),
+	.Y(n_11721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775528 (
+	.A1(n_8975),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.B1(n_66593),
+	.B2(n_10830),
+	.C1(n_5161),
+	.X(n_11720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775529 (
+	.A1(n_5600),
+	.A2(n_10399),
+	.B1(n_9726),
+	.C1(n_11091),
+	.Y(n_11719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775531 (
+	.A1(n_11137),
+	.A2(n_27098),
+	.B1(n_27097),
+	.B2(n_10808),
+	.X(n_11717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775532 (
+	.A1(n_8971),
+	.A2(n_11141),
+	.B1(n_6390),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.X(n_11716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775533 (
+	.A1(n_66583),
+	.A2(n_11141),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[4]),
+	.X(n_11715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775534 (
+	.A1(n_66583),
+	.A2(n_11151),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[8]),
+	.X(n_11714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775535 (
+	.A1(n_66583),
+	.A2(n_11149),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[10]),
+	.X(n_11713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775536 (
+	.A1(n_66583),
+	.A2(n_11139),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[11]),
+	.X(n_11712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775537 (
+	.A1(n_66583),
+	.A2(n_11145),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.X(n_11711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775538 (
+	.A1(n_66583),
+	.A2(n_11143),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.X(n_11710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g775539 (
+	.A1(n_66583),
+	.A2(n_11148),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[30]),
+	.X(n_11709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g775540 (
+	.A1_N(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.A2_N(n_11282),
+	.B1(n_11261),
+	.B2(n_2440),
+	.Y(n_11708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775542 (
+	.A1(n_30737),
+	.A2(n_10558),
+	.B1(n_10659),
+	.C1(n_11103),
+	.Y(n_11707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775543 (
+	.A1(n_4018),
+	.A2(n_10558),
+	.B1(n_10660),
+	.C1(n_11048),
+	.Y(n_11973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775545 (
+	.A1(n_51108),
+	.A2(n_11281),
+	.B1(n_16220),
+	.Y(n_11972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775546 (
+	.A1(n_70813),
+	.A2(n_11279),
+	.B1(n_43984),
+	.Y(n_11971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g775560 (
+	.A1(n_11140),
+	.A2(n_10555),
+	.B1(n_6389),
+	.Y(n_11970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g775575 (
+	.A1(n_4017),
+	.A2(n_10558),
+	.B1(n_10661),
+	.C1(n_11047),
+	.X(n_11969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g775576 (
+	.A_N(n_11559),
+	.B(n_1373),
+	.Y(n_11968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g775577 (
+	.A_N(n_11680),
+	.B(n_1422),
+	.Y(n_11967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g775578 (
+	.A_N(n_11680),
+	.B(n_1373),
+	.Y(n_11966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g775579 (
+	.A_N(n_11559),
+	.B(n_1422),
+	.Y(n_11965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775581 (
+	.A(n_11661),
+	.Y(n_11705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775582 (
+	.A(n_11660),
+	.Y(n_11704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775583 (
+	.A(n_63238),
+	.Y(n_11703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775584 (
+	.A(n_11700),
+	.Y(n_11701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775585 (
+	.A1(n_9785),
+	.A2(n_3967),
+	.B1(n_10510),
+	.C1(n_10511),
+	.D1(n_10802),
+	.Y(n_11668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775586 (
+	.A1(n_2701),
+	.A2(n_10750),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(n_11667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775588 (
+	.A1(n_2702),
+	.A2(n_10751),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(n_11665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775589 (
+	.A1(n_2703),
+	.A2(n_10753),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [23]),
+	.Y(n_11664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775590 (
+	.A1(n_2704),
+	.A2(n_10754),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [22]),
+	.Y(n_11663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775591 (
+	.A1(n_2705),
+	.A2(n_10755),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_11662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775592 (
+	.A1(n_61568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.B1(n_63443),
+	.B2(n_63580),
+	.C1(n_10291),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [1]),
+	.Y(n_11661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775593 (
+	.A1(n_61568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.B1(n_63443),
+	.B2(n_63570),
+	.C1(n_10291),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [0]),
+	.Y(n_11660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775594 (
+	.A1(n_2706),
+	.A2(n_10756),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_11659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775595 (
+	.A1(n_2707),
+	.A2(n_10757),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [19]),
+	.Y(n_11658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775596 (
+	.A1(n_2708),
+	.A2(n_10758),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [17]),
+	.Y(n_11657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775597 (
+	.A1(n_2709),
+	.A2(n_10759),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [16]),
+	.Y(n_11656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775598 (
+	.A1(n_2711),
+	.A2(n_10761),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(n_11655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775599 (
+	.A1(n_2710),
+	.A2(n_10760),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.Y(n_11654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775600 (
+	.A1(n_2712),
+	.A2(n_10762),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.Y(n_11653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775601 (
+	.A1(n_2713),
+	.A2(n_10764),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.Y(n_11652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775602 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_2_qs),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.C1(n_11013),
+	.X(n_11651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775603 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_4_qs),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.C1(n_11011),
+	.X(n_11650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775604 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_3_qs),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.C1(n_11012),
+	.X(n_11649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775605 (
+	.A1(n_5053),
+	.A2(n_62209),
+	.B1(n_9008),
+	.C1(n_62213),
+	.D1(n_29974),
+	.Y(n_11648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g775606 (
+	.A1(n_61177),
+	.A2(n_10439),
+	.A3(n_9730),
+	.B1(n_5596),
+	.Y(n_11647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g775607 (
+	.A1(n_9638),
+	.A2(n_26822),
+	.B1(n_11105),
+	.Y(n_11646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775608 (
+	.A1(n_24588),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B1(n_11157),
+	.X(n_11645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775609 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][1][q] ),
+	.B1(n_11136),
+	.X(n_11644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775610 (
+	.A1(n_9398),
+	.A2(n_9404),
+	.B1(n_10599),
+	.C1(n_10083),
+	.D1(n_10332),
+	.Y(n_11643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775611 (
+	.A1(n_5600),
+	.A2(n_10741),
+	.B1(n_11089),
+	.Y(n_11642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g775612 (
+	.A1(n_9740),
+	.A2(n_61533),
+	.B1(n_5596),
+	.X(n_11641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g775613 (
+	.A1(n_5762),
+	.A2(n_10303),
+	.B1(n_11158),
+	.C1(n_10752),
+	.X(n_11640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g775615 (
+	.A(n_10058),
+	.B(n_10676),
+	.C(n_61197),
+	.X(n_11638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g775616 (
+	.A1(n_5022),
+	.A2(n_10312),
+	.B1(n_11088),
+	.Y(n_11637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g775617 (
+	.A1(n_6672),
+	.A2(n_10124),
+	.B1_N(n_11253),
+	.Y(n_11636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775618 (
+	.A1(n_26864),
+	.A2(n_6657),
+	.B1(n_10548),
+	.B2(n_31260),
+	.C1(n_10446),
+	.X(n_11635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g775619 (
+	.A1(n_4509),
+	.A2(n_787),
+	.B1(n_6111),
+	.C1(n_8410),
+	.D1(n_10448),
+	.X(n_11634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775620 (
+	.A1(n_10202),
+	.A2(n_3967),
+	.B1(n_10512),
+	.C1(n_10503),
+	.D1(n_10804),
+	.Y(n_11633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g775621 (
+	.A1(n_10203),
+	.A2(n_3813),
+	.B1(n_10204),
+	.B2(n_3970),
+	.C1(n_11081),
+	.Y(n_11632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g775622 (
+	.A(n_11003),
+	.B(n_10463),
+	.C(n_10464),
+	.X(n_11631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775624 (
+	.A1(n_10200),
+	.A2(n_3967),
+	.B1(n_10505),
+	.C1(n_10262),
+	.D1(n_10799),
+	.Y(n_11629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775625 (
+	.A1(n_10205),
+	.A2(n_3967),
+	.B1(n_10506),
+	.C1(n_10499),
+	.D1(n_10800),
+	.Y(n_11628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775626 (
+	.A1(n_9783),
+	.A2(n_3818),
+	.B1(n_10507),
+	.C1(n_10500),
+	.D1(n_10797),
+	.Y(n_11627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775627 (
+	.A1(n_9784),
+	.A2(n_3818),
+	.B1(n_10508),
+	.C1(n_10501),
+	.D1(n_10798),
+	.Y(n_11626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g775628 (
+	.A1(n_10208),
+	.A2(n_3967),
+	.B1(n_10509),
+	.C1(n_10502),
+	.D1(n_10801),
+	.Y(n_11625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775630 (
+	.A(n_11093),
+	.B(n_11094),
+	.Y(n_11623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g775631 (
+	.A(n_62213),
+	.B(n_10537),
+	.C(n_9789),
+	.D(n_10440),
+	.Y(n_11622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775632 (
+	.A(n_11140),
+	.B(n_8958),
+	.Y(n_11621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775633 (
+	.A(n_11140),
+	.B(n_4718),
+	.Y(n_11620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775634 (
+	.A(n_11140),
+	.B(n_66584),
+	.Y(n_11619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775635 (
+	.A(n_11140),
+	.B(n_4717),
+	.Y(n_11618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775636 (
+	.A(n_11142),
+	.B(n_8958),
+	.Y(n_11617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775637 (
+	.A(n_11142),
+	.B(n_4718),
+	.Y(n_11616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775638 (
+	.A(n_11140),
+	.B(n_29998),
+	.Y(n_11615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775639 (
+	.A(n_11142),
+	.B(n_66584),
+	.Y(n_11614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775640 (
+	.A(n_11142),
+	.B(n_4717),
+	.Y(n_11613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775641 (
+	.A(n_11147),
+	.B(n_8958),
+	.Y(n_11612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775642 (
+	.A(n_11144),
+	.B(n_8958),
+	.Y(n_11611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775643 (
+	.A(n_11146),
+	.B(n_8958),
+	.Y(n_11610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775644 (
+	.A(n_11142),
+	.B(n_29998),
+	.Y(n_11609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775645 (
+	.A(n_11147),
+	.B(n_4718),
+	.Y(n_11608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775646 (
+	.A(n_11144),
+	.B(n_4718),
+	.Y(n_11607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775647 (
+	.A(n_11146),
+	.B(n_4718),
+	.Y(n_11606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775648 (
+	.A(n_11147),
+	.B(n_66584),
+	.Y(n_11605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775649 (
+	.A(n_11144),
+	.B(n_66584),
+	.Y(n_11604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775650 (
+	.A(n_11146),
+	.B(n_66584),
+	.Y(n_11603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775651 (
+	.A(n_11147),
+	.B(n_4717),
+	.Y(n_11602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775652 (
+	.A(n_11144),
+	.B(n_4717),
+	.Y(n_11601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775653 (
+	.A(n_11146),
+	.B(n_4717),
+	.Y(n_11600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775654 (
+	.A(n_11147),
+	.B(n_29998),
+	.Y(n_11599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775655 (
+	.A(n_11144),
+	.B(n_29998),
+	.Y(n_11598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775656 (
+	.A(n_11146),
+	.B(n_29998),
+	.Y(n_11597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775657 (
+	.A(n_11150),
+	.B(n_8958),
+	.Y(n_11596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775658 (
+	.A(n_11152),
+	.B(n_8958),
+	.Y(n_11595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775659 (
+	.A(n_11150),
+	.B(n_4718),
+	.Y(n_11594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775660 (
+	.A(n_11152),
+	.B(n_4718),
+	.Y(n_11593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775661 (
+	.A(n_11150),
+	.B(n_66584),
+	.Y(n_11592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775662 (
+	.A(n_11152),
+	.B(n_66584),
+	.Y(n_11591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775663 (
+	.A(n_4994),
+	.B(n_11138),
+	.Y(n_11590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775664 (
+	.A(n_11150),
+	.B(n_4717),
+	.Y(n_11589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g775665 (
+	.A(n_10042),
+	.B_N(n_11259),
+	.Y(n_11588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g775666 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_11256),
+	.Y(n_11587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775667 (
+	.A(n_11249),
+	.B(n_2017),
+	.Y(n_11586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775668 (
+	.A(n_11152),
+	.B(n_4717),
+	.Y(n_11585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775669 (
+	.A(n_11150),
+	.B(n_29998),
+	.Y(n_11584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775670 (
+	.A(n_11152),
+	.B(n_29998),
+	.Y(n_11583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775672 (
+	.A(n_11084),
+	.B(n_51661),
+	.Y(n_11581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g775695 (
+	.A1(n_4011),
+	.A2(n_10558),
+	.B1(n_10626),
+	.C1(n_10668),
+	.X(n_11702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g775696 (
+	.A1(n_10458),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.B1(n_10871),
+	.C1(n_10412),
+	.X(n_11580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775697 (
+	.A1(n_9641),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_10562),
+	.B2(n_5616),
+	.C1(n_10020),
+	.X(n_11579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775698 (
+	.A1(n_10562),
+	.A2(n_5615),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.C1(n_10019),
+	.X(n_11578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775699 (
+	.A1(n_10562),
+	.A2(n_6391),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.C1(n_10018),
+	.X(n_11577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775700 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.C1(n_11104),
+	.X(n_11576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775720 (
+	.A(n_11295),
+	.B(n_25198),
+	.Y(n_11575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775721 (
+	.A(n_11295),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.Y(n_11574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g775724 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B_N(n_11277),
+	.Y(n_11700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775728 (
+	.A(n_11278),
+	.B(n_70813),
+	.Y(n_11699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g775729 (
+	.A_N(n_51105),
+	.B(n_11280),
+	.X(n_11698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775733 (
+	.A(n_11266),
+	.B(n_3349),
+	.Y(n_11697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775735 (
+	.A(n_11267),
+	.B(n_3349),
+	.Y(n_11696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775736 (
+	.A(n_11268),
+	.B(n_2415),
+	.Y(n_11695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775737 (
+	.A(n_11268),
+	.B(n_3352),
+	.Y(n_11694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775738 (
+	.A(n_11267),
+	.B(n_3352),
+	.Y(n_11693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775739 (
+	.A(n_11266),
+	.B(n_3345),
+	.Y(n_11692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775740 (
+	.A(n_11266),
+	.B(n_3347),
+	.Y(n_11691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775741 (
+	.A(n_11266),
+	.B(n_2394),
+	.Y(n_11690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775742 (
+	.A(n_11268),
+	.B(n_3345),
+	.Y(n_11689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775743 (
+	.A(n_11106),
+	.B(n_10870),
+	.Y(n_11573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775745 (
+	.A(n_11266),
+	.B(n_3352),
+	.Y(n_11688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775746 (
+	.A(n_11267),
+	.B(n_3347),
+	.Y(n_11687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775747 (
+	.A(n_11267),
+	.B(n_2394),
+	.Y(n_11686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775748 (
+	.A(n_11266),
+	.B(n_2431),
+	.Y(n_11685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775749 (
+	.A(n_11268),
+	.B(n_2394),
+	.Y(n_11684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775751 (
+	.A(n_11268),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_11683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775752 (
+	.A(n_11267),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_11682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775753 (
+	.A(n_11268),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_11681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g775754 (
+	.A(n_11261),
+	.B(n_3418),
+	.X(n_11680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775756 (
+	.A(n_3352),
+	.B(n_11263),
+	.Y(n_11678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775757 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[6]),
+	.B(n_10597),
+	.Y(n_11677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775764 (
+	.A(n_11267),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_11676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775779 (
+	.A(n_66054),
+	.B(n_11269),
+	.Y(n_11675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g775783 (
+	.A(n_25226),
+	.B(n_11269),
+	.Y(n_11674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775828 (
+	.A(n_11266),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_11673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775838 (
+	.A(n_11266),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_11672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g775846 (
+	.A(n_11267),
+	.B(n_2468),
+	.Y(n_11671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g775847 (
+	.A_N(n_11269),
+	.B(n_679),
+	.X(n_11670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775852 (
+	.A(n_63109),
+	.Y(n_11569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775853 (
+	.A(n_11489),
+	.Y(n_11568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775854 (
+	.A(n_11488),
+	.Y(n_11567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775855 (
+	.A(n_11487),
+	.Y(n_11566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775856 (
+	.A(n_11483),
+	.Y(n_11565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775857 (
+	.A(n_11473),
+	.Y(n_11564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775858 (
+	.A(n_11472),
+	.Y(n_11563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775859 (
+	.A(n_11471),
+	.Y(n_11562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g775860 (
+	.A(n_11470),
+	.Y(n_11561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g775861 (
+	.A(n_11558),
+	.Y(n_11557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775863 (
+	.A1(n_2693),
+	.A2(n_10747),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_11555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775866 (
+	.A1(n_2700),
+	.A2(n_10748),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_11552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775867 (
+	.A1(n_2699),
+	.A2(n_10746),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_11551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775868 (
+	.A1(n_2694),
+	.A2(n_10745),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_11550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775871 (
+	.A1(n_2695),
+	.A2(n_10744),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(n_11547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g775872 (
+	.A(n_10431),
+	.B(n_29974),
+	.C(n_10792),
+	.Y(n_11546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g775873 (
+	.A1(n_5331),
+	.A2(n_10740),
+	.B1(n_8966),
+	.B2(n_61170),
+	.C1(n_9748),
+	.Y(n_11545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775874 (
+	.A1(n_30415),
+	.A2(n_10035),
+	.B1(n_30151),
+	.B2(n_10548),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
+	.C2(n_4993),
+	.Y(n_11544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775875 (
+	.A1(n_48302),
+	.A2(n_10035),
+	.B1(n_48306),
+	.B2(n_10548),
+	.C1(n_1599),
+	.C2(n_4993),
+	.Y(n_11543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775876 (
+	.A1(n_30395),
+	.A2(n_10035),
+	.B1(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B2(n_10548),
+	.C1(n_1599),
+	.C2(n_4983),
+	.Y(n_11542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775878 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.A2(n_10548),
+	.B1(n_50715),
+	.B2(n_10035),
+	.C1(n_26865),
+	.C2(n_6657),
+	.Y(n_11540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775879 (
+	.A1(n_36105),
+	.A2(n_10548),
+	.B1(n_66776),
+	.B2(n_10035),
+	.C1(n_26866),
+	.C2(n_6657),
+	.Y(n_11539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775880 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.A2(n_10548),
+	.B1(n_55031),
+	.B2(n_10035),
+	.C1(n_26867),
+	.C2(n_6657),
+	.Y(n_11538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775881 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.A2(n_10548),
+	.B1(n_66457),
+	.B2(n_10035),
+	.C1(n_26868),
+	.C2(n_6657),
+	.Y(n_11537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775882 (
+	.A1(n_36786),
+	.A2(n_10548),
+	.B1(n_39713),
+	.B2(n_10035),
+	.C1(n_26869),
+	.C2(n_6657),
+	.Y(n_11536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775883 (
+	.A1(n_31276),
+	.A2(n_10548),
+	.B1(n_54575),
+	.B2(n_10035),
+	.C1(n_26870),
+	.C2(n_6657),
+	.Y(n_11535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775884 (
+	.A1(n_68926),
+	.A2(n_10548),
+	.B1(n_36918),
+	.B2(n_10035),
+	.C1(n_67300),
+	.C2(n_6657),
+	.Y(n_11534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775885 (
+	.A1(n_30144),
+	.A2(n_10548),
+	.B1(n_30399),
+	.B2(n_10035),
+	.C1(n_67298),
+	.C2(n_6657),
+	.Y(n_11533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775886 (
+	.A1(n_31465),
+	.A2(n_10548),
+	.B1(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B2(n_10035),
+	.C1(n_33166),
+	.C2(n_6657),
+	.Y(n_11532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775887 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.A2(n_10548),
+	.B1(n_54453),
+	.B2(n_10035),
+	.C1(n_26874),
+	.C2(n_6657),
+	.Y(n_11531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775889 (
+	.A1(n_33467),
+	.A2(n_10548),
+	.B1(soc_top_u_top_u_core_lsu_wdata[21]),
+	.B2(n_10035),
+	.C1(n_26876),
+	.C2(n_6657),
+	.Y(n_11529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775890 (
+	.A1(n_67778),
+	.A2(n_10548),
+	.B1(n_16415),
+	.B2(n_10035),
+	.C1(n_33174),
+	.C2(n_6657),
+	.Y(n_11528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775891 (
+	.A1(n_68796),
+	.A2(n_10548),
+	.B1(n_74767),
+	.B2(n_10035),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
+	.C2(n_6657),
+	.Y(n_11527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775892 (
+	.A1(n_73723),
+	.A2(n_10548),
+	.B1(n_68801),
+	.B2(n_10035),
+	.C1(n_33158),
+	.C2(n_6657),
+	.Y(n_11526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775893 (
+	.A1(n_54412),
+	.A2(n_10548),
+	.B1(n_71047),
+	.B2(n_10035),
+	.C1(n_33167),
+	.C2(n_6657),
+	.Y(n_11525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775894 (
+	.A1(n_49744),
+	.A2(n_10548),
+	.B1(n_16134),
+	.B2(n_10035),
+	.C1(n_33156),
+	.C2(n_6657),
+	.Y(n_11524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775895 (
+	.A1(n_49646),
+	.A2(n_10548),
+	.B1(n_44200),
+	.B2(n_10035),
+	.C1(n_33157),
+	.C2(n_6657),
+	.Y(n_11523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775896 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.A2(n_10548),
+	.B1(n_51105),
+	.B2(n_10035),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.C2(n_6657),
+	.Y(n_11522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775897 (
+	.A1(n_43984),
+	.A2(n_10548),
+	.B1(n_16220),
+	.B2(n_10035),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
+	.C2(n_6657),
+	.Y(n_11521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g775898 (
+	.A0(n_10830),
+	.A1(soc_top_u_top_u_core_csr_mstatus_mie),
+	.S(n_6389),
+	.Y(n_11520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775899 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[4]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.C1(n_10538),
+	.X(n_11519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775900 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.C1(n_10468),
+	.X(n_11518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775901 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.C1(n_10542),
+	.X(n_11517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775902 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.C1(n_10536),
+	.X(n_11516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775903 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.C1(n_10539),
+	.X(n_11515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775904 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[6]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.C1(n_10533),
+	.X(n_11514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775905 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[7]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.C1(n_10532),
+	.X(n_11513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775906 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[8]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.C1(n_10531),
+	.X(n_11512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775907 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[9]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.C1(n_10530),
+	.X(n_11511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775908 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.C1(n_10544),
+	.X(n_11510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775909 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[10]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.C1(n_10529),
+	.X(n_11509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775910 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[11]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.C1(n_10528),
+	.X(n_11508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775911 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[12]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.C1(n_10527),
+	.X(n_11507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775912 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[13]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.C1(n_10526),
+	.X(n_11506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775913 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[14]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.C1(n_10525),
+	.X(n_11505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775914 (
+	.A1(n_10549),
+	.A2(soc_top_GPIO_cio_gpio_en_q[15]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.C1(n_10524),
+	.X(n_11504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g775915 (
+	.A0(n_10834),
+	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.S(n_6389),
+	.Y(n_11503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775917 (
+	.A1(n_2687),
+	.A2(n_10687),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.Y(n_11501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775918 (
+	.A1(n_2686),
+	.A2(n_10686),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.Y(n_11500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775919 (
+	.A1(n_2692),
+	.A2(n_10689),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_11499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775920 (
+	.A1(n_2685),
+	.A2(n_10685),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.Y(n_11498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775921 (
+	.A1(n_2697),
+	.A2(n_10684),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.Y(n_11497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775922 (
+	.A1(n_2696),
+	.A2(n_10688),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_11496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775923 (
+	.A1(n_2684),
+	.A2(n_10682),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.Y(n_11495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775924 (
+	.A1(n_2683),
+	.A2(n_10681),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.Y(n_11494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775925 (
+	.A1(n_2688),
+	.A2(n_10680),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.Y(n_11493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775926 (
+	.A1(n_2689),
+	.A2(n_10679),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.Y(n_11492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775927 (
+	.A1(n_2690),
+	.A2(n_10678),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.Y(n_11491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775928 (
+	.A1(n_2691),
+	.A2(n_10763),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.Y(n_11490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775929 (
+	.A1(n_10554),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27179),
+	.Y(n_11489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775930 (
+	.A1(n_10550),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27184),
+	.Y(n_11488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775931 (
+	.A1(n_10552),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27188),
+	.Y(n_11487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775932 (
+	.A1(n_5878),
+	.A2(n_27211),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.C1(n_10813),
+	.X(n_11486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775933 (
+	.A1(n_5878),
+	.A2(n_27216),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.C1(n_10812),
+	.X(n_11485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775934 (
+	.A1(n_5878),
+	.A2(n_27220),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.C1(n_10811),
+	.X(n_11484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775935 (
+	.A1(n_10557),
+	.A2(n_4719),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B2(n_4712),
+	.C1(n_5878),
+	.C2(n_27194),
+	.Y(n_11483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775936 (
+	.A1(n_5876),
+	.A2(n_27114),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.C1(n_10816),
+	.X(n_11482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775937 (
+	.A1(n_5876),
+	.A2(n_27119),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.C1(n_10815),
+	.X(n_11481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775938 (
+	.A1(n_5876),
+	.A2(n_27123),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.C1(n_10814),
+	.X(n_11480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775939 (
+	.A1(n_5876),
+	.A2(n_27146),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.C1(n_10820),
+	.X(n_11479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775940 (
+	.A1(n_5876),
+	.A2(n_27151),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.C1(n_10819),
+	.X(n_11478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775941 (
+	.A1(n_5876),
+	.A2(n_27155),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.C1(n_10818),
+	.X(n_11477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775942 (
+	.A1(n_5878),
+	.A2(n_27226),
+	.B1(n_4719),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.C1(n_10821),
+	.X(n_11476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775943 (
+	.A1(n_5876),
+	.A2(n_27129),
+	.B1(n_4714),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.C1(n_10822),
+	.X(n_11475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775944 (
+	.A1(n_5876),
+	.A2(n_27161),
+	.B1(n_4716),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.C1(n_10823),
+	.X(n_11474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775945 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.A2(n_6390),
+	.B1(soc_top_u_top_u_core_exc_cause[3]),
+	.B2(n_2367),
+	.C1(n_8971),
+	.C2(n_10830),
+	.Y(n_11473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775946 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.A2(n_6390),
+	.B1(soc_top_u_top_u_core_exc_cause[1]),
+	.B2(n_2367),
+	.C1(n_8971),
+	.C2(n_10832),
+	.Y(n_11472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775947 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.A2(n_6390),
+	.B1(soc_top_u_top_u_core_exc_cause[0]),
+	.B2(n_2367),
+	.C1(n_8971),
+	.C2(n_10836),
+	.Y(n_11471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g775948 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.A2(n_6390),
+	.B1(soc_top_u_top_u_core_exc_cause[5]),
+	.B2(n_2367),
+	.C1(n_8971),
+	.C2(n_10842),
+	.Y(n_11470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775949 (
+	.A1(n_10826),
+	.A2(n_27254),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(n_11469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g775950 (
+	.A1(n_10559),
+	.A2(n_9880),
+	.B1(n_10029),
+	.B2(n_26384),
+	.C1(n_10727),
+	.Y(n_11468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775951 (
+	.A1(n_10869),
+	.A2(n_4742),
+	.B1(n_4223),
+	.C1(n_4671),
+	.Y(n_11467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775952 (
+	.A1(n_10867),
+	.A2(n_4742),
+	.B1(n_4243),
+	.C1(n_4667),
+	.Y(n_11466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775953 (
+	.A1(n_10839),
+	.A2(n_4742),
+	.B1(n_4251),
+	.C1(n_4676),
+	.Y(n_11465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775954 (
+	.A1(n_10841),
+	.A2(n_4742),
+	.B1(n_4250),
+	.C1(n_4675),
+	.Y(n_11464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775955 (
+	.A1(n_10849),
+	.A2(n_4742),
+	.B1(n_4242),
+	.C1(n_4666),
+	.Y(n_11463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775956 (
+	.A1(n_10851),
+	.A2(n_4742),
+	.B1(n_4241),
+	.C1(n_4665),
+	.Y(n_11462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775957 (
+	.A1(n_10853),
+	.A2(n_4742),
+	.B1(n_4239),
+	.C1(n_4663),
+	.Y(n_11461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775958 (
+	.A1(n_10855),
+	.A2(n_4742),
+	.B1(n_4238),
+	.C1(n_4644),
+	.Y(n_11460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775959 (
+	.A1(n_10856),
+	.A2(n_4742),
+	.B1(n_4235),
+	.C1(n_4660),
+	.Y(n_11459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775960 (
+	.A1(n_10858),
+	.A2(n_4742),
+	.B1(n_4234),
+	.C1(n_4659),
+	.Y(n_11458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775961 (
+	.A1(n_10860),
+	.A2(n_4742),
+	.B1(n_4233),
+	.C1(n_4658),
+	.Y(n_11457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775962 (
+	.A1(n_10862),
+	.A2(n_4742),
+	.B1(n_4232),
+	.C1(n_4657),
+	.Y(n_11456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775963 (
+	.A1(n_10865),
+	.A2(n_4742),
+	.B1(n_4231),
+	.C1(n_4656),
+	.Y(n_11455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775964 (
+	.A1(n_10846),
+	.A2(n_4742),
+	.B1(n_4229),
+	.C1(n_4654),
+	.Y(n_11454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775965 (
+	.A1(n_10844),
+	.A2(n_4742),
+	.B1(n_4228),
+	.C1(n_4653),
+	.Y(n_11453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775966 (
+	.A1(n_10843),
+	.A2(n_4742),
+	.B1(n_4226),
+	.C1(n_4651),
+	.Y(n_11452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775967 (
+	.A1(n_10833),
+	.A2(n_4742),
+	.B1(n_4255),
+	.C1(n_4680),
+	.Y(n_11451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775968 (
+	.A1(n_10835),
+	.A2(n_4742),
+	.B1(n_4249),
+	.C1(n_4674),
+	.Y(n_11450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775969 (
+	.A1(n_10831),
+	.A2(n_4742),
+	.B1(n_4253),
+	.C1(n_4678),
+	.Y(n_11449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775971 (
+	.A1(n_10869),
+	.A2(n_8962),
+	.B1(n_5132),
+	.C1(n_8689),
+	.Y(n_11447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775972 (
+	.A1(n_10867),
+	.A2(n_8962),
+	.B1(n_5127),
+	.C1(n_8684),
+	.Y(n_11446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775973 (
+	.A1(n_10839),
+	.A2(n_8962),
+	.B1(n_5136),
+	.C1(n_8693),
+	.Y(n_11445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775974 (
+	.A1(n_10841),
+	.A2(n_8962),
+	.B1(n_5135),
+	.C1(n_8692),
+	.Y(n_11444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775975 (
+	.A1(n_10849),
+	.A2(n_8962),
+	.B1(n_5126),
+	.C1(n_8683),
+	.Y(n_11443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775976 (
+	.A1(n_10851),
+	.A2(n_8962),
+	.B1(n_5125),
+	.C1(n_8682),
+	.Y(n_11442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775977 (
+	.A1(n_10853),
+	.A2(n_8962),
+	.B1(n_5123),
+	.C1(n_8680),
+	.Y(n_11441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775978 (
+	.A1(n_10855),
+	.A2(n_8962),
+	.B1(n_5122),
+	.C1(n_8679),
+	.Y(n_11440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775979 (
+	.A1(n_10856),
+	.A2(n_8962),
+	.B1(n_5119),
+	.C1(n_8676),
+	.Y(n_11439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775980 (
+	.A1(n_10858),
+	.A2(n_8962),
+	.B1(n_5118),
+	.C1(n_8675),
+	.Y(n_11438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775981 (
+	.A1(n_10860),
+	.A2(n_8962),
+	.B1(n_5117),
+	.C1(n_8674),
+	.Y(n_11437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775982 (
+	.A1(n_10862),
+	.A2(n_8962),
+	.B1(n_5116),
+	.C1(n_8673),
+	.Y(n_11436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775983 (
+	.A1(n_10865),
+	.A2(n_8962),
+	.B1(n_5104),
+	.C1(n_8672),
+	.Y(n_11435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775984 (
+	.A1(n_10846),
+	.A2(n_8962),
+	.B1(n_5114),
+	.C1(n_8670),
+	.Y(n_11434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775985 (
+	.A1(n_10844),
+	.A2(n_8962),
+	.B1(n_5113),
+	.C1(n_8669),
+	.Y(n_11433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g775986 (
+	.A1(n_10843),
+	.A2(n_8962),
+	.B1(n_5111),
+	.C1(n_8667),
+	.Y(n_11432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775989 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.B2(n_10291),
+	.C1(n_10928),
+	.X(n_11429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775993 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.B2(n_10291),
+	.C1(n_63449),
+	.X(n_11425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g775994 (
+	.A1(n_2698),
+	.A2(n_10749),
+	.B1(n_9722),
+	.B2(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(n_11424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775995 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.B2(n_10291),
+	.C1(n_10922),
+	.X(n_11423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g775999 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.B2(n_10291),
+	.C1(n_63447),
+	.X(n_11419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776001 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B2(n_10291),
+	.C1(n_10916),
+	.X(n_11417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776004 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.B2(n_10291),
+	.C1(n_10913),
+	.X(n_11414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776008 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.B2(n_10291),
+	.C1(n_10909),
+	.X(n_11410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776012 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.B2(n_10291),
+	.C1(n_10905),
+	.X(n_11406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776013 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.B2(n_10291),
+	.C1(n_63448),
+	.X(n_11405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776014 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.B2(n_10291),
+	.C1(n_10903),
+	.X(n_11404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776043 (
+	.A1(n_10880),
+	.A2(n_2380),
+	.B1(n_3732),
+	.Y(n_11375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776044 (
+	.A1(n_66087),
+	.A2(n_29252),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[0]),
+	.C1(n_11230),
+	.X(n_11374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776045 (
+	.A1(n_66087),
+	.A2(n_29253),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[1]),
+	.C1(n_11237),
+	.X(n_11373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776046 (
+	.A1(n_10831),
+	.A2(n_8958),
+	.B1(n_9305),
+	.Y(n_11372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776047 (
+	.A1(n_66087),
+	.A2(n_29255),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[5]),
+	.C1(n_11212),
+	.X(n_11371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776048 (
+	.A1(n_66087),
+	.A2(n_29256),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[6]),
+	.C1(n_11213),
+	.X(n_11370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776049 (
+	.A1(n_10835),
+	.A2(n_8958),
+	.B1(n_9306),
+	.Y(n_11369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776050 (
+	.A1(n_66087),
+	.A2(n_29258),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[9]),
+	.C1(n_11166),
+	.X(n_11368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776051 (
+	.A1(n_66087),
+	.A2(n_29262),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[14]),
+	.C1(n_11165),
+	.X(n_11367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776052 (
+	.A1(n_66087),
+	.A2(n_29263),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[15]),
+	.C1(n_11214),
+	.X(n_11366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776053 (
+	.A1(n_66087),
+	.A2(n_29264),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[16]),
+	.C1(n_11215),
+	.X(n_11365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776054 (
+	.A1(n_66087),
+	.A2(n_29266),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[18]),
+	.C1(n_11216),
+	.X(n_11364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776055 (
+	.A1(n_66087),
+	.A2(n_29267),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[19]),
+	.C1(n_11217),
+	.X(n_11363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776056 (
+	.A1(n_66087),
+	.A2(n_29270),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[22]),
+	.C1(n_11218),
+	.X(n_11362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776057 (
+	.A1(n_66087),
+	.A2(n_29271),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[23]),
+	.C1(n_11219),
+	.X(n_11361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776058 (
+	.A1(n_66087),
+	.A2(n_29272),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[24]),
+	.C1(n_11220),
+	.X(n_11360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776059 (
+	.A1(n_66087),
+	.A2(n_29273),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[25]),
+	.C1(n_11221),
+	.X(n_11359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776060 (
+	.A1(n_66087),
+	.A2(n_29274),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[26]),
+	.C1(n_11222),
+	.X(n_11358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776061 (
+	.A1(n_66087),
+	.A2(n_29276),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[28]),
+	.C1(n_11223),
+	.X(n_11357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776062 (
+	.A1(n_66087),
+	.A2(n_29277),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[29]),
+	.C1(n_11224),
+	.X(n_11356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776063 (
+	.A1(n_66087),
+	.A2(n_29279),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[31]),
+	.C1(n_11225),
+	.X(n_11355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776064 (
+	.A1(n_10831),
+	.A2(n_8962),
+	.B1(n_5138),
+	.C1(n_8695),
+	.Y(n_11354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776065 (
+	.A1(n_10835),
+	.A2(n_8962),
+	.B1(n_5134),
+	.C1(n_8691),
+	.Y(n_11353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776066 (
+	.A1(n_10833),
+	.A2(n_8962),
+	.B1(n_5082),
+	.C1(n_8698),
+	.Y(n_11352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776068 (
+	.A1(n_9640),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_10295),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.C1(n_10674),
+	.X(n_11350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776069 (
+	.A1(n_10826),
+	.A2(n_27255),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.Y(n_11349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776070 (
+	.A1(n_5601),
+	.A2(n_10081),
+	.B1(n_5526),
+	.B2(n_61174),
+	.C1(n_61171),
+	.C2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.Y(n_11348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776071 (
+	.A1(n_5994),
+	.A2(n_10837),
+	.B1(n_5992),
+	.B2(n_10839),
+	.Y(n_11347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776072 (
+	.A1(n_5992),
+	.A2(n_10841),
+	.B1(n_5994),
+	.B2(n_10833),
+	.Y(n_11346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776073 (
+	.A1(n_66583),
+	.A2(n_10830),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[3]),
+	.X(n_11345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776074 (
+	.A1(n_66583),
+	.A2(n_10838),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[5]),
+	.X(n_11344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776075 (
+	.A1(n_66583),
+	.A2(n_10834),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[7]),
+	.X(n_11343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776076 (
+	.A1(n_66583),
+	.A2(n_10840),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[6]),
+	.X(n_11342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776077 (
+	.A1(n_66583),
+	.A2(n_10868),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[9]),
+	.X(n_11341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776078 (
+	.A1(n_66583),
+	.A2(n_10866),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.X(n_11340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776079 (
+	.A1(n_66583),
+	.A2(n_10848),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[15]),
+	.X(n_11339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776080 (
+	.A1(n_66583),
+	.A2(n_10850),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[16]),
+	.X(n_11338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776081 (
+	.A1(n_66583),
+	.A2(n_10852),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.X(n_11337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776082 (
+	.A1(n_66583),
+	.A2(n_10854),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[19]),
+	.X(n_11336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776083 (
+	.A1(n_66583),
+	.A2(n_10857),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[22]),
+	.X(n_11335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776084 (
+	.A1(n_66583),
+	.A2(n_10859),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[23]),
+	.X(n_11334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776085 (
+	.A1(n_66583),
+	.A2(n_10861),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[24]),
+	.X(n_11333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776086 (
+	.A1(n_66583),
+	.A2(n_10863),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.X(n_11332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776087 (
+	.A1(n_66583),
+	.A2(n_10864),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[26]),
+	.X(n_11331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776088 (
+	.A1(n_66583),
+	.A2(n_10847),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[28]),
+	.X(n_11330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776089 (
+	.A1(n_66583),
+	.A2(n_10842),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.X(n_11329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776090 (
+	.A1(n_10677),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B1(n_1285),
+	.B2(n_25602),
+	.C1(n_10878),
+	.X(n_11328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776091 (
+	.A1(n_10827),
+	.A2(n_27274),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.Y(n_11327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776092 (
+	.A1(n_10827),
+	.A2(n_27273),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.Y(n_11326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776093 (
+	.A1(n_10827),
+	.A2(n_27275),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.Y(n_11325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776094 (
+	.A1(n_10827),
+	.A2(n_27272),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.Y(n_11324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776095 (
+	.A1(n_10827),
+	.A2(n_27277),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.Y(n_11323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776096 (
+	.A1(n_10827),
+	.A2(n_27276),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.Y(n_11322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776097 (
+	.A1(n_10827),
+	.A2(n_27278),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.Y(n_11321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776098 (
+	.A1(n_10827),
+	.A2(n_27279),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.Y(n_11320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776099 (
+	.A1(n_10827),
+	.A2(n_27280),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.Y(n_11319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776100 (
+	.A1(n_10827),
+	.A2(n_27281),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Y(n_11318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776101 (
+	.A1(n_10827),
+	.A2(n_27282),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.Y(n_11317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776102 (
+	.A1(n_10827),
+	.A2(n_27283),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.Y(n_11316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776103 (
+	.A1(n_10827),
+	.A2(n_27284),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.Y(n_11315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776104 (
+	.A1(n_10827),
+	.A2(n_27285),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.Y(n_11314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776105 (
+	.A1(n_10827),
+	.A2(n_27286),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.Y(n_11313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776106 (
+	.A1(n_10827),
+	.A2(n_27287),
+	.B1(n_10588),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.Y(n_11312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776107 (
+	.A1(n_10826),
+	.A2(n_27240),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.Y(n_11311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776108 (
+	.A1(n_10826),
+	.A2(n_27241),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.Y(n_11310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776109 (
+	.A1(n_10826),
+	.A2(n_27242),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.Y(n_11309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776110 (
+	.A1(n_10826),
+	.A2(n_27243),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Y(n_11308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776111 (
+	.A1(n_10826),
+	.A2(n_27245),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.Y(n_11307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776112 (
+	.A1(n_10826),
+	.A2(n_27244),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(n_11306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776113 (
+	.A1(n_10826),
+	.A2(n_27246),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.Y(n_11305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776114 (
+	.A1(n_10826),
+	.A2(n_27247),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Y(n_11304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776115 (
+	.A1(n_10826),
+	.A2(n_27248),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.Y(n_11303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776116 (
+	.A1(n_10826),
+	.A2(n_27249),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.Y(n_11302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776117 (
+	.A1(n_10826),
+	.A2(n_27250),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.Y(n_11301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776118 (
+	.A1(n_10826),
+	.A2(n_27251),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Y(n_11300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776119 (
+	.A1(n_10826),
+	.A2(n_27252),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(n_11299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776120 (
+	.A1(n_10826),
+	.A2(n_27253),
+	.B1(n_10590),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.Y(n_11298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776121 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.C1(n_11098),
+	.X(n_11297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g776122 (
+	.A1(n_10833),
+	.A2(n_10837),
+	.B1(n_8964),
+	.Y(n_11560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776123 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.C1(n_11097),
+	.X(n_11296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g776124 (
+	.A(\soc_top_xbar_to_timer[a_data] [0]),
+	.B(n_3418),
+	.C(n_10828),
+	.X(n_11559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776125 (
+	.A1_N(n_1263),
+	.A2_N(n_25525),
+	.B1(n_2089),
+	.B2(n_10880),
+	.X(n_11558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776127 (
+	.A(n_11263),
+	.Y(n_11262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g776129 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.B(n_10387),
+	.COUT(n_11258),
+	.SUM(n_11259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_4 g776130 (
+	.A(n_2505),
+	.B(n_10385),
+	.COUT(n_11295),
+	.SUM(n_11257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g776131 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(n_10383),
+	.COUT(n_11255),
+	.SUM(n_11256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776132 (
+	.A(n_10856),
+	.B(n_66584),
+	.Y(n_11254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776133 (
+	.A1(n_10050),
+	.A2(n_5525),
+	.B1(n_10059),
+	.C1(n_10047),
+	.D1(n_10117),
+	.Y(n_11253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g776135 (
+	.A1(n_10447),
+	.A2(n_62694),
+	.B1_N(n_10430),
+	.X(n_11251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g776136 (
+	.A1(n_61177),
+	.A2(n_10599),
+	.B1_N(n_61618),
+	.X(n_11250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776137 (
+	.A1(n_5160),
+	.A2(n_10445),
+	.B1(n_140),
+	.B2(soc_top_u_pwm_pwm_core_period[9]),
+	.C1(soc_top_u_pwm_pwm_core_period[8]),
+	.C2(n_131),
+	.Y(n_11249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776138 (
+	.A(n_10790),
+	.B(n_9277),
+	.C(n_9791),
+	.D(n_6188),
+	.Y(n_11248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776139 (
+	.A(n_10841),
+	.B(n_66584),
+	.Y(n_11247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776140 (
+	.A(n_10839),
+	.B(n_66584),
+	.Y(n_11246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776141 (
+	.A1(n_209),
+	.A2(n_10395),
+	.B1(n_5889),
+	.C1(n_6699),
+	.Y(n_11245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776143 (
+	.A(n_10625),
+	.B(n_10455),
+	.Y(n_11243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776144 (
+	.A(n_10843),
+	.B(n_4717),
+	.Y(n_11242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776145 (
+	.A(n_10844),
+	.B(n_4717),
+	.Y(n_11241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776146 (
+	.A(n_10846),
+	.B(n_4717),
+	.Y(n_11240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776147 (
+	.A(n_10865),
+	.B(n_4717),
+	.Y(n_11239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776148 (
+	.A(n_10831),
+	.B(n_66584),
+	.Y(n_11238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776149 (
+	.A(n_10833),
+	.B(n_8958),
+	.Y(n_11237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776150 (
+	.A(n_10831),
+	.B(n_4717),
+	.Y(n_11236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776151 (
+	.A(n_10831),
+	.B(n_29998),
+	.Y(n_11235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776152 (
+	.A(n_10835),
+	.B(n_66584),
+	.Y(n_11234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776153 (
+	.A(n_10833),
+	.B(n_66584),
+	.Y(n_11233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776154 (
+	.A(n_10835),
+	.B(n_4717),
+	.Y(n_11232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776155 (
+	.A(n_10833),
+	.B(n_4717),
+	.Y(n_11231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776156 (
+	.A(n_10837),
+	.B(n_8958),
+	.Y(n_11230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776157 (
+	.A(n_10835),
+	.B(n_29998),
+	.Y(n_11229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776158 (
+	.A(n_10833),
+	.B(n_29998),
+	.Y(n_11228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776159 (
+	.A(n_10837),
+	.B(n_66584),
+	.Y(n_11227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776160 (
+	.A(n_10837),
+	.B(n_4717),
+	.Y(n_11226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776161 (
+	.A(n_10843),
+	.B(n_8958),
+	.Y(n_11225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776162 (
+	.A(n_10844),
+	.B(n_8958),
+	.Y(n_11224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776163 (
+	.A(n_10846),
+	.B(n_8958),
+	.Y(n_11223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776164 (
+	.A(n_10865),
+	.B(n_8958),
+	.Y(n_11222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776165 (
+	.A(n_10862),
+	.B(n_8958),
+	.Y(n_11221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776166 (
+	.A(n_10860),
+	.B(n_8958),
+	.Y(n_11220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776167 (
+	.A(n_10858),
+	.B(n_8958),
+	.Y(n_11219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776168 (
+	.A(n_10856),
+	.B(n_8958),
+	.Y(n_11218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776169 (
+	.A(n_10855),
+	.B(n_8958),
+	.Y(n_11217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776170 (
+	.A(n_10853),
+	.B(n_8958),
+	.Y(n_11216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776171 (
+	.A(n_10851),
+	.B(n_8958),
+	.Y(n_11215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776172 (
+	.A(n_10849),
+	.B(n_8958),
+	.Y(n_11214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776173 (
+	.A(n_10841),
+	.B(n_8958),
+	.Y(n_11213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776174 (
+	.A(n_10839),
+	.B(n_8958),
+	.Y(n_11212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776175 (
+	.A(n_10837),
+	.B(n_29998),
+	.Y(n_11211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776176 (
+	.A(n_10843),
+	.B(n_66584),
+	.Y(n_11210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776177 (
+	.A(n_10844),
+	.B(n_66584),
+	.Y(n_11209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776178 (
+	.A(n_10846),
+	.B(n_66584),
+	.Y(n_11208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776179 (
+	.A(n_10862),
+	.B(n_4717),
+	.Y(n_11207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776180 (
+	.A(n_10860),
+	.B(n_4717),
+	.Y(n_11206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776181 (
+	.A(n_10858),
+	.B(n_4717),
+	.Y(n_11205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776182 (
+	.A(n_10865),
+	.B(n_66584),
+	.Y(n_11204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776183 (
+	.A(n_10856),
+	.B(n_4717),
+	.Y(n_11203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776184 (
+	.A(n_10855),
+	.B(n_4717),
+	.Y(n_11202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776185 (
+	.A(n_10853),
+	.B(n_4717),
+	.Y(n_11201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776186 (
+	.A(n_10851),
+	.B(n_4717),
+	.Y(n_11200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776187 (
+	.A(n_10849),
+	.B(n_4717),
+	.Y(n_11199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776188 (
+	.A(n_10879),
+	.B(n_10598),
+	.Y(n_11198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776189 (
+	.A(n_10860),
+	.B(n_66584),
+	.Y(n_11197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776190 (
+	.A(n_10862),
+	.B(n_66584),
+	.Y(n_11196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776191 (
+	.A(n_10858),
+	.B(n_66584),
+	.Y(n_11195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776192 (
+	.A1(n_10031),
+	.A2(n_10543),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.Y(n_11194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776193 (
+	.A(n_10841),
+	.B(n_4717),
+	.Y(n_11193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776194 (
+	.A(n_10855),
+	.B(n_66584),
+	.Y(n_11192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776195 (
+	.A(n_10839),
+	.B(n_4717),
+	.Y(n_11191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776196 (
+	.A(n_2472),
+	.B(n_10844),
+	.Y(n_11190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776197 (
+	.A(n_10824),
+	.B(n_29985),
+	.Y(n_11189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776199 (
+	.A(n_10843),
+	.B(n_29998),
+	.Y(n_11187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776200 (
+	.A(n_10844),
+	.B(n_29998),
+	.Y(n_11186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776201 (
+	.A(n_10846),
+	.B(n_29998),
+	.Y(n_11185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776202 (
+	.A(n_10865),
+	.B(n_29998),
+	.Y(n_11184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776203 (
+	.A(n_10862),
+	.B(n_29998),
+	.Y(n_11183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776204 (
+	.A(n_10860),
+	.B(n_29998),
+	.Y(n_11182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776205 (
+	.A(n_10858),
+	.B(n_29998),
+	.Y(n_11181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776206 (
+	.A(n_10856),
+	.B(n_29998),
+	.Y(n_11180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776207 (
+	.A(n_10855),
+	.B(n_29998),
+	.Y(n_11179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776208 (
+	.A(n_10853),
+	.B(n_66584),
+	.Y(n_11178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776209 (
+	.A(n_10853),
+	.B(n_29998),
+	.Y(n_11177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776210 (
+	.A(n_10851),
+	.B(n_29998),
+	.Y(n_11176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776211 (
+	.A(n_10851),
+	.B(n_66584),
+	.Y(n_11175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776212 (
+	.A(n_10849),
+	.B(n_29998),
+	.Y(n_11174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776213 (
+	.A(n_10849),
+	.B(n_66584),
+	.Y(n_11173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776214 (
+	.A(n_10869),
+	.B(n_29998),
+	.Y(n_11172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776215 (
+	.A(n_10867),
+	.B(n_29998),
+	.Y(n_11171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776216 (
+	.A(n_10869),
+	.B(n_4717),
+	.Y(n_11170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776217 (
+	.A(n_10867),
+	.B(n_4717),
+	.Y(n_11169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776218 (
+	.A(n_10869),
+	.B(n_66584),
+	.Y(n_11168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776219 (
+	.A(n_10867),
+	.B(n_66584),
+	.Y(n_11167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776220 (
+	.A(n_10869),
+	.B(n_8958),
+	.Y(n_11166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776221 (
+	.A(n_10867),
+	.B(n_8958),
+	.Y(n_11165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776222 (
+	.A(n_10839),
+	.B(n_29998),
+	.Y(n_11164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776223 (
+	.A(n_10841),
+	.B(n_29998),
+	.Y(n_11163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g776224 (
+	.A1(n_30),
+	.A2(n_9407),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B2(n_9379),
+	.C1(n_10870),
+	.Y(n_11162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776225 (
+	.A(n_10829),
+	.B(n_3372),
+	.Y(n_11294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776226 (
+	.A(n_10829),
+	.B(n_2814),
+	.Y(n_11293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776227 (
+	.A(n_10829),
+	.B(n_3997),
+	.Y(n_11292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776228 (
+	.A(n_10829),
+	.B(n_3979),
+	.Y(n_11291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776229 (
+	.A(n_10829),
+	.B(n_3993),
+	.Y(n_11290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776230 (
+	.A(n_10829),
+	.B(n_3989),
+	.Y(n_11289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776231 (
+	.A(n_10829),
+	.B(n_3985),
+	.Y(n_11288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776232 (
+	.A(n_10829),
+	.B(n_3364),
+	.Y(n_11287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776233 (
+	.A(n_10829),
+	.B(n_3365),
+	.Y(n_11286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g776234 (
+	.A(n_3379),
+	.B(n_10828),
+	.X(n_11285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776235 (
+	.A(n_10829),
+	.B(n_3374),
+	.Y(n_11284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g776236 (
+	.A(n_3377),
+	.B(n_10828),
+	.X(n_11283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g776237 (
+	.A(n_2440),
+	.B(n_10828),
+	.X(n_11282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776238 (
+	.A(n_10875),
+	.B(n_44200),
+	.Y(n_11281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776239 (
+	.A(n_44200),
+	.B(n_10875),
+	.Y(n_11280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776240 (
+	.A(n_10873),
+	.B(n_49646),
+	.Y(n_11279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776241 (
+	.A(n_49646),
+	.B(n_10873),
+	.Y(n_11278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g776242 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B_N(n_10878),
+	.Y(n_11277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776246 (
+	.A(n_10829),
+	.B(n_3981),
+	.Y(n_11276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776247 (
+	.A(n_10829),
+	.B(n_3983),
+	.Y(n_11275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776248 (
+	.A(n_10829),
+	.B(n_3995),
+	.Y(n_11274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776249 (
+	.A(n_10829),
+	.B(n_3987),
+	.Y(n_11273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311ai_1 g776250 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.A2(n_1578),
+	.A3(n_9379),
+	.B1(n_10870),
+	.C1(n_10345),
+	.Y(n_11161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776251 (
+	.A(n_10829),
+	.B(n_3381),
+	.Y(n_11272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776255 (
+	.A(n_10829),
+	.B(n_4026),
+	.Y(n_11271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776256 (
+	.A(n_10829),
+	.B(n_3416),
+	.Y(n_11270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776288 (
+	.A(n_10829),
+	.B(n_3375),
+	.Y(n_11269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776304 (
+	.A(n_25293),
+	.B(n_10828),
+	.Y(n_11268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g776305 (
+	.A(n_25224),
+	.B(n_10828),
+	.Y(n_11267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g776306 (
+	.A(n_25270),
+	.B_N(n_10829),
+	.Y(n_11266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776307 (
+	.A(n_3438),
+	.B(n_10828),
+	.Y(n_11264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g776308 (
+	.A(n_10829),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_11263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g776309 (
+	.A(n_1),
+	.B(n_10828),
+	.X(n_11261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776310 (
+	.A(n_11085),
+	.Y(n_11160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776311 (
+	.A(n_11152),
+	.Y(n_11151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776312 (
+	.A(n_11150),
+	.Y(n_11149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776313 (
+	.A(n_11148),
+	.Y(n_11147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776314 (
+	.A(n_11146),
+	.Y(n_11145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776315 (
+	.A(n_11144),
+	.Y(n_11143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776316 (
+	.A(n_11142),
+	.Y(n_11141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776317 (
+	.A(n_11140),
+	.Y(n_11139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g776318 (
+	.A1(n_10301),
+	.A2(n_10216),
+	.B1(n_73650),
+	.B2(n_10450),
+	.X(n_11138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g776319 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_10576),
+	.Y(n_11137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776320 (
+	.A1(n_3351),
+	.A2(n_4099),
+	.B1(n_10294),
+	.C1(n_5031),
+	.D1(n_9629),
+	.Y(n_11136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776321 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][6][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.C1(n_10607),
+	.X(n_11135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776322 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][7][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_7_qs),
+	.C1(n_10610),
+	.X(n_11134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776323 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][8][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_8_qs),
+	.C1(n_10611),
+	.X(n_11133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776324 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][9][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.C1(n_10612),
+	.X(n_11132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776325 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][10][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_10_qs),
+	.C1(n_10613),
+	.X(n_11131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776326 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][11][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_11_qs),
+	.C1(n_10614),
+	.X(n_11130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776327 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][12][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_12_qs),
+	.C1(n_10615),
+	.X(n_11129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776328 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][13][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.C1(n_10616),
+	.X(n_11128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776329 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][14][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.C1(n_10617),
+	.X(n_11127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776330 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][15][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.C1(n_10618),
+	.X(n_11126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776331 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][16][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_16_qs),
+	.C1(n_10619),
+	.X(n_11125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776332 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][17][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_17_qs),
+	.C1(n_10620),
+	.X(n_11124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776333 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][18][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_18_qs),
+	.C1(n_10621),
+	.X(n_11123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776334 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][19][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_19_qs),
+	.C1(n_10623),
+	.X(n_11122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776335 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][20][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.C1(n_10624),
+	.X(n_11121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776336 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][21][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.C1(n_10673),
+	.X(n_11120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776337 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][22][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_22_qs),
+	.C1(n_10726),
+	.X(n_11119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776338 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][23][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.C1(n_10742),
+	.X(n_11118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776339 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][24][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_24_qs),
+	.C1(n_10777),
+	.X(n_11117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776340 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][25][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_25_qs),
+	.C1(n_10781),
+	.X(n_11116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776341 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][26][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_26_qs),
+	.C1(n_10783),
+	.X(n_11115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776342 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][27][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_27_qs),
+	.C1(n_10786),
+	.X(n_11114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776343 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][30][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.C1(n_10787),
+	.X(n_11113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776344 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][28][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_28_qs),
+	.C1(n_10784),
+	.X(n_11112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776345 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][29][q] ),
+	.B1(n_30002),
+	.B2(soc_top_intr_controller_u_reg_ip_0_p_29_qs),
+	.C1(n_10785),
+	.X(n_11111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776346 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][31][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.C1(n_10788),
+	.X(n_11110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g776347 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_29996),
+	.X(n_11109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g776348 (
+	.A(n_131),
+	.B(n_10467),
+	.C(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.X(n_11108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776349 (
+	.A1(n_10063),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.B1(n_4992),
+	.B2(n_25641),
+	.C1(n_10627),
+	.X(n_11107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g776350 (
+	.A0(n_10412),
+	.A1(n_10442),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.Y(n_11106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g776351 (
+	.A0(n_9773),
+	.A1(n_10428),
+	.S(n_27236),
+	.Y(n_11105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776352 (
+	.A1(n_10562),
+	.A2(n_5613),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.X(n_11104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776353 (
+	.A1(n_10563),
+	.A2(n_5613),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_11103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776354 (
+	.A1(n_9643),
+	.A2(n_10560),
+	.B1(n_10236),
+	.Y(n_11102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776355 (
+	.A1(n_4741),
+	.A2(\soc_top_intr_controller_reg2hw[ie0][5][q] ),
+	.B1(n_3922),
+	.B2(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.C1(n_10776),
+	.X(n_11101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g776357 (
+	.A1(n_3823),
+	.A2(n_5885),
+	.A3(n_10043),
+	.B1(n_10433),
+	.C1(n_10628),
+	.X(n_11099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776358 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.A2_N(n_9641),
+	.B1(n_3822),
+	.B2(n_10558),
+	.Y(n_11098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776359 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.A2_N(n_9641),
+	.B1(n_4499),
+	.B2(n_10558),
+	.Y(n_11097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776361 (
+	.A1(n_8993),
+	.A2(n_10398),
+	.B1(n_10522),
+	.C1(n_10465),
+	.D1(n_10584),
+	.Y(n_11095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g776362 (
+	.A1(n_10398),
+	.A2(n_9692),
+	.B1(n_3823),
+	.B2(n_10296),
+	.C1(n_10585),
+	.X(n_11094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776363 (
+	.A(n_10672),
+	.B(n_10454),
+	.Y(n_11093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776364 (
+	.A(n_10794),
+	.B(n_10793),
+	.Y(n_11092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776365 (
+	.A1(n_10407),
+	.A2(n_9762),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[2]),
+	.C1(n_61181),
+	.Y(n_11091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776366 (
+	.A1(n_5329),
+	.A2(n_10399),
+	.B1(n_9004),
+	.C1(n_10406),
+	.D1(n_10059),
+	.Y(n_11090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776367 (
+	.A1(n_9761),
+	.A2(n_10057),
+	.B1(n_2805),
+	.B2(n_10404),
+	.C1(n_10313),
+	.C2(n_5059),
+	.Y(n_11089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g776368 (
+	.A1(n_5366),
+	.A2(n_9748),
+	.B1(n_61170),
+	.B2(n_10462),
+	.C1(n_10578),
+	.X(n_11088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776369 (
+	.A1(n_10319),
+	.A2(n_2381),
+	.B1(n_3125),
+	.B2(n_10029),
+	.C1(n_9380),
+	.C2(n_25642),
+	.Y(n_11087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776370 (
+	.A1(n_10575),
+	.A2(n_2380),
+	.B1(n_3730),
+	.Y(n_11086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776371 (
+	.A1(n_36105),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10568),
+	.Y(n_11085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776372 (
+	.A1(n_10566),
+	.A2(n_2381),
+	.B1(n_63618),
+	.B2(n_4485),
+	.C1(n_3924),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [20]),
+	.Y(n_11084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g776373 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.D(n_10142),
+	.X(n_11083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g776374 (
+	.A(n_27418),
+	.B(n_27430),
+	.C(n_27429),
+	.D(n_10140),
+	.X(n_11082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776375 (
+	.A1(n_3812),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B1(n_25271),
+	.B2(\soc_top_uart_to_xbar[d_data] [7]),
+	.C1(n_10805),
+	.Y(n_11081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776376 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [16]),
+	.C1(n_10373),
+	.X(n_11080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776377 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [17]),
+	.C1(n_10337),
+	.X(n_11079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776378 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [18]),
+	.C1(n_10336),
+	.X(n_11078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776379 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [19]),
+	.C1(n_10378),
+	.X(n_11077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776380 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [20]),
+	.C1(n_10290),
+	.X(n_11076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776381 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [21]),
+	.C1(n_10283),
+	.X(n_11075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776382 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [22]),
+	.C1(n_10279),
+	.X(n_11074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776383 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [23]),
+	.C1(n_10278),
+	.X(n_11073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776384 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [24]),
+	.C1(n_10277),
+	.X(n_11072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776385 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [26]),
+	.C1(n_10275),
+	.X(n_11071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776386 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [27]),
+	.C1(n_10274),
+	.X(n_11070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776387 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [25]),
+	.C1(n_10276),
+	.X(n_11069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776388 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [28]),
+	.C1(n_10273),
+	.X(n_11068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776389 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [29]),
+	.C1(n_10272),
+	.X(n_11067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776390 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [30]),
+	.C1(n_10271),
+	.X(n_11066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776391 (
+	.A1(n_10300),
+	.A2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.B1(n_9719),
+	.B2(\soc_top_xbar_to_timer[a_data] [31]),
+	.C1(n_10270),
+	.X(n_11065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776392 (
+	.A1(n_10299),
+	.A2(io_out[8]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.C1(n_10338),
+	.X(n_11064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776393 (
+	.A1(n_10299),
+	.A2(io_out[9]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.C1(n_10339),
+	.X(n_11063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776394 (
+	.A1(n_10299),
+	.A2(io_out[10]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.C1(n_10335),
+	.X(n_11062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776395 (
+	.A1(n_10299),
+	.A2(io_out[11]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.C1(n_10350),
+	.X(n_11061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776396 (
+	.A1(n_10299),
+	.A2(io_out[12]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.C1(n_10374),
+	.X(n_11060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776397 (
+	.A1(n_10299),
+	.A2(io_out[13]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.C1(n_10375),
+	.X(n_11059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776398 (
+	.A1(n_10299),
+	.A2(io_out[14]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.C1(n_10376),
+	.X(n_11058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776399 (
+	.A1(n_10299),
+	.A2(io_out[15]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.C1(n_10377),
+	.X(n_11057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776400 (
+	.A1(n_10299),
+	.A2(io_out[16]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.C1(n_10380),
+	.X(n_11056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776401 (
+	.A1(n_10299),
+	.A2(io_out[17]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.C1(n_10379),
+	.X(n_11055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776402 (
+	.A1(n_10299),
+	.A2(io_out[19]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.C1(n_10382),
+	.X(n_11054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776403 (
+	.A1(n_10299),
+	.A2(io_out[18]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.C1(n_10381),
+	.X(n_11053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776404 (
+	.A1(n_10299),
+	.A2(io_out[20]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.C1(n_10287),
+	.X(n_11052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776405 (
+	.A1(n_10299),
+	.A2(io_out[21]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.C1(n_10286),
+	.X(n_11051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776406 (
+	.A1(n_10299),
+	.A2(io_out[22]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.C1(n_10285),
+	.X(n_11050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776407 (
+	.A1(n_10299),
+	.A2(io_out[23]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.C1(n_10284),
+	.X(n_11049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776408 (
+	.A1(n_10563),
+	.A2(n_5615),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_11048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776409 (
+	.A1(n_10563),
+	.A2(n_6391),
+	.B1(n_9641),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_11047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g776410 (
+	.A1(n_10444),
+	.A2(n_4695),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.B2(n_773),
+	.Y(n_11046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776411 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [2]),
+	.C1(n_10671),
+	.X(n_11045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776412 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [3]),
+	.C1(n_10670),
+	.X(n_11044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776413 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [4]),
+	.C1(n_10657),
+	.X(n_11043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776414 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.B1(n_9640),
+	.B2(n_33203),
+	.C1(n_10656),
+	.X(n_11042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776415 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [6]),
+	.C1(n_10669),
+	.X(n_11041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776416 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [7]),
+	.C1(n_10655),
+	.X(n_11040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776417 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.B1(n_9640),
+	.B2(\soc_top_xbar_to_timer[a_address] [8]),
+	.C1(n_10654),
+	.X(n_11039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776418 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.B1(n_9640),
+	.B2(n_33202),
+	.C1(n_10653),
+	.X(n_11038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776419 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [10]),
+	.C1(n_10652),
+	.X(n_11037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776420 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.C1(n_10651),
+	.X(n_11036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776421 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.C1(n_10650),
+	.X(n_11035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776422 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [13]),
+	.C1(n_10649),
+	.X(n_11034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776423 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.B1(n_9640),
+	.B2(n_33201),
+	.C1(n_10648),
+	.X(n_11033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776424 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.B1(n_9640),
+	.B2(n_61692),
+	.C1(n_10647),
+	.X(n_11032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776425 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [16]),
+	.C1(n_10646),
+	.X(n_11031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776426 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.B1(n_9640),
+	.B2(n_67809),
+	.C1(n_10645),
+	.X(n_11030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776427 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [18]),
+	.C1(n_10644),
+	.X(n_11029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776428 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.B1(n_9640),
+	.B2(n_63618),
+	.C1(n_10643),
+	.X(n_11028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776429 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.C1(n_10642),
+	.X(n_11027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776430 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.C1(n_10641),
+	.X(n_11026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776431 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [22]),
+	.C1(n_10640),
+	.X(n_11025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776432 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C1(n_10639),
+	.X(n_11024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776433 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [24]),
+	.C1(n_10638),
+	.X(n_11023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776434 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C1(n_10637),
+	.X(n_11022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776435 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.C1(n_10636),
+	.X(n_11021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776436 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [27]),
+	.C1(n_10635),
+	.X(n_11020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776437 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.C1(n_10634),
+	.X(n_11019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776438 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [29]),
+	.C1(n_10633),
+	.X(n_11018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776440 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B1(n_9640),
+	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
+	.C1(n_10631),
+	.X(n_11016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g776441 (
+	.A(n_10294),
+	.B(n_10573),
+	.C(n_1424),
+	.Y(n_11015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g776442 (
+	.A1(n_61485),
+	.A2(n_10598),
+	.B1(n_5525),
+	.X(n_11014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776443 (
+	.A(n_5626),
+	.B(n_10461),
+	.C(n_4690),
+	.D(n_6249),
+	.Y(n_11013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776444 (
+	.A(n_5654),
+	.B(n_10460),
+	.C(n_4630),
+	.D(n_6201),
+	.Y(n_11012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776445 (
+	.A(n_5644),
+	.B(n_10459),
+	.C(n_4639),
+	.D(n_6203),
+	.Y(n_11011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776446 (
+	.A1(n_10436),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.B1(n_1285),
+	.B2(n_25601),
+	.C1(n_10541),
+	.X(n_11010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776447 (
+	.A1(n_10556),
+	.A2(n_4742),
+	.B1(n_4230),
+	.C1(n_4655),
+	.Y(n_11009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776448 (
+	.A1(n_10555),
+	.A2(n_4742),
+	.B1(n_4245),
+	.C1(n_4669),
+	.Y(n_11008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776449 (
+	.A1(n_10551),
+	.A2(n_4742),
+	.B1(n_4240),
+	.C1(n_4664),
+	.Y(n_11007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776450 (
+	.A1(n_10553),
+	.A2(n_4742),
+	.B1(n_4236),
+	.C1(n_4661),
+	.Y(n_11006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776451 (
+	.A1(n_10334),
+	.A2(n_2381),
+	.B1(\soc_top_lsu_to_xbar[a_address] [25]),
+	.B2(n_4485),
+	.C1(n_8961),
+	.C2(n_3134),
+	.Y(n_11005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776452 (
+	.A1(n_10556),
+	.A2(n_8962),
+	.B1(n_5115),
+	.C1(n_8671),
+	.Y(n_11004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g776453 (
+	.A1(n_10297),
+	.A2(n_5007),
+	.B1(n_10806),
+	.Y(n_11003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776454 (
+	.A1(n_10574),
+	.A2(n_2380),
+	.B1(n_3505),
+	.Y(n_11002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776455 (
+	.A1(n_10565),
+	.A2(n_2380),
+	.B1(n_3729),
+	.Y(n_11001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776456 (
+	.A1(n_10571),
+	.A2(n_2380),
+	.B1(n_3537),
+	.Y(n_11000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g776457 (
+	.A1(n_10555),
+	.A2(n_8958),
+	.B1(n_9307),
+	.Y(n_10999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776458 (
+	.A1(n_66087),
+	.A2(n_29265),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[17]),
+	.C1(n_10810),
+	.X(n_10998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776459 (
+	.A1(n_66087),
+	.A2(n_29269),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[21]),
+	.C1(n_10809),
+	.X(n_10997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776460 (
+	.A1(n_66087),
+	.A2(n_29275),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[27]),
+	.C1(n_10817),
+	.X(n_10996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776461 (
+	.A1(n_10555),
+	.A2(n_8962),
+	.B1(n_5129),
+	.C1(n_8686),
+	.Y(n_10995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776462 (
+	.A1(n_10551),
+	.A2(n_8962),
+	.B1(n_5124),
+	.C1(n_8681),
+	.Y(n_10994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776463 (
+	.A1(n_10553),
+	.A2(n_8962),
+	.B1(n_5120),
+	.C1(n_8677),
+	.Y(n_10993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776464 (
+	.A1(n_66583),
+	.A2(n_10557),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.X(n_10992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776465 (
+	.A1(n_66583),
+	.A2(n_10552),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.X(n_10991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776466 (
+	.A1(n_10456),
+	.A2(n_9722),
+	.B1(\soc_top_xbar_to_timer[a_data] [18]),
+	.B2(n_1401),
+	.Y(n_10990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776467 (
+	.A1(n_66583),
+	.A2(n_10550),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[17]),
+	.X(n_10989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776468 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B1(n_63049),
+	.B2(n_10504),
+	.C1(n_61514),
+	.Y(n_10988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776469 (
+	.A1(n_2813),
+	.A2(n_10451),
+	.B1(n_1929),
+	.B2(n_9770),
+	.Y(n_10987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776470 (
+	.A1(n_5608),
+	.A2(n_62209),
+	.B1(n_9918),
+	.Y(n_10986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g776471 (
+	.A1(n_61524),
+	.A2(n_6689),
+	.A3(n_10121),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[4]),
+	.B2(n_61171),
+	.Y(n_10985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g776472 (
+	.A1(n_66583),
+	.A2(n_10554),
+	.B1(n_6385),
+	.B2(soc_top_u_top_u_core_csr_mtvec[12]),
+	.X(n_10984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776473 (
+	.A1_N(n_51477),
+	.A2_N(n_10548),
+	.B1(n_4008),
+	.B2(n_2442),
+	.Y(n_10983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776474 (
+	.A1_N(n_30147),
+	.A2_N(n_10548),
+	.B1(n_4982),
+	.B2(n_16120),
+	.Y(n_10982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g776475 (
+	.A1(n_10548),
+	.A2(n_36679),
+	.B1(n_5641),
+	.X(n_10981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776476 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.C1(n_10789),
+	.X(n_10980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g776477 (
+	.A1(n_10198),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.B1(n_10871),
+	.C1(n_9649),
+	.X(n_10979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g776478 (
+	.A1(n_10304),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B1(n_10871),
+	.C1(n_10087),
+	.X(n_10978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g776480 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.A2(n_9407),
+	.B1(n_2030),
+	.B2(n_9379),
+	.C1(n_10870),
+	.Y(n_10977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776481 (
+	.A1(n_10054),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.B1(n_9378),
+	.B2(n_3017),
+	.C1(n_10871),
+	.X(n_10976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g776482 (
+	.A1(n_9433),
+	.A2(n_10296),
+	.B1(n_9419),
+	.B2(n_10398),
+	.C1(n_10184),
+	.X(n_11158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g776483 (
+	.A1(n_4006),
+	.A2(n_10558),
+	.B1(n_8984),
+	.B2(n_10296),
+	.C1(n_10129),
+	.Y(n_10975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776484 (
+	.A1(n_10600),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_11157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g776485 (
+	.A(n_10425),
+	.B(n_5614),
+	.C(n_1298),
+	.Y(n_11156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g776487 (
+	.A(n_10829),
+	.B(n_1592),
+	.C(n_1294),
+	.Y(n_11154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776488 (
+	.A1(n_3312),
+	.A2(n_10564),
+	.B1(n_10560),
+	.Y(n_11153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776489 (
+	.A1_N(n_1263),
+	.A2_N(n_25531),
+	.B1(n_2126),
+	.B2(n_10575),
+	.X(n_11152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776490 (
+	.A1_N(n_1263),
+	.A2_N(n_25533),
+	.B1(n_2124),
+	.B2(n_10565),
+	.X(n_11150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g776491 (
+	.A1_N(n_2050),
+	.A2_N(n_10570),
+	.B1(n_1263),
+	.B2(n_25553),
+	.X(n_11148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776492 (
+	.A1_N(n_1263),
+	.A2_N(n_25536),
+	.B1(n_2117),
+	.B2(n_10571),
+	.X(n_11146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776493 (
+	.A1_N(n_1263),
+	.A2_N(n_25543),
+	.B1(n_2100),
+	.B2(n_10567),
+	.X(n_11144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776494 (
+	.A1_N(n_1263),
+	.A2_N(n_25527),
+	.B1(n_2145),
+	.B2(n_10574),
+	.X(n_11142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776495 (
+	.A1_N(n_2058),
+	.A2_N(n_10568),
+	.B1(n_1262),
+	.B2(n_30195),
+	.X(n_11140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776496 (
+	.A(n_67223),
+	.B(n_61328),
+	.Y(n_10974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776501 (
+	.A(n_49549),
+	.B(n_62746),
+	.Y(n_10969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776502 (
+	.A(n_49546),
+	.B(n_62746),
+	.Y(n_10968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776503 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
+	.B(n_62746),
+	.Y(n_10967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776504 (
+	.A(n_26407),
+	.B(n_62746),
+	.Y(n_10966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776505 (
+	.A(n_26406),
+	.B(n_62746),
+	.Y(n_10965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776506 (
+	.A(n_35398),
+	.B(n_62746),
+	.Y(n_10964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776507 (
+	.A(n_26404),
+	.B(n_62746),
+	.Y(n_10963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776508 (
+	.A(n_35396),
+	.B(n_62746),
+	.Y(n_10962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776509 (
+	.A(n_26402),
+	.B(n_62746),
+	.Y(n_10961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776510 (
+	.A(n_33147),
+	.B(n_62746),
+	.Y(n_10960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776511 (
+	.A(n_26400),
+	.B(n_62746),
+	.Y(n_10959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776512 (
+	.A(n_73633),
+	.B(n_62746),
+	.Y(n_10958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776513 (
+	.A(n_26398),
+	.B(n_62746),
+	.Y(n_10957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776514 (
+	.A(n_26397),
+	.B(n_62746),
+	.Y(n_10956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776515 (
+	.A(n_62419),
+	.B(n_62746),
+	.Y(n_10955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776516 (
+	.A(n_70310),
+	.B(n_62746),
+	.Y(n_10954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776517 (
+	.A(n_26394),
+	.B(n_62746),
+	.Y(n_10953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776518 (
+	.A(n_61795),
+	.B(n_62746),
+	.Y(n_10952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776519 (
+	.A(n_26392),
+	.B(n_62746),
+	.Y(n_10951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776520 (
+	.A(n_26391),
+	.B(n_62746),
+	.Y(n_10950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776521 (
+	.A(n_26390),
+	.B(n_62746),
+	.Y(n_10949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776525 (
+	.A(n_26386),
+	.B(n_62746),
+	.Y(n_10945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776526 (
+	.A(n_26385),
+	.B(n_62746),
+	.Y(n_10944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776527 (
+	.A(n_67235),
+	.B(n_61328),
+	.Y(n_10943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776528 (
+	.A(n_67236),
+	.B(n_61328),
+	.Y(n_10942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776529 (
+	.A(n_67237),
+	.B(n_61328),
+	.Y(n_10941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776530 (
+	.A(n_67238),
+	.B(n_61328),
+	.Y(n_10940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776531 (
+	.A(n_67221),
+	.B(n_61328),
+	.Y(n_10939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776532 (
+	.A(n_67234),
+	.B(n_61328),
+	.Y(n_10938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776533 (
+	.A(n_67240),
+	.B(n_61328),
+	.Y(n_10937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776534 (
+	.A(n_67225),
+	.B(n_61328),
+	.Y(n_10936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776535 (
+	.A(n_67243),
+	.B(n_61328),
+	.Y(n_10935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776536 (
+	.A(n_67241),
+	.B(n_61328),
+	.Y(n_10934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776537 (
+	.A(n_67244),
+	.B(n_61328),
+	.Y(n_10933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776538 (
+	.A(n_67224),
+	.B(n_61328),
+	.Y(n_10932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776539 (
+	.A(n_67242),
+	.B(n_61328),
+	.Y(n_10931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776542 (
+	.A(n_67227),
+	.B(n_10594),
+	.Y(n_10928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776548 (
+	.A(n_67228),
+	.B(n_10594),
+	.Y(n_10922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776554 (
+	.A(n_67224),
+	.B(n_10594),
+	.Y(n_10916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776557 (
+	.A(n_67225),
+	.B(n_10594),
+	.Y(n_10913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776561 (
+	.A(n_67240),
+	.B(n_10594),
+	.Y(n_10909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776565 (
+	.A(n_67237),
+	.B(n_10594),
+	.Y(n_10905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776567 (
+	.A(n_67235),
+	.B(n_10594),
+	.Y(n_10903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776568 (
+	.A(n_67222),
+	.B(n_61328),
+	.Y(n_10902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776569 (
+	.A(n_67232),
+	.B(n_61328),
+	.Y(n_10901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776570 (
+	.A(n_67227),
+	.B(n_61328),
+	.Y(n_10900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776571 (
+	.A(n_67226),
+	.B(n_61328),
+	.Y(n_10899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776572 (
+	.A(n_67231),
+	.B(n_61328),
+	.Y(n_10898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776573 (
+	.A(n_67230),
+	.B(n_61328),
+	.Y(n_10897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776574 (
+	.A(n_67229),
+	.B(n_61328),
+	.Y(n_10896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776575 (
+	.A(n_67233),
+	.B(n_61328),
+	.Y(n_10895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776576 (
+	.A(n_67228),
+	.B(n_61328),
+	.Y(n_10894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776577 (
+	.A(n_67239),
+	.B(n_61328),
+	.Y(n_10893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776578 (
+	.A(n_67248),
+	.B(n_61328),
+	.Y(n_10892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776579 (
+	.A(n_67247),
+	.B(n_61328),
+	.Y(n_10891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776580 (
+	.A(n_67246),
+	.B(n_61328),
+	.Y(n_10890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776581 (
+	.A(n_67245),
+	.B(n_61328),
+	.Y(n_10889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776753 (
+	.A(n_10739),
+	.Y(n_10888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776754 (
+	.A(n_10738),
+	.Y(n_10887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776755 (
+	.A(n_73093),
+	.Y(n_10886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776756 (
+	.A(n_10736),
+	.Y(n_10885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776757 (
+	.A(n_10735),
+	.Y(n_10884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776758 (
+	.A(n_10734),
+	.Y(n_10883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776759 (
+	.A(n_10691),
+	.Y(n_10882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776760 (
+	.A(n_10875),
+	.Y(n_10876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g776761 (
+	.A(n_10873),
+	.Y(n_10872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g776762 (
+	.A(n_10871),
+	.Y(n_10870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776763 (
+	.A(n_10869),
+	.Y(n_10868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776764 (
+	.A(n_10867),
+	.Y(n_10866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776765 (
+	.A(n_10865),
+	.Y(n_10864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776766 (
+	.A(n_10863),
+	.Y(n_10862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776767 (
+	.A(n_10861),
+	.Y(n_10860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776768 (
+	.A(n_10859),
+	.Y(n_10858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776769 (
+	.A(n_10857),
+	.Y(n_10856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776770 (
+	.A(n_10855),
+	.Y(n_10854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776771 (
+	.A(n_10853),
+	.Y(n_10852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776772 (
+	.A(n_10851),
+	.Y(n_10850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776773 (
+	.A(n_10849),
+	.Y(n_10848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776774 (
+	.A(n_10847),
+	.Y(n_10846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776775 (
+	.A(n_10845),
+	.Y(n_10844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776776 (
+	.A(n_10843),
+	.Y(n_10842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776777 (
+	.A(n_10841),
+	.Y(n_10840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776778 (
+	.A(n_10839),
+	.Y(n_10838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776779 (
+	.A(n_10837),
+	.Y(n_10836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776780 (
+	.A(n_10835),
+	.Y(n_10834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776781 (
+	.A(n_10833),
+	.Y(n_10832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776782 (
+	.A(n_10831),
+	.Y(n_10830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g776783 (
+	.A(n_10829),
+	.Y(n_10828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776784 (
+	.A1(n_10041),
+	.A2(io_out[32]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [24]),
+	.C1(n_9964),
+	.X(n_10825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g776785 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.B(n_10393),
+	.Y(n_10824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776786 (
+	.A(n_10556),
+	.B(n_29998),
+	.Y(n_10823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776787 (
+	.A(n_10556),
+	.B(n_4717),
+	.Y(n_10822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776788 (
+	.A(n_10556),
+	.B(n_66584),
+	.Y(n_10821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776789 (
+	.A(n_10555),
+	.B(n_29998),
+	.Y(n_10820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776790 (
+	.A(n_10551),
+	.B(n_29998),
+	.Y(n_10819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776791 (
+	.A(n_10553),
+	.B(n_29998),
+	.Y(n_10818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776792 (
+	.A(n_10556),
+	.B(n_8958),
+	.Y(n_10817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776793 (
+	.A(n_10555),
+	.B(n_4717),
+	.Y(n_10816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776794 (
+	.A(n_10551),
+	.B(n_4717),
+	.Y(n_10815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776795 (
+	.A(n_10553),
+	.B(n_4717),
+	.Y(n_10814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776796 (
+	.A(n_10555),
+	.B(n_66584),
+	.Y(n_10813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776797 (
+	.A(n_10551),
+	.B(n_66584),
+	.Y(n_10812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776798 (
+	.A(n_10553),
+	.B(n_66584),
+	.Y(n_10811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776799 (
+	.A(n_10551),
+	.B(n_8958),
+	.Y(n_10810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g776800 (
+	.A(n_10553),
+	.B(n_8958),
+	.Y(n_10809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g776801 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_10302),
+	.X(n_10808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776803 (
+	.A1_N(n_5613),
+	.A2_N(n_29981),
+	.B1(n_10398),
+	.B2(n_9609),
+	.Y(n_10806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776804 (
+	.A1(n_10199),
+	.A2(n_3967),
+	.B1(n_10195),
+	.B2(n_3818),
+	.Y(n_10805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776805 (
+	.A1(n_3812),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B1(n_3817),
+	.B2(n_10194),
+	.C1(n_2576),
+	.Y(n_10804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776806 (
+	.A(n_10420),
+	.B(n_10326),
+	.Y(n_10803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776807 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [1]),
+	.B2(n_25271),
+	.C1(n_3971),
+	.C2(n_10209),
+	.Y(n_10802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776808 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [2]),
+	.B2(n_25271),
+	.C1(n_3814),
+	.C2(n_10197),
+	.Y(n_10801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776809 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [5]),
+	.B2(n_25271),
+	.C1(n_3817),
+	.C2(n_10201),
+	.Y(n_10800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776810 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [6]),
+	.B2(n_25271),
+	.C1(n_3971),
+	.C2(n_10196),
+	.Y(n_10799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776811 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [3]),
+	.B2(n_25271),
+	.C1(n_3966),
+	.C2(n_10207),
+	.Y(n_10798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776812 (
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.A2(n_3812),
+	.B1(\soc_top_uart_to_xbar[d_data] [4]),
+	.B2(n_25271),
+	.C1(n_3966),
+	.C2(n_10206),
+	.Y(n_10797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776813 (
+	.A1(n_6690),
+	.A2(n_9406),
+	.B1(n_9764),
+	.C1(n_10435),
+	.Y(n_10796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g776814 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[19]),
+	.B1(n_61620),
+	.Y(n_10795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776815 (
+	.A1(n_10296),
+	.A2(n_9001),
+	.B1(n_1725),
+	.B2(n_6658),
+	.Y(n_10794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776816 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.A2_N(n_9641),
+	.B1(n_10398),
+	.B2(n_10343),
+	.Y(n_10793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g776817 (
+	.A1(n_61532),
+	.A2(n_10083),
+	.B1_N(n_5054),
+	.X(n_10792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776818 (
+	.A1(n_3367),
+	.A2(n_8976),
+	.B1(n_9308),
+	.C1(n_72811),
+	.Y(n_10791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g776819 (
+	.A1(n_3356),
+	.A2(n_2415),
+	.A3(\soc_top_intr_controller_reg2hw[prio8][q] [0]),
+	.B1(n_10293),
+	.C1(n_9105),
+	.Y(n_10790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g776820 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.A2_N(n_9641),
+	.B1(n_9418),
+	.B2(n_10296),
+	.Y(n_10789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776821 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_31_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [31]),
+	.C1(n_10293),
+	.X(n_10788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776822 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_30_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [30]),
+	.C1(n_10293),
+	.X(n_10787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776823 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [27]),
+	.C1(n_10293),
+	.X(n_10786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776824 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [29]),
+	.C1(n_10293),
+	.X(n_10785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776825 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [28]),
+	.C1(n_10293),
+	.X(n_10784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776826 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [26]),
+	.C1(n_10293),
+	.X(n_10783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776828 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [25]),
+	.C1(n_10293),
+	.X(n_10781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776829 (
+	.A1(n_2403),
+	.A2(n_9403),
+	.B1(n_63143),
+	.C1(n_10170),
+	.Y(n_10780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776830 (
+	.A1(n_2400),
+	.A2(n_9403),
+	.B1(n_9310),
+	.C1(n_63146),
+	.Y(n_10779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776831 (
+	.A1(n_2408),
+	.A2(n_9403),
+	.B1(n_9313),
+	.C1(n_10182),
+	.Y(n_10778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776832 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [24]),
+	.C1(n_10293),
+	.X(n_10777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776833 (
+	.A(n_4648),
+	.B(n_10294),
+	.C(n_2962),
+	.D(n_5005),
+	.Y(n_10776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776834 (
+	.A1(n_2403),
+	.A2(n_9396),
+	.B1(n_9317),
+	.C1(n_10193),
+	.Y(n_10775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776835 (
+	.A1(n_2403),
+	.A2(n_9395),
+	.B1(n_9325),
+	.C1(n_10218),
+	.Y(n_10774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776836 (
+	.A1(n_2400),
+	.A2(n_9396),
+	.B1(n_9318),
+	.C1(n_10210),
+	.Y(n_10773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776837 (
+	.A1(n_2408),
+	.A2(n_9396),
+	.B1(n_9321),
+	.C1(n_10213),
+	.Y(n_10772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776838 (
+	.A1(n_2400),
+	.A2(n_9395),
+	.B1(n_9326),
+	.C1(n_10219),
+	.Y(n_10771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776839 (
+	.A1(n_2408),
+	.A2(n_9395),
+	.B1(n_9329),
+	.C1(n_10222),
+	.Y(n_10770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776840 (
+	.A1(n_2413),
+	.A2(n_9393),
+	.B1(n_9332),
+	.C1(n_10261),
+	.Y(n_10769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776841 (
+	.A1(n_2404),
+	.A2(n_9393),
+	.B1(n_9336),
+	.C1(n_10268),
+	.Y(n_10768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776842 (
+	.A1(n_2398),
+	.A2(n_9393),
+	.B1(n_9335),
+	.C1(n_10267),
+	.Y(n_10767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776844 (
+	.A1(n_2406),
+	.A2(n_9403),
+	.B1(n_9314),
+	.C1(n_10187),
+	.Y(n_10765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776845 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [12]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.C1(n_3005),
+	.Y(n_10764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776846 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [11]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.C1(n_2959),
+	.Y(n_10763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776847 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [13]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.C1(n_2957),
+	.Y(n_10762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776848 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.C1(n_2956),
+	.Y(n_10761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776849 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [15]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.C1(n_2955),
+	.Y(n_10760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776850 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [16]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.C1(n_2954),
+	.Y(n_10759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776851 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [17]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.C1(n_2951),
+	.Y(n_10758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776852 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [19]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.C1(n_3000),
+	.Y(n_10757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776853 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [20]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.C1(n_2949),
+	.Y(n_10756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776854 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [21]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.C1(n_3004),
+	.Y(n_10755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776855 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [22]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.C1(n_2948),
+	.Y(n_10754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776856 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [23]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.C1(n_2946),
+	.Y(n_10753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g776857 (
+	.A1(n_10088),
+	.A2(n_10067),
+	.A3(n_10073),
+	.A4(n_30724),
+	.B1(n_9917),
+	.X(n_10752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776858 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [24]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.C1(n_3002),
+	.Y(n_10751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776859 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [25]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.C1(n_2950),
+	.Y(n_10750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776860 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [26]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.C1(n_2953),
+	.Y(n_10749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776861 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [27]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.C1(n_2960),
+	.Y(n_10748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776862 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [28]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.C1(n_2993),
+	.Y(n_10747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776863 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [29]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.C1(n_2992),
+	.Y(n_10746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776864 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [30]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.C1(n_2961),
+	.Y(n_10745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776865 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [31]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.C1(n_2978),
+	.Y(n_10744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776867 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_23_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [23]),
+	.C1(n_10293),
+	.X(n_10742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g776868 (
+	.A1(n_10064),
+	.A2(n_2805),
+	.B1(n_10515),
+	.Y(n_10741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g776869 (
+	.A(n_3382),
+	.B(n_10410),
+	.C(n_10082),
+	.Y(n_10740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776870 (
+	.A1(n_36679),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10324),
+	.Y(n_10739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776871 (
+	.A1(n_10323),
+	.A2(n_2381),
+	.B1(n_48306),
+	.B2(n_1918),
+	.C1(n_1255),
+	.C2(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.Y(n_10738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776873 (
+	.A1(n_30147),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10328),
+	.Y(n_10736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776874 (
+	.A1(n_69523),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10330),
+	.Y(n_10735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776875 (
+	.A1(n_31276),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10322),
+	.Y(n_10734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776876 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.A2(n_1255),
+	.B1(n_3094),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25698),
+	.Y(n_10733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776877 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.A2(n_1255),
+	.B1(n_3185),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25699),
+	.Y(n_10732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776878 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.A2(n_1255),
+	.B1(n_3187),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25701),
+	.Y(n_10731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776879 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.A2(n_1255),
+	.B1(n_3167),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25702),
+	.Y(n_10730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776880 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.A2(n_1255),
+	.B1(n_3189),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25703),
+	.Y(n_10729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776881 (
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.A2(n_1255),
+	.B1(n_3071),
+	.B2(n_10029),
+	.C1(n_10032),
+	.C2(n_25704),
+	.Y(n_10728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776882 (
+	.A1(n_8985),
+	.A2(n_3919),
+	.B1(n_5071),
+	.C1(n_64730),
+	.D1(n_10351),
+	.Y(n_10727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776883 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [22]),
+	.C1(n_10293),
+	.X(n_10726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776884 (
+	.A1(n_2398),
+	.A2(n_9403),
+	.B1(n_9311),
+	.C1(n_10175),
+	.Y(n_10725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776885 (
+	.A1(n_2404),
+	.A2(n_9403),
+	.B1(n_9312),
+	.C1(n_10177),
+	.Y(n_10724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776886 (
+	.A1(n_2412),
+	.A2(n_9396),
+	.B1(n_9315),
+	.C1(n_10188),
+	.Y(n_10723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776887 (
+	.A1(n_2413),
+	.A2(n_9396),
+	.B1(n_9316),
+	.C1(n_10192),
+	.Y(n_10722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776888 (
+	.A1(n_2398),
+	.A2(n_9396),
+	.B1(n_9319),
+	.C1(n_10211),
+	.Y(n_10721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776889 (
+	.A1(n_2404),
+	.A2(n_9396),
+	.B1(n_9320),
+	.C1(n_10212),
+	.Y(n_10720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776890 (
+	.A1(n_2406),
+	.A2(n_9396),
+	.B1(n_9322),
+	.C1(n_10214),
+	.Y(n_10719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776891 (
+	.A1(n_2412),
+	.A2(n_9395),
+	.B1(n_9323),
+	.C1(n_10215),
+	.Y(n_10718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776892 (
+	.A1(n_2413),
+	.A2(n_9395),
+	.B1(n_9324),
+	.C1(n_10217),
+	.Y(n_10717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776893 (
+	.A1(n_2398),
+	.A2(n_9395),
+	.B1(n_9327),
+	.C1(n_10220),
+	.Y(n_10716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776894 (
+	.A1(n_2404),
+	.A2(n_9395),
+	.B1(n_9328),
+	.C1(n_10221),
+	.Y(n_10715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776895 (
+	.A1(n_2406),
+	.A2(n_9395),
+	.B1(n_9330),
+	.C1(n_10223),
+	.Y(n_10714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776896 (
+	.A1(n_2412),
+	.A2(n_9393),
+	.B1(n_9331),
+	.C1(n_10225),
+	.Y(n_10713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776897 (
+	.A1(n_2403),
+	.A2(n_9393),
+	.B1(n_9333),
+	.C1(n_10263),
+	.Y(n_10712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776898 (
+	.A1(n_2400),
+	.A2(n_9393),
+	.B1(n_9334),
+	.C1(n_10265),
+	.Y(n_10711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776899 (
+	.A1(n_2408),
+	.A2(n_9393),
+	.B1(n_9337),
+	.C1(n_10269),
+	.Y(n_10710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g776900 (
+	.A1(n_2406),
+	.A2(n_9393),
+	.B1(n_9338),
+	.C1(n_10280),
+	.Y(n_10709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776901 (
+	.A1(n_10041),
+	.A2(io_out[24]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [16]),
+	.C1(n_9976),
+	.X(n_10708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776902 (
+	.A1(n_10041),
+	.A2(soc_top_GPIO_cio_gpio_q[18]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [18]),
+	.C1(n_9980),
+	.X(n_10707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g776903 (
+	.A1(n_3356),
+	.A2(n_2415),
+	.A3(\soc_top_intr_controller_reg2hw[prio8][q] [1]),
+	.B1(n_8372),
+	.C1(n_10514),
+	.Y(n_10706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776904 (
+	.A1(n_10041),
+	.A2(io_out[25]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [17]),
+	.C1(n_9977),
+	.X(n_10705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776905 (
+	.A1(n_10041),
+	.A2(gpio_o[19]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [19]),
+	.C1(n_9974),
+	.X(n_10704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776906 (
+	.A1(n_10041),
+	.A2(gpio_o[21]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [21]),
+	.C1(n_9971),
+	.X(n_10703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776907 (
+	.A1(n_10041),
+	.A2(gpio_o[20]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [20]),
+	.C1(n_9973),
+	.X(n_10702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776908 (
+	.A1(n_10041),
+	.A2(io_out[30]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [22]),
+	.C1(n_9968),
+	.X(n_10701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776909 (
+	.A1(n_10041),
+	.A2(io_out[31]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [23]),
+	.C1(n_9967),
+	.X(n_10700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776910 (
+	.A1(n_10041),
+	.A2(gpio_o[25]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [25]),
+	.C1(n_9963),
+	.X(n_10699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776911 (
+	.A1(n_10041),
+	.A2(gpio_o[26]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [26]),
+	.C1(n_9962),
+	.X(n_10698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776913 (
+	.A1(n_10041),
+	.A2(io_out[35]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [27]),
+	.C1(n_9961),
+	.X(n_10696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776914 (
+	.A1(n_10041),
+	.A2(io_out[36]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [28]),
+	.C1(n_9959),
+	.X(n_10695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776915 (
+	.A1(n_10041),
+	.A2(io_out[37]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [29]),
+	.C1(n_9958),
+	.X(n_10694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776916 (
+	.A1(n_10041),
+	.A2(gpio_o[30]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [30]),
+	.C1(n_9957),
+	.X(n_10693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776917 (
+	.A1(n_10041),
+	.A2(gpio_o[31]),
+	.B1(n_9721),
+	.B2(\soc_top_xbar_to_timer[a_data] [31]),
+	.C1(n_9956),
+	.X(n_10692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776918 (
+	.A1(n_10055),
+	.A2(n_1586),
+	.B1(n_25600),
+	.B2(n_1285),
+	.C1(n_10122),
+	.C2(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.Y(n_10691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776919 (
+	.A1(n_4511),
+	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B1(n_2010),
+	.B2(n_1415),
+	.C1(n_10449),
+	.Y(n_10690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776920 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [0]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.C1(n_2947),
+	.Y(n_10689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776921 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [1]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.C1(n_3018),
+	.Y(n_10688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776922 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [2]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.C1(n_3019),
+	.Y(n_10687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776923 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [3]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.C1(n_2970),
+	.Y(n_10686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776924 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [4]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.C1(n_3020),
+	.Y(n_10685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776925 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [5]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.C1(n_3021),
+	.Y(n_10684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776926 (
+	.A1(n_10063),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [32]),
+	.B1(n_6018),
+	.B2(n_2525),
+	.C1(n_6723),
+	.Y(n_10683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776927 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [6]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.C1(n_2973),
+	.Y(n_10682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776928 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [7]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.C1(n_3023),
+	.Y(n_10681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776929 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [8]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.C1(n_3024),
+	.Y(n_10680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776930 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [9]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.C1(n_3008),
+	.Y(n_10679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g776931 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [10]),
+	.B1(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.C1(n_3006),
+	.Y(n_10678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776932 (
+	.A1(n_10403),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_10677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g776933 (
+	.A(n_63049),
+	.B(n_10540),
+	.Y(n_10676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776934 (
+	.A1(n_5324),
+	.A2(n_61184),
+	.B1(n_61519),
+	.B2(n_61180),
+	.C1(n_61171),
+	.C2(soc_top_u_top_u_core_instr_rdata_alu_id[28]),
+	.Y(n_10675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g776935 (
+	.A1(n_3354),
+	.A2(n_4515),
+	.B1(n_6652),
+	.C1(n_63145),
+	.D1(n_5719),
+	.Y(n_10674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776936 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_21_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [21]),
+	.C1(n_10293),
+	.X(n_10673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776937 (
+	.A1(n_10401),
+	.A2(n_9610),
+	.B1(n_3824),
+	.B2(n_10296),
+	.Y(n_10672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776938 (
+	.A(n_9341),
+	.B(n_4605),
+	.C(n_6652),
+	.D(n_63147),
+	.Y(n_10671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776939 (
+	.A(n_9342),
+	.B(n_4612),
+	.C(n_6652),
+	.D(n_9821),
+	.Y(n_10670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776940 (
+	.A(n_9345),
+	.B(n_4649),
+	.C(n_6652),
+	.D(n_9818),
+	.Y(n_10669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g776941 (
+	.A1(n_9641),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B1(n_6659),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.C1(n_10586),
+	.Y(n_10668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776942 (
+	.A1(n_10329),
+	.A2(n_2380),
+	.B1(n_3525),
+	.Y(n_10667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776943 (
+	.A1(n_10331),
+	.A2(n_2380),
+	.B1(n_3542),
+	.Y(n_10666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776944 (
+	.A1(n_10305),
+	.A2(n_2380),
+	.B1(n_3547),
+	.Y(n_10665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776945 (
+	.A1(n_10306),
+	.A2(n_2380),
+	.B1(n_3725),
+	.Y(n_10664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g776946 (
+	.A1(n_10307),
+	.A2(n_2380),
+	.B1(n_3723),
+	.Y(n_10663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776947 (
+	.A1(n_10316),
+	.A2(n_2381),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.B2(n_4483),
+	.C1(n_1918),
+	.C2(n_24845),
+	.Y(n_10662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g776948 (
+	.A1(n_9423),
+	.A2(n_10296),
+	.B1(n_9996),
+	.X(n_10661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776949 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.B2(n_9378),
+	.C1(n_10297),
+	.C2(n_9426),
+	.Y(n_10660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g776950 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B2(n_9378),
+	.C1(n_10297),
+	.C2(n_9425),
+	.Y(n_10659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g776951 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_2[7]),
+	.A2_N(n_2136),
+	.B1(n_2003),
+	.B2(n_10258),
+	.Y(n_10658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776952 (
+	.A(n_9343),
+	.B(n_4627),
+	.C(n_6652),
+	.D(n_9820),
+	.Y(n_10657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776953 (
+	.A(n_9344),
+	.B(n_4626),
+	.C(n_6652),
+	.D(n_9819),
+	.Y(n_10656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776954 (
+	.A(n_9370),
+	.B(n_4625),
+	.C(n_6652),
+	.D(n_9817),
+	.Y(n_10655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776955 (
+	.A(n_9346),
+	.B(n_4624),
+	.C(n_6652),
+	.D(n_9816),
+	.Y(n_10654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776956 (
+	.A(n_9347),
+	.B(n_4691),
+	.C(n_6652),
+	.D(n_9815),
+	.Y(n_10653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776957 (
+	.A(n_9348),
+	.B(n_4623),
+	.C(n_6652),
+	.D(n_9814),
+	.Y(n_10652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776958 (
+	.A(n_9349),
+	.B(n_4622),
+	.C(n_6652),
+	.D(n_9813),
+	.Y(n_10651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776959 (
+	.A(n_9350),
+	.B(n_4683),
+	.C(n_6652),
+	.D(n_9812),
+	.Y(n_10650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776960 (
+	.A(n_9351),
+	.B(n_4621),
+	.C(n_6652),
+	.D(n_9811),
+	.Y(n_10649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776961 (
+	.A(n_9352),
+	.B(n_4620),
+	.C(n_6652),
+	.D(n_9810),
+	.Y(n_10648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776962 (
+	.A(n_9353),
+	.B(n_4647),
+	.C(n_6652),
+	.D(n_9809),
+	.Y(n_10647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776963 (
+	.A(n_9354),
+	.B(n_4619),
+	.C(n_6652),
+	.D(n_9808),
+	.Y(n_10646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776964 (
+	.A(n_9355),
+	.B(n_4618),
+	.C(n_6652),
+	.D(n_9807),
+	.Y(n_10645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776965 (
+	.A(n_9356),
+	.B(n_4617),
+	.C(n_6652),
+	.D(n_9806),
+	.Y(n_10644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776966 (
+	.A(n_9357),
+	.B(n_4672),
+	.C(n_6652),
+	.D(n_9805),
+	.Y(n_10643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776967 (
+	.A(n_9358),
+	.B(n_4616),
+	.C(n_6652),
+	.D(n_9804),
+	.Y(n_10642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776968 (
+	.A(n_9359),
+	.B(n_4615),
+	.C(n_6652),
+	.D(n_9803),
+	.Y(n_10641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776969 (
+	.A(n_9360),
+	.B(n_4614),
+	.C(n_6652),
+	.D(n_9802),
+	.Y(n_10640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776970 (
+	.A(n_9361),
+	.B(n_4613),
+	.C(n_6652),
+	.D(n_9801),
+	.Y(n_10639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776971 (
+	.A(n_9362),
+	.B(n_4684),
+	.C(n_6652),
+	.D(n_9800),
+	.Y(n_10638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776972 (
+	.A(n_9363),
+	.B(n_4611),
+	.C(n_6652),
+	.D(n_9799),
+	.Y(n_10637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776973 (
+	.A(n_9364),
+	.B(n_4650),
+	.C(n_6652),
+	.D(n_9798),
+	.Y(n_10636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776974 (
+	.A(n_9365),
+	.B(n_4610),
+	.C(n_6652),
+	.D(n_9797),
+	.Y(n_10635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776975 (
+	.A(n_9366),
+	.B(n_4609),
+	.C(n_6652),
+	.D(n_9796),
+	.Y(n_10634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776976 (
+	.A(n_9367),
+	.B(n_4608),
+	.C(n_6652),
+	.D(n_9795),
+	.Y(n_10633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776977 (
+	.A(n_9368),
+	.B(n_4607),
+	.C(n_6652),
+	.D(n_9794),
+	.Y(n_10632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g776978 (
+	.A(n_64727),
+	.B(n_4606),
+	.C(n_6652),
+	.D(n_9793),
+	.Y(n_10631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g776980 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.B(n_9744),
+	.X(n_10629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g776981 (
+	.A1(n_5163),
+	.A2(n_10303),
+	.B1(n_10126),
+	.X(n_10628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776982 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.A2(n_9438),
+	.B1(n_6656),
+	.B2(n_27479),
+	.C1(n_10189),
+	.X(n_10627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g776983 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.A2_N(n_9378),
+	.B1(n_9428),
+	.B2(n_10296),
+	.X(n_10626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g776984 (
+	.A1(n_10401),
+	.A2(n_9421),
+	.B1(n_10043),
+	.B2(n_9113),
+	.Y(n_10625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776985 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_20_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [20]),
+	.C1(n_10293),
+	.X(n_10624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776986 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [19]),
+	.C1(n_10293),
+	.X(n_10623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776988 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [18]),
+	.C1(n_10293),
+	.X(n_10621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776989 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [17]),
+	.C1(n_10293),
+	.X(n_10620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776990 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [16]),
+	.C1(n_10293),
+	.X(n_10619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776991 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_15_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [15]),
+	.C1(n_10293),
+	.X(n_10618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776992 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_14_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [14]),
+	.C1(n_10293),
+	.X(n_10617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776993 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_13_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [13]),
+	.C1(n_10293),
+	.X(n_10616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776994 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [12]),
+	.C1(n_10293),
+	.X(n_10615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776995 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [11]),
+	.C1(n_10293),
+	.X(n_10614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776996 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [10]),
+	.C1(n_10293),
+	.X(n_10613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776997 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_9_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [9]),
+	.C1(n_10293),
+	.X(n_10612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776998 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [8]),
+	.C1(n_10293),
+	.X(n_10611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g776999 (
+	.A1(n_3922),
+	.A2(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [7]),
+	.C1(n_10293),
+	.X(n_10610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777000 (
+	.A1(n_9380),
+	.A2(n_25664),
+	.B1(n_10032),
+	.B2(n_25696),
+	.C1(n_10191),
+	.Y(n_10609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777001 (
+	.A1(n_9380),
+	.A2(n_25665),
+	.B1(n_10032),
+	.B2(n_25697),
+	.C1(n_10190),
+	.Y(n_10608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777002 (
+	.A1(n_30002),
+	.A2(soc_top_intr_controller_u_reg_ip_0_p_6_qs),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [6]),
+	.C1(n_10293),
+	.X(n_10607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777004 (
+	.A1(n_10296),
+	.A2(n_9420),
+	.B1(n_10133),
+	.Y(n_10606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777005 (
+	.A1(n_9421),
+	.A2(n_10296),
+	.B1(n_10132),
+	.Y(n_10605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777006 (
+	.A1(n_9424),
+	.A2(n_10296),
+	.B1(n_10131),
+	.Y(n_10604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777007 (
+	.A1(n_9422),
+	.A2(n_10296),
+	.B1(n_10130),
+	.Y(n_10603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777008 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C1(n_10453),
+	.Y(n_10880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g777009 (
+	.A1(n_63049),
+	.A2(n_10064),
+	.B1(n_61514),
+	.C1(n_62074),
+	.Y(n_10879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777010 (
+	.A(n_1587),
+	.B_N(n_10600),
+	.Y(n_10878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777011 (
+	.A1(n_9429),
+	.A2(n_10296),
+	.B1(n_10128),
+	.Y(n_10602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777012 (
+	.A1(n_9419),
+	.A2(n_10296),
+	.B1(n_10426),
+	.Y(n_10601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g777014 (
+	.A(n_154),
+	.B(n_10389),
+	.C(n_16134),
+	.X(n_10875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777015 (
+	.A1(n_63049),
+	.A2(n_10288),
+	.B1(n_10078),
+	.Y(n_10874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g777016 (
+	.A(FE_DBTN92_n_49646),
+	.B(n_10391),
+	.C(n_49744),
+	.X(n_10873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777017 (
+	.A(n_10074),
+	.B(n_2958),
+	.C(n_1995),
+	.D(n_2495),
+	.Y(n_10871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777018 (
+	.A1_N(n_1263),
+	.A2_N(n_25532),
+	.B1(n_2125),
+	.B2(n_10329),
+	.X(n_10869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777019 (
+	.A1_N(n_1263),
+	.A2_N(n_25537),
+	.B1(n_2115),
+	.B2(n_10331),
+	.X(n_10867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777020 (
+	.A1_N(n_2068),
+	.A2_N(n_10334),
+	.B1(n_1262),
+	.B2(n_825),
+	.X(n_10865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777021 (
+	.A1_N(n_2081),
+	.A2_N(n_10311),
+	.B1(n_1263),
+	.B2(n_25548),
+	.X(n_10863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777022 (
+	.A1_N(n_2066),
+	.A2_N(n_10310),
+	.B1(n_1263),
+	.B2(n_25547),
+	.X(n_10861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777023 (
+	.A1_N(n_2065),
+	.A2_N(n_10309),
+	.B1(n_1263),
+	.B2(n_25546),
+	.X(n_10859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777024 (
+	.A1_N(n_2064),
+	.A2_N(n_10308),
+	.B1(n_1263),
+	.B2(n_25545),
+	.X(n_10857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777025 (
+	.A1_N(n_1263),
+	.A2_N(n_25542),
+	.B1(n_2059),
+	.B2(n_10307),
+	.X(n_10855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777026 (
+	.A1_N(n_1263),
+	.A2_N(n_25541),
+	.B1(n_2105),
+	.B2(n_10306),
+	.X(n_10853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777027 (
+	.A1_N(n_1263),
+	.A2_N(n_25539),
+	.B1(n_2062),
+	.B2(n_10305),
+	.X(n_10851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777028 (
+	.A1_N(n_2060),
+	.A2_N(n_10322),
+	.B1(n_1262),
+	.B2(n_67040),
+	.X(n_10849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777029 (
+	.A1_N(n_2070),
+	.A2_N(n_10314),
+	.B1(n_1263),
+	.B2(n_25551),
+	.X(n_10847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777030 (
+	.A1_N(n_2114),
+	.A2_N(n_10411),
+	.B1(n_1263),
+	.B2(n_25552),
+	.X(n_10845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777031 (
+	.A1_N(n_1263),
+	.A2_N(n_25554),
+	.B1(n_2079),
+	.B2(n_10315),
+	.X(n_10843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777032 (
+	.A1_N(n_2133),
+	.A2_N(n_10328),
+	.B1(n_1262),
+	.B2(n_823),
+	.X(n_10841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777033 (
+	.A1_N(n_2053),
+	.A2_N(n_10327),
+	.B1(n_1262),
+	.B2(n_30188),
+	.X(n_10839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777034 (
+	.A1_N(n_2153),
+	.A2_N(n_10319),
+	.B1(n_1262),
+	.B2(n_817),
+	.X(n_10837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777035 (
+	.A1_N(n_2056),
+	.A2_N(n_10330),
+	.B1(n_1262),
+	.B2(n_67038),
+	.X(n_10835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777036 (
+	.A1_N(n_2044),
+	.A2_N(n_10324),
+	.B1(n_1262),
+	.B2(n_811),
+	.X(n_10833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777037 (
+	.A1_N(n_2107),
+	.A2_N(n_10323),
+	.B1(n_1262),
+	.B2(n_810),
+	.X(n_10831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g777038 (
+	.A(n_10573),
+	.B(n_2362),
+	.C(soc_top_u_top_data_we),
+	.X(n_10829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g777039 (
+	.A(n_10587),
+	.B(n_1256),
+	.X(n_10827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g777040 (
+	.A(n_10589),
+	.B(n_2369),
+	.X(n_10826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777043 (
+	.A(n_10589),
+	.Y(n_10590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g777044 (
+	.A(n_10587),
+	.Y(n_10588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777045 (
+	.A(n_9418),
+	.B(n_10398),
+	.Y(n_10586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777046 (
+	.A(n_29981),
+	.B(n_6391),
+	.Y(n_10585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777047 (
+	.A(n_10072),
+	.B(n_29981),
+	.Y(n_10584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777048 (
+	.A(n_29985),
+	.B_N(n_10394),
+	.Y(n_10583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777049 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_10384),
+	.Y(n_10582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777050 (
+	.A(n_10042),
+	.B_N(n_10388),
+	.Y(n_10581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777051 (
+	.A(n_10396),
+	.B(n_5889),
+	.Y(n_10580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777053 (
+	.A(n_10325),
+	.B(n_61513),
+	.Y(n_10578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777054 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B_N(n_10403),
+	.Y(n_10600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777056 (
+	.A(n_64575),
+	.B(n_10410),
+	.Y(n_10599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777058 (
+	.A(n_64575),
+	.B(n_10325),
+	.Y(n_10598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777093 (
+	.A(n_10302),
+	.B(n_4498),
+	.Y(n_10576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g777094 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[5]),
+	.B(n_9744),
+	.Y(n_10597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g777196 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B(n_10291),
+	.X(n_10594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777198 (
+	.A(n_2369),
+	.B(n_10408),
+	.Y(n_10589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777199 (
+	.A(n_1256),
+	.B(n_10408),
+	.Y(n_10587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g777200 (
+	.A(n_10566),
+	.Y(n_10567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777201 (
+	.A(n_10560),
+	.Y(n_10559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777202 (
+	.A(n_10557),
+	.Y(n_10556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777203 (
+	.A(n_10555),
+	.Y(n_10554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777204 (
+	.A(n_10553),
+	.Y(n_10552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777205 (
+	.A(n_10551),
+	.Y(n_10550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777207 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.B1(n_9461),
+	.C1(n_9462),
+	.X(n_10545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777208 (
+	.A1(n_1319),
+	.A2(n_1210),
+	.B1(n_29975),
+	.Y(n_10544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g777209 (
+	.A1(n_25538),
+	.A2(n_10028),
+	.B1(n_67040),
+	.B2(n_8960),
+	.Y(n_10543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777210 (
+	.A1(n_1316),
+	.A2(n_1460),
+	.B1(n_29975),
+	.Y(n_10542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777211 (
+	.A(n_1587),
+	.B_N(n_10403),
+	.Y(n_10541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g777212 (
+	.A_N(n_10404),
+	.B(n_9734),
+	.Y(n_10540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777213 (
+	.A1(n_1314),
+	.A2(n_1208),
+	.B1(n_29975),
+	.Y(n_10539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777214 (
+	.A1(n_1313),
+	.A2(n_1480),
+	.B1(n_29975),
+	.Y(n_10538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g777215 (
+	.A1(n_5608),
+	.A2(n_10047),
+	.B1(n_6690),
+	.B2(n_63174),
+	.X(n_10537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777216 (
+	.A1(n_1307),
+	.A2(n_1144),
+	.B1(n_29975),
+	.Y(n_10536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777218 (
+	.A(n_10289),
+	.B(n_5889),
+	.Y(n_10534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777219 (
+	.A1(n_1315),
+	.A2(n_1540),
+	.B1(n_29975),
+	.Y(n_10533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777220 (
+	.A1(n_1312),
+	.A2(n_1528),
+	.B1(n_29975),
+	.Y(n_10532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777221 (
+	.A1(n_1335),
+	.A2(n_1522),
+	.B1(n_29975),
+	.Y(n_10531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777222 (
+	.A1(n_1332),
+	.A2(n_1155),
+	.B1(n_29975),
+	.Y(n_10530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777223 (
+	.A1(n_1331),
+	.A2(n_1505),
+	.B1(n_29975),
+	.Y(n_10529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777224 (
+	.A1(n_1333),
+	.A2(n_1178),
+	.B1(n_29975),
+	.Y(n_10528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777225 (
+	.A1(n_1324),
+	.A2(n_1188),
+	.B1(n_29975),
+	.Y(n_10527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777226 (
+	.A1(n_1320),
+	.A2(n_1508),
+	.B1(n_29975),
+	.Y(n_10526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777227 (
+	.A1(n_1329),
+	.A2(n_1212),
+	.B1(n_29975),
+	.Y(n_10525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777228 (
+	.A1(n_1326),
+	.A2(n_1134),
+	.B1(n_29975),
+	.Y(n_10524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777229 (
+	.A1(n_10032),
+	.A2(n_25700),
+	.B1(n_9384),
+	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.Y(n_10523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777230 (
+	.A1(n_6500),
+	.A2(n_8987),
+	.B1(n_10397),
+	.Y(n_10522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g777231 (
+	.A1(n_2381),
+	.A2(n_10070),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.X(n_10521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777232 (
+	.A1(n_10032),
+	.A2(n_25694),
+	.B1(n_9380),
+	.B2(n_25662),
+	.Y(n_10520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777233 (
+	.A1(n_10032),
+	.A2(n_25689),
+	.B1(n_9384),
+	.B2(n_61692),
+	.Y(n_10519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777234 (
+	.A1(n_10032),
+	.A2(n_25674),
+	.B1(n_4485),
+	.B2(n_25641),
+	.Y(n_10518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g777235 (
+	.A1_N(n_5331),
+	.A2_N(n_10060),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.Y(n_10517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g777236 (
+	.A1(n_9693),
+	.A2(n_9916),
+	.A3(n_9745),
+	.B1(soc_top_u_top_u_core_pc_set),
+	.Y(n_10516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g777237 (
+	.A1_N(n_62051),
+	.A2_N(n_3382),
+	.B1(n_2813),
+	.B2(n_10069),
+	.Y(n_10515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g777238 (
+	.A1(n_6507),
+	.A2(n_2363),
+	.B1(n_4213),
+	.C1(n_9790),
+	.D1(n_6187),
+	.Y(n_10514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g777239 (
+	.A(n_9546),
+	.B(n_9731),
+	.C(n_10259),
+	.Y(n_10513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777240 (
+	.A1(n_9131),
+	.A2(n_9128),
+	.A3(n_9129),
+	.A4(n_9130),
+	.B1(n_3814),
+	.Y(n_10512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777241 (
+	.A1(n_9150),
+	.A2(n_9149),
+	.A3(n_9147),
+	.A4(n_9148),
+	.B1(n_3817),
+	.Y(n_10511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777242 (
+	.A1(n_9154),
+	.A2(n_9153),
+	.A3(n_9151),
+	.A4(n_9152),
+	.B1(n_3814),
+	.Y(n_10510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777243 (
+	.A1(n_9168),
+	.A2(n_9169),
+	.A3(n_9167),
+	.A4(n_9166),
+	.B1(n_3817),
+	.Y(n_10509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777244 (
+	.A1(n_9184),
+	.A2(n_9183),
+	.A3(n_9182),
+	.A4(n_9181),
+	.B1(n_3814),
+	.Y(n_10508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777245 (
+	.A1(n_9199),
+	.A2(n_9198),
+	.A3(n_9197),
+	.A4(n_9196),
+	.B1(n_3814),
+	.Y(n_10507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777246 (
+	.A1(n_9206),
+	.A2(n_9205),
+	.A3(n_9203),
+	.A4(n_9204),
+	.B1(n_3814),
+	.Y(n_10506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777247 (
+	.A1(n_9226),
+	.A2(n_9225),
+	.A3(n_9224),
+	.A4(n_9223),
+	.B1(n_3817),
+	.Y(n_10505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777248 (
+	.A1(n_5525),
+	.A2(n_9955),
+	.B1(n_6672),
+	.B2(n_9598),
+	.C1(n_10045),
+	.Y(n_10504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777249 (
+	.A1(n_9135),
+	.A2(n_9134),
+	.A3(n_9132),
+	.A4(n_9133),
+	.B1(n_3971),
+	.Y(n_10503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777250 (
+	.A1(n_9165),
+	.A2(n_9164),
+	.A3(n_9163),
+	.A4(n_9162),
+	.B1(n_3971),
+	.Y(n_10502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777251 (
+	.A1(n_9179),
+	.A2(n_9180),
+	.A3(n_9178),
+	.A4(n_9177),
+	.B1(n_3971),
+	.Y(n_10501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777252 (
+	.A1(n_9195),
+	.A2(n_9194),
+	.A3(n_9193),
+	.A4(n_9192),
+	.B1(n_3971),
+	.Y(n_10500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777253 (
+	.A1(n_9209),
+	.A2(n_9210),
+	.A3(n_9208),
+	.A4(n_9207),
+	.B1(n_3971),
+	.Y(n_10499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777254 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.B1(n_9522),
+	.C1(n_9521),
+	.X(n_10498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777255 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.B1(n_9520),
+	.C1(n_9519),
+	.X(n_10497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777256 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.B1(n_9518),
+	.C1(n_9517),
+	.X(n_10496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777257 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.B1(n_9516),
+	.C1(n_9515),
+	.X(n_10495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777258 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.B1(n_9514),
+	.C1(n_9513),
+	.X(n_10494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777259 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.B1(n_9512),
+	.C1(n_9511),
+	.X(n_10493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777260 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.B1(n_9509),
+	.C1(n_9510),
+	.X(n_10492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777261 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.B1(n_9508),
+	.C1(n_9507),
+	.X(n_10491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777262 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.B1(n_9505),
+	.C1(n_9506),
+	.X(n_10490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777263 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.B1(n_9503),
+	.C1(n_9504),
+	.X(n_10489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777264 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.B1(n_9501),
+	.C1(n_9502),
+	.X(n_10488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777265 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.B1(n_9499),
+	.C1(n_9500),
+	.X(n_10487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777266 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.B1(n_9497),
+	.C1(n_9498),
+	.X(n_10486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777267 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.B1(n_9495),
+	.C1(n_9496),
+	.X(n_10485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777268 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.B1(n_9493),
+	.C1(n_9494),
+	.X(n_10484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777269 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.B1(n_9491),
+	.C1(n_9492),
+	.X(n_10483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777270 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.B1(n_9489),
+	.C1(n_9490),
+	.X(n_10482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777271 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.B1(n_9487),
+	.C1(n_9488),
+	.X(n_10481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777272 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.B1(n_9485),
+	.C1(n_9486),
+	.X(n_10480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777273 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.B1(n_9483),
+	.C1(n_9484),
+	.X(n_10479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777274 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.B1(n_9481),
+	.C1(n_9482),
+	.X(n_10478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777275 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.B1(n_9479),
+	.C1(n_9480),
+	.X(n_10477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777276 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.B1(n_9477),
+	.C1(n_9478),
+	.X(n_10476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777277 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.B1(n_9475),
+	.C1(n_9476),
+	.X(n_10475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777278 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.B1(n_9473),
+	.C1(n_9474),
+	.X(n_10474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777279 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.B1(n_9471),
+	.C1(n_9472),
+	.X(n_10473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777280 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.B1(n_9469),
+	.C1(n_9470),
+	.X(n_10472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777281 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.B1(n_9467),
+	.C1(n_9468),
+	.X(n_10471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777282 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.B1(n_9465),
+	.C1(n_9466),
+	.X(n_10470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g777283 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.B1(n_9463),
+	.C1(n_9464),
+	.X(n_10469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777284 (
+	.A1(n_1327),
+	.A2(n_1192),
+	.B1(n_29975),
+	.Y(n_10468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g777285 (
+	.A1(n_2015),
+	.A2(n_3770),
+	.B1(n_1306),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.C1(n_10282),
+	.X(n_10467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g777287 (
+	.A1(n_9420),
+	.A2(n_9430),
+	.B1(n_10401),
+	.X(n_10465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g777288 (
+	.A1(n_9418),
+	.A2(n_6705),
+	.A3(n_4499),
+	.A4(n_3822),
+	.B1(n_10401),
+	.X(n_10464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_1 g777289 (
+	.A1(n_9608),
+	.A2(n_9432),
+	.A3(n_9437),
+	.A4(n_9436),
+	.B1(n_10398),
+	.X(n_10463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777290 (
+	.A1(n_6684),
+	.A2(n_5367),
+	.B1(n_9985),
+	.B2(n_61513),
+	.C1(n_10046),
+	.Y(n_10462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777291 (
+	.A1(n_4014),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[2]),
+	.B1(n_10293),
+	.Y(n_10461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777292 (
+	.A1(n_4014),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[3]),
+	.B1(n_10293),
+	.Y(n_10460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777293 (
+	.A1(n_4014),
+	.A2(soc_top_intr_controller_u_reg_reg_rdata_next[4]),
+	.B1(n_10293),
+	.Y(n_10459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g777294 (
+	.A1(n_9378),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B1(n_10304),
+	.X(n_10458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g777296 (
+	.A1(n_10033),
+	.A2(\soc_top_xbar_to_timer[a_data] [18]),
+	.B1_N(n_1401),
+	.Y(n_10456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777297 (
+	.A1(n_4044),
+	.A2(n_4037),
+	.B1(n_10303),
+	.Y(n_10455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g777298 (
+	.A1(n_67205),
+	.A2(n_9420),
+	.A3(n_9421),
+	.B1(n_10398),
+	.Y(n_10454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777299 (
+	.A(n_8504),
+	.B(n_9780),
+	.C(n_9592),
+	.D(n_8401),
+	.Y(n_10453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777300 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[15]),
+	.A2(n_10028),
+	.B1(n_10030),
+	.Y(n_10452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g777301 (
+	.A1(n_5323),
+	.A2(n_8970),
+	.B1(n_61484),
+	.B2(n_9898),
+	.C1(n_5325),
+	.X(n_10451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g777302 (
+	.A1(n_9642),
+	.A2(FE_DBTN73_n_31180),
+	.B1_N(n_10301),
+	.X(n_10450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777303 (
+	.A1(soc_top_u_pwm_pwm_core_divisor[14]),
+	.A2(n_4511),
+	.B1(n_10139),
+	.Y(n_10449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g777304 (
+	.A1(n_1654),
+	.A2(n_3453),
+	.B1(n_3848),
+	.C1(n_4276),
+	.D1(n_9584),
+	.Y(n_10448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777305 (
+	.A1(n_2813),
+	.A2(n_10085),
+	.B1(n_8990),
+	.B2(n_61170),
+	.C1(n_9647),
+	.Y(n_10447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g777306 (
+	.A1_N(n_16135),
+	.A2_N(n_10035),
+	.B1(n_4008),
+	.B2(n_3775),
+	.Y(n_10446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g777307 (
+	.A1_N(n_1306),
+	.A2_N(soc_top_u_pwm_pwm_core_period[6]),
+	.B1(n_2016),
+	.B2(n_9909),
+	.Y(n_10445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777308 (
+	.A1(n_10021),
+	.A2(n_1987),
+	.B1(n_2654),
+	.B2(n_2138),
+	.Y(n_10444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777309 (
+	.A1(n_9894),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B1(n_1285),
+	.B2(n_25599),
+	.C1(n_9997),
+	.X(n_10443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g777310 (
+	.A1(n_1340),
+	.A2(n_9379),
+	.B1_N(n_10304),
+	.Y(n_10442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777311 (
+	.A1(n_2412),
+	.A2(n_9403),
+	.B1(n_72809),
+	.Y(n_10441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g777312 (
+	.A1(n_6689),
+	.A2(n_66475),
+	.B1(n_10158),
+	.X(n_10440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g777313 (
+	.A1(n_5326),
+	.A2(n_29976),
+	.B1(n_61524),
+	.Y(n_10439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777315 (
+	.A1(n_9766),
+	.A2(n_61513),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.C1(n_61179),
+	.X(n_10437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777316 (
+	.A1(n_10055),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_10436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g777317 (
+	.A1(n_9547),
+	.A2(n_9731),
+	.B1(n_5601),
+	.B2(n_10046),
+	.Y(n_10435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777318 (
+	.A1(n_61531),
+	.A2(n_10061),
+	.B1(n_62694),
+	.Y(n_10434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g777319 (
+	.A1(n_4046),
+	.A2(n_5761),
+	.B1_N(n_10303),
+	.Y(n_10433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777320 (
+	.A1(n_10068),
+	.A2(n_2380),
+	.B1(n_3550),
+	.Y(n_10432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777321 (
+	.A1(n_62074),
+	.A2(n_5597),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.C1(n_9901),
+	.Y(n_10431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777322 (
+	.A1(n_5601),
+	.A2(n_9747),
+	.B1(n_9763),
+	.B2(n_9765),
+	.C1(n_9371),
+	.C2(n_2805),
+	.Y(n_10430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g777323 (
+	.A1(n_8968),
+	.A2(n_10064),
+	.B1(n_63049),
+	.Y(n_10429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777324 (
+	.A1(n_10071),
+	.A2(n_24845),
+	.B1(n_9642),
+	.B2(soc_top_u_top_u_core_lsu_wdata[31]),
+	.C1(n_9103),
+	.Y(n_10428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777325 (
+	.A1(n_6647),
+	.A2(n_9594),
+	.B1(n_1595),
+	.B2(n_6648),
+	.C1(n_9339),
+	.Y(n_10427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777326 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.A2(n_9378),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B2(n_9641),
+	.C1(n_6659),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_10426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777327 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B2(n_9641),
+	.C1(n_9378),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_10425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777329 (
+	.A1(n_10077),
+	.A2(n_2380),
+	.B1(n_3727),
+	.Y(n_10423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777330 (
+	.A1(n_29982),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.B1(\soc_top_lsu_to_xbar[a_address] [31]),
+	.B2(n_8955),
+	.C1(n_9992),
+	.X(n_10422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777331 (
+	.A1(n_61180),
+	.A2(n_10081),
+	.B1(n_5609),
+	.Y(n_10421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777332 (
+	.A1(n_10062),
+	.A2(n_9643),
+	.B1(n_10260),
+	.Y(n_10420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777334 (
+	.A1(n_10043),
+	.A2(n_10067),
+	.B1(n_10137),
+	.Y(n_10418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g777335 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[8]),
+	.B1(n_8705),
+	.C1(n_9779),
+	.Y(n_10575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777336 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[4]),
+	.C1(n_10340),
+	.Y(n_10574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777337 (
+	.A(n_2362),
+	.B(n_9895),
+	.C(soc_top_u_top_data_we),
+	.D(n_6511),
+	.Y(n_10573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777339 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[13]),
+	.B1(n_10120),
+	.Y(n_10571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777340 (
+	.A1(n_10043),
+	.A2(n_10066),
+	.B1(n_10134),
+	.Y(n_10417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777341 (
+	.A1(n_10043),
+	.A2(n_10065),
+	.B1(n_10135),
+	.Y(n_10416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777342 (
+	.A1(n_10043),
+	.A2(n_10073),
+	.B1(n_10136),
+	.Y(n_10415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g777343 (
+	.A(n_9879),
+	.B(n_6148),
+	.C(n_6014),
+	.X(n_10570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777344 (
+	.A1(n_10043),
+	.A2(n_10088),
+	.B1(n_10138),
+	.Y(n_10414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777346 (
+	.A(n_10118),
+	.B(n_9282),
+	.C(n_6178),
+	.D(n_6177),
+	.Y(n_10568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777347 (
+	.A(n_9541),
+	.B(n_6166),
+	.C(n_6014),
+	.D(n_5631),
+	.Y(n_10566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777348 (
+	.A1(n_4744),
+	.A2(soc_top_u_top_u_core_csr_mepc[10]),
+	.B1(n_4740),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[10]),
+	.C1(n_9920),
+	.Y(n_10565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777349 (
+	.A1(n_4512),
+	.A2(n_27236),
+	.B1(n_10409),
+	.Y(n_10564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777350 (
+	.A(n_30733),
+	.B(n_10398),
+	.Y(n_10563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777351 (
+	.A(n_30733),
+	.B(n_10296),
+	.Y(n_10562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777353 (
+	.A(n_1930),
+	.B(n_10062),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_10560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777354 (
+	.A(n_10297),
+	.B(n_5882),
+	.Y(n_10558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g777355 (
+	.A1_N(n_2037),
+	.A2_N(n_10075),
+	.B1(n_1263),
+	.B2(n_25550),
+	.X(n_10557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777356 (
+	.A1_N(n_2121),
+	.A2_N(n_10076),
+	.B1(n_1262),
+	.B2(n_815),
+	.X(n_10555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777357 (
+	.A1_N(n_2093),
+	.A2_N(n_10070),
+	.B1(n_1262),
+	.B2(n_170),
+	.X(n_10553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g777358 (
+	.A1_N(n_1263),
+	.A2_N(n_25540),
+	.B1(n_2063),
+	.B2(n_10068),
+	.X(n_10551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g777359 (
+	.A(n_29975),
+	.B(n_9718),
+	.X(n_10549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g777360 (
+	.A(n_10301),
+	.B(n_27236),
+	.X(n_10548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777363 (
+	.A(n_10406),
+	.Y(n_10407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g777364 (
+	.A(n_29981),
+	.Y(n_10401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g777365 (
+	.A(n_62692),
+	.Y(n_10399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777366 (
+	.A(n_10397),
+	.Y(n_10398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g777367 (
+	.A(n_766),
+	.B(n_6692),
+	.COUT(n_10395),
+	.SUM(n_10396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g777368 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(n_9451),
+	.COUT(n_10393),
+	.SUM(n_10394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g777369 (
+	.A(n_54412),
+	.B(n_1735),
+	.CI(n_6708),
+	.COUT(n_10391),
+	.SUM(n_10392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g777370 (
+	.A(n_71047),
+	.B(n_1736),
+	.CIN(n_9443),
+	.COUT(n_10389),
+	.SUM(n_10390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g777371 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.B(n_9449),
+	.COUT(n_10387),
+	.SUM(n_10388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g777372 (
+	.A(n_2501),
+	.B(n_9447),
+	.COUT(n_10385),
+	.SUM(n_10386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g777373 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_9445),
+	.COUT(n_10383),
+	.SUM(n_10384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777374 (
+	.A1(n_1333),
+	.A2(n_1161),
+	.B1(n_29977),
+	.Y(n_10382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777375 (
+	.A1(n_1331),
+	.A2(n_1494),
+	.B1(n_29977),
+	.Y(n_10381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777376 (
+	.A1(n_1335),
+	.A2(n_1489),
+	.B1(n_29977),
+	.Y(n_10380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777377 (
+	.A1(n_1332),
+	.A2(n_1531),
+	.B1(n_29977),
+	.Y(n_10379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777378 (
+	.A1(n_1314),
+	.A2(n_1206),
+	.B1(n_10039),
+	.Y(n_10378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777379 (
+	.A1(n_1312),
+	.A2(n_1176),
+	.B1(n_29977),
+	.Y(n_10377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777380 (
+	.A1(n_1315),
+	.A2(n_1503),
+	.B1(n_29977),
+	.Y(n_10376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777381 (
+	.A1(n_1307),
+	.A2(n_1214),
+	.B1(n_29977),
+	.Y(n_10375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777382 (
+	.A1(n_1313),
+	.A2(n_1160),
+	.B1(n_29977),
+	.Y(n_10374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777383 (
+	.A1(n_1319),
+	.A2(n_1445),
+	.B1(n_10039),
+	.Y(n_10373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g777384 (
+	.A(n_10066),
+	.B(n_10065),
+	.X(n_10372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777385 (
+	.A(n_10049),
+	.B(n_5622),
+	.Y(n_10371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777386 (
+	.A(n_10029),
+	.B(n_3159),
+	.Y(n_10370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777387 (
+	.A(n_10029),
+	.B(n_3147),
+	.Y(n_10369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777388 (
+	.A(n_10029),
+	.B(n_3197),
+	.Y(n_10368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777389 (
+	.A(n_10029),
+	.B(n_3069),
+	.Y(n_10367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777390 (
+	.A(n_10029),
+	.B(n_3171),
+	.Y(n_10366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777391 (
+	.A(n_10029),
+	.B(n_3195),
+	.Y(n_10365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777392 (
+	.A(n_10029),
+	.B(n_3090),
+	.Y(n_10364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777393 (
+	.A(n_10029),
+	.B(n_3173),
+	.Y(n_10363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777394 (
+	.A(n_10029),
+	.B(n_3096),
+	.Y(n_10362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777395 (
+	.A(n_10029),
+	.B(n_3084),
+	.Y(n_10361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777396 (
+	.A(n_10029),
+	.B(n_3163),
+	.Y(n_10360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777397 (
+	.A(n_10029),
+	.B(n_3175),
+	.Y(n_10359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777398 (
+	.A(n_10029),
+	.B(n_3203),
+	.Y(n_10358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777399 (
+	.A(n_10029),
+	.B(n_3119),
+	.Y(n_10357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777400 (
+	.A(n_10029),
+	.B(n_3177),
+	.Y(n_10356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777401 (
+	.A(n_10029),
+	.B(n_3113),
+	.Y(n_10355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777402 (
+	.A(n_10029),
+	.B(n_3115),
+	.Y(n_10354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777403 (
+	.A(n_10029),
+	.B(n_3181),
+	.Y(n_10353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777404 (
+	.A(n_10029),
+	.B(n_3105),
+	.Y(n_10352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777405 (
+	.A(n_10032),
+	.B(n_25705),
+	.Y(n_10351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777406 (
+	.A1(n_1314),
+	.A2(n_1164),
+	.B1(n_29977),
+	.Y(n_10350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777407 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.B(n_10042),
+	.Y(n_10349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777408 (
+	.A(n_10042),
+	.B_N(n_3201),
+	.Y(n_10348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777409 (
+	.A(n_10042),
+	.B_N(n_5847),
+	.Y(n_10347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777410 (
+	.A(n_10042),
+	.B_N(n_9450),
+	.Y(n_10346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777411 (
+	.A(n_10054),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.Y(n_10345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777413 (
+	.A(n_10072),
+	.B_N(n_9430),
+	.Y(n_10343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777415 (
+	.A(n_10061),
+	.B(n_61618),
+	.Y(n_10341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777416 (
+	.A(n_9543),
+	.B(n_6239),
+	.C(n_5036),
+	.D(n_6185),
+	.Y(n_10340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777417 (
+	.A1(n_1327),
+	.A2(n_1170),
+	.B1(n_29977),
+	.Y(n_10339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777418 (
+	.A1(n_1319),
+	.A2(n_1466),
+	.B1(n_29977),
+	.Y(n_10338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777419 (
+	.A1(n_1327),
+	.A2(n_1471),
+	.B1(n_10039),
+	.Y(n_10337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777420 (
+	.A1(n_1316),
+	.A2(n_1523),
+	.B1(n_10039),
+	.Y(n_10336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777421 (
+	.A1(n_1316),
+	.A2(n_1516),
+	.B1(n_29977),
+	.Y(n_10335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777584 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.B_N(n_10087),
+	.Y(n_10412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g777586 (
+	.A1(n_4744),
+	.A2(soc_top_u_top_u_core_csr_mepc[29]),
+	.B1(n_8995),
+	.C1(n_9587),
+	.Y(n_10411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777587 (
+	.A1(n_2813),
+	.A2(n_9696),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.Y(n_10410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777592 (
+	.A1(n_9650),
+	.A2(n_5632),
+	.B1(n_27236),
+	.Y(n_10409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777593 (
+	.A1(n_1430),
+	.A2(n_9540),
+	.B1(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Y(n_10408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777594 (
+	.A(n_64575),
+	.B(n_10057),
+	.Y(n_10406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777601 (
+	.A(n_10045),
+	.B(n_8989),
+	.Y(n_10404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g777603 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.B_N(n_10055),
+	.Y(n_10403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777613 (
+	.A(n_3811),
+	.B(n_10043),
+	.Y(n_10397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g777616 (
+	.A(n_10315),
+	.Y(n_10316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g777617 (
+	.A(n_10312),
+	.Y(n_10313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g777618 (
+	.A(n_10297),
+	.Y(n_10296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g777619 (
+	.A(n_10294),
+	.Y(n_10293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777620 (
+	.A1(n_1313),
+	.A2(n_1459),
+	.B1(n_10039),
+	.Y(n_10290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g777621 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B(n_8982),
+	.Y(n_10289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777622 (
+	.A(n_10045),
+	.B(n_9764),
+	.Y(n_10288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777623 (
+	.A1(n_1324),
+	.A2(n_1447),
+	.B1(n_29977),
+	.Y(n_10287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777624 (
+	.A1(n_1320),
+	.A2(n_1534),
+	.B1(n_29977),
+	.Y(n_10286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777625 (
+	.A1(n_1329),
+	.A2(n_1525),
+	.B1(n_29977),
+	.Y(n_10285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777626 (
+	.A1(n_1326),
+	.A2(n_1495),
+	.B1(n_29977),
+	.Y(n_10284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777627 (
+	.A1(n_1307),
+	.A2(n_1153),
+	.B1(n_10039),
+	.Y(n_10283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g777628 (
+	.A1(n_1836),
+	.A2(n_2015),
+	.A3(n_9283),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.B2(n_2139),
+	.X(n_10282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777629 (
+	.A1(n_9548),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B1(n_1285),
+	.B2(n_25598),
+	.C1(n_9753),
+	.X(n_10281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777630 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.B1(n_6651),
+	.B2(n_27508),
+	.Y(n_10280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777631 (
+	.A1(n_1315),
+	.A2(n_1465),
+	.B1(n_10039),
+	.Y(n_10279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777632 (
+	.A1(n_1312),
+	.A2(n_1167),
+	.B1(n_10039),
+	.Y(n_10278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777633 (
+	.A1(n_1335),
+	.A2(n_1537),
+	.B1(n_10039),
+	.Y(n_10277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777634 (
+	.A1(n_1332),
+	.A2(n_1498),
+	.B1(n_10039),
+	.Y(n_10276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777635 (
+	.A1(n_1331),
+	.A2(n_1467),
+	.B1(n_10039),
+	.Y(n_10275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777636 (
+	.A1(n_1333),
+	.A2(n_1538),
+	.B1(n_10039),
+	.Y(n_10274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777637 (
+	.A1(n_1324),
+	.A2(n_1496),
+	.B1(n_10039),
+	.Y(n_10273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777638 (
+	.A1(n_1320),
+	.A2(n_1502),
+	.B1(n_10039),
+	.Y(n_10272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777639 (
+	.A1(n_1329),
+	.A2(n_1493),
+	.B1(n_10039),
+	.Y(n_10271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777640 (
+	.A1(n_1326),
+	.A2(n_1526),
+	.B1(n_10039),
+	.Y(n_10270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777641 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.B1(n_6651),
+	.B2(n_29668),
+	.Y(n_10269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777642 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.B1(n_6651),
+	.B2(n_27506),
+	.Y(n_10268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777643 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.B1(n_6651),
+	.B2(n_27505),
+	.Y(n_10267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g777644 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_9915),
+	.Y(n_10266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777645 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.B1(n_6651),
+	.B2(n_27504),
+	.Y(n_10265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777647 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.B1(n_6651),
+	.B2(n_27503),
+	.Y(n_10263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g777648 (
+	.A1(n_9218),
+	.A2(n_9222),
+	.A3(n_9220),
+	.A4(n_9221),
+	.B1(n_3814),
+	.Y(n_10262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777649 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.B1(n_6651),
+	.B2(n_27502),
+	.Y(n_10261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777650 (
+	.A(n_9109),
+	.B(n_10051),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_10260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g777651 (
+	.A1(n_9734),
+	.A2(n_8970),
+	.A3(n_6686),
+	.B1(n_5596),
+	.Y(n_10259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777652 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A2(n_157),
+	.B1(n_10022),
+	.Y(n_10258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777653 (
+	.A1(n_25523),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.B1(n_10031),
+	.Y(n_10257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777654 (
+	.A1(n_25524),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.B1(n_10031),
+	.Y(n_10256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777655 (
+	.A1(n_25525),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.B1(n_10031),
+	.Y(n_10255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777656 (
+	.A1(n_25526),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.B1(n_10031),
+	.Y(n_10254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777657 (
+	.A1(n_25527),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.B1(n_10031),
+	.Y(n_10253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777658 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.A2(n_25528),
+	.B1(n_10031),
+	.Y(n_10252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777659 (
+	.A1(soc_top_u_top_u_core_csr_addr[6]),
+	.A2(n_25529),
+	.B1(n_10031),
+	.Y(n_10251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777660 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.A2(n_25530),
+	.B1(n_10031),
+	.Y(n_10250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777661 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.A2(n_25531),
+	.B1(n_10031),
+	.Y(n_10249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777662 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.A2(n_25532),
+	.B1(n_10031),
+	.Y(n_10248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777663 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.A2(n_25533),
+	.B1(n_10031),
+	.Y(n_10247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777664 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.A2(n_25534),
+	.B1(n_10031),
+	.Y(n_10246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777665 (
+	.A1(n_25535),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.B1(n_10031),
+	.Y(n_10245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777666 (
+	.A1(n_25536),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.B1(n_10031),
+	.Y(n_10244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777667 (
+	.A1(n_25537),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.B1(n_10031),
+	.Y(n_10243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777668 (
+	.A1(n_25539),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.B1(n_10031),
+	.Y(n_10242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777669 (
+	.A1(n_25540),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[17]),
+	.B1(n_10031),
+	.Y(n_10241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777670 (
+	.A1(n_25541),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.B1(n_10031),
+	.Y(n_10240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777671 (
+	.A1(n_25542),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.B1(n_10031),
+	.Y(n_10239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777672 (
+	.A1(n_25543),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.B1(n_10031),
+	.Y(n_10238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777673 (
+	.A1(n_25544),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.B1(n_10031),
+	.Y(n_10237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777674 (
+	.A1(n_25545),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.B1(n_10031),
+	.Y(n_10236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777675 (
+	.A1(n_25546),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.B1(n_10031),
+	.Y(n_10235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777676 (
+	.A1(n_25547),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.B1(n_10031),
+	.Y(n_10234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777677 (
+	.A1(n_25548),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.B1(n_10031),
+	.Y(n_10233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777678 (
+	.A1(n_25549),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.B1(n_10031),
+	.Y(n_10232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777679 (
+	.A1(n_25550),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.B1(n_10031),
+	.Y(n_10231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777680 (
+	.A1(n_25551),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.B1(n_10031),
+	.Y(n_10230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777681 (
+	.A1(n_25552),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.B1(n_10031),
+	.Y(n_10229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777682 (
+	.A1(n_25553),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.B1(n_10031),
+	.Y(n_10228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777683 (
+	.A1(n_25554),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B1(n_10031),
+	.Y(n_10227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g777684 (
+	.A1(n_2812),
+	.A2(n_9653),
+	.B1(n_5008),
+	.X(n_10226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777685 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.B1(n_6651),
+	.B2(n_27501),
+	.Y(n_10225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777687 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.B1(n_6651),
+	.B2(n_27500),
+	.Y(n_10223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777688 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.B1(n_6651),
+	.B2(n_27499),
+	.Y(n_10222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777689 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.B1(n_6651),
+	.B2(n_27498),
+	.Y(n_10221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777690 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.B1(n_6651),
+	.B2(n_29669),
+	.Y(n_10220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777691 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.B1(n_6651),
+	.B2(n_27496),
+	.Y(n_10219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777692 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.B1(n_6651),
+	.B2(n_27495),
+	.Y(n_10218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777693 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.B1(n_6651),
+	.B2(n_27494),
+	.Y(n_10217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g777694 (
+	.A1(n_9642),
+	.A2(n_31180),
+	.B1_N(n_4055),
+	.Y(n_10216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777695 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.B1(n_6651),
+	.B2(n_27493),
+	.Y(n_10215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777696 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.B1(n_6651),
+	.B2(n_27492),
+	.Y(n_10214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777697 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.B1(n_6651),
+	.B2(n_27491),
+	.Y(n_10213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777698 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.B1(n_6651),
+	.B2(n_27490),
+	.Y(n_10212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777699 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.B1(n_6651),
+	.B2(n_27489),
+	.Y(n_10211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777700 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.B1(n_6651),
+	.B2(n_27488),
+	.Y(n_10210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777701 (
+	.A1(n_2371),
+	.A2(n_6503),
+	.B1(n_2387),
+	.B2(n_6499),
+	.C1(n_9906),
+	.Y(n_10209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777702 (
+	.A(n_9161),
+	.B(n_9160),
+	.C(n_9159),
+	.D(n_9158),
+	.Y(n_10208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777703 (
+	.A1(n_2371),
+	.A2(n_6570),
+	.B1(n_2387),
+	.B2(n_6569),
+	.C1(n_9905),
+	.Y(n_10207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777704 (
+	.A1(n_2388),
+	.A2(n_6597),
+	.B1(n_2376),
+	.B2(n_6596),
+	.C1(n_9904),
+	.Y(n_10206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777705 (
+	.A(n_9214),
+	.B(n_9213),
+	.C(n_9212),
+	.D(n_9211),
+	.Y(n_10205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777706 (
+	.A(n_9234),
+	.B(n_9231),
+	.C(n_9232),
+	.D(n_9233),
+	.Y(n_10204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777707 (
+	.A(n_9219),
+	.B(n_9235),
+	.C(n_9237),
+	.D(n_9236),
+	.Y(n_10203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777708 (
+	.A(n_9139),
+	.B(n_9138),
+	.C(n_9136),
+	.D(n_9137),
+	.Y(n_10202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777709 (
+	.A1(n_2388),
+	.A2(n_6367),
+	.B1(n_2376),
+	.B2(n_6368),
+	.C1(n_9903),
+	.Y(n_10201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777710 (
+	.A(n_9229),
+	.B(n_9230),
+	.C(n_9228),
+	.D(n_9227),
+	.Y(n_10200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777711 (
+	.A(n_9245),
+	.B(n_9244),
+	.C(n_9242),
+	.D(n_9243),
+	.Y(n_10199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g777712 (
+	.A1(n_29645),
+	.A2(n_9379),
+	.B1_N(n_10054),
+	.Y(n_10198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777713 (
+	.A1(n_2371),
+	.A2(n_6536),
+	.B1(n_2387),
+	.B2(n_6295),
+	.C1(n_9900),
+	.Y(n_10197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g777714 (
+	.A1(n_2378),
+	.A2(n_6346),
+	.B1(n_2375),
+	.B2(n_6396),
+	.C1(n_9899),
+	.Y(n_10196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g777715 (
+	.A(n_9241),
+	.B(n_9240),
+	.C(n_9238),
+	.D(n_9239),
+	.Y(n_10195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g777716 (
+	.A1(n_2382),
+	.A2(n_6452),
+	.B1(n_9600),
+	.C1(n_9627),
+	.Y(n_10194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777717 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.B1(n_6651),
+	.B2(n_27487),
+	.Y(n_10193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777718 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.B1(n_6651),
+	.B2(n_27486),
+	.Y(n_10192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g777719 (
+	.A1(n_155),
+	.A2(n_9383),
+	.B1(n_3721),
+	.C1(n_6160),
+	.D1(n_9524),
+	.Y(n_10191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g777720 (
+	.A1(n_799),
+	.A2(n_9383),
+	.B1(n_3720),
+	.C1(n_6157),
+	.D1(n_9523),
+	.Y(n_10190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g777721 (
+	.A1(n_4028),
+	.A2(n_4281),
+	.B1(n_6193),
+	.C1(n_9613),
+	.D1(n_9537),
+	.Y(n_10189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777722 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.B1(n_6651),
+	.B2(n_27485),
+	.Y(n_10188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777723 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.B1(n_6651),
+	.B2(n_27484),
+	.Y(n_10187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777724 (
+	.A1(n_61524),
+	.A2(n_8969),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[12]),
+	.C1(n_61183),
+	.X(n_10186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g777725 (
+	.A1(n_675),
+	.A2(n_61135),
+	.B1(n_9688),
+	.C1(n_3779),
+	.Y(n_10185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777726 (
+	.A1(n_9641),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.B1(n_10023),
+	.Y(n_10184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777727 (
+	.A(n_6430),
+	.B(n_6248),
+	.C(n_9285),
+	.D(n_5158),
+	.Y(n_10183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777728 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.B1(n_6651),
+	.B2(n_27483),
+	.Y(n_10182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777729 (
+	.A(n_6429),
+	.B(n_6247),
+	.C(n_9287),
+	.D(n_5157),
+	.Y(n_10181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777730 (
+	.A(n_6428),
+	.B(n_6246),
+	.C(n_9288),
+	.D(n_5156),
+	.Y(n_10180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777731 (
+	.A(n_6427),
+	.B(n_6245),
+	.C(n_9289),
+	.D(n_5154),
+	.Y(n_10179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777732 (
+	.A(n_6425),
+	.B(n_6242),
+	.C(n_9291),
+	.D(n_5152),
+	.Y(n_10178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777733 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.B1(n_6651),
+	.B2(n_27482),
+	.Y(n_10177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777734 (
+	.A(n_6426),
+	.B(n_6244),
+	.C(n_9290),
+	.D(n_5153),
+	.Y(n_10176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777735 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.B1(n_6651),
+	.B2(n_27481),
+	.Y(n_10175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777736 (
+	.A(n_6424),
+	.B(n_6240),
+	.C(n_9292),
+	.D(n_5151),
+	.Y(n_10174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777737 (
+	.A(n_6423),
+	.B(n_6238),
+	.C(n_9293),
+	.D(n_5150),
+	.Y(n_10173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777739 (
+	.A(n_6420),
+	.B(n_6234),
+	.C(n_9295),
+	.D(n_5099),
+	.Y(n_10171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g777740 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.B1(n_6651),
+	.B2(n_27479),
+	.Y(n_10170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777741 (
+	.A(n_6414),
+	.B(n_6233),
+	.C(n_9296),
+	.D(n_5148),
+	.Y(n_10169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777742 (
+	.A(n_6419),
+	.B(n_6231),
+	.C(n_9297),
+	.D(n_5147),
+	.Y(n_10168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777743 (
+	.A(n_6421),
+	.B(n_6236),
+	.C(n_9294),
+	.D(n_5149),
+	.Y(n_10167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777744 (
+	.A(n_6418),
+	.B(n_6230),
+	.C(n_9298),
+	.D(n_5146),
+	.Y(n_10166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777747 (
+	.A(n_6416),
+	.B(n_6226),
+	.C(n_9300),
+	.D(n_5143),
+	.Y(n_10163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777748 (
+	.A(n_9538),
+	.B(n_25710),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_n_53),
+	.Y(n_10162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777749 (
+	.A(n_6417),
+	.B(n_6227),
+	.C(n_9299),
+	.D(n_5145),
+	.Y(n_10161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777750 (
+	.A(n_6415),
+	.B(n_6224),
+	.C(n_9301),
+	.D(n_5142),
+	.Y(n_10160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777751 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [16]),
+	.C1(n_9949),
+	.X(n_10159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g777752 (
+	.A1_N(n_5325),
+	.A2_N(n_9730),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[13]),
+	.B2(n_61171),
+	.Y(n_10158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777753 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [17]),
+	.C1(n_9948),
+	.X(n_10157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777754 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [19]),
+	.C1(n_9947),
+	.X(n_10156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777755 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [18]),
+	.C1(n_9951),
+	.X(n_10155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777756 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [20]),
+	.C1(n_9946),
+	.X(n_10154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777757 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [21]),
+	.C1(n_9945),
+	.X(n_10153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777758 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [23]),
+	.C1(n_9943),
+	.X(n_10152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777759 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [22]),
+	.C1(n_9944),
+	.X(n_10151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777760 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [25]),
+	.C1(n_9941),
+	.X(n_10150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777761 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [24]),
+	.C1(n_9942),
+	.X(n_10149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777762 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [26]),
+	.C1(n_9939),
+	.X(n_10148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777763 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [27]),
+	.C1(n_9940),
+	.X(n_10147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777764 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [28]),
+	.C1(n_9938),
+	.X(n_10146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777765 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [30]),
+	.C1(n_9937),
+	.X(n_10145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777766 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [31]),
+	.C1(n_9935),
+	.X(n_10144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777767 (
+	.A1(n_3923),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.B1(n_3916),
+	.B2(\soc_top_GPIO_reg2hw[intr_enable][q] [29]),
+	.C1(n_9936),
+	.X(n_10143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g777768 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.D(n_9258),
+	.X(n_10142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g777769 (
+	.A(n_27413),
+	.B(n_27441),
+	.C(n_27443),
+	.D(n_9259),
+	.X(n_10141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g777770 (
+	.A(n_27419),
+	.B(n_27421),
+	.C(n_27420),
+	.D(n_9260),
+	.X(n_10140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g777771 (
+	.A1(n_3447),
+	.A2(n_1653),
+	.B1(n_4265),
+	.C1(n_9910),
+	.Y(n_10139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777772 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_10138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777773 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_10137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777774 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_10136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777775 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_10135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777776 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_10134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777777 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_10133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777778 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_10132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777779 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_10131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777780 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.Y(n_10130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777781 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_10129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g777782 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.A2(n_6659),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.B2(n_9378),
+	.C1(n_9641),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.Y(n_10128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777784 (
+	.A(n_10044),
+	.B(n_5007),
+	.C(n_4494),
+	.Y(n_10126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777786 (
+	.A1(n_61185),
+	.A2(n_5526),
+	.B1(n_61182),
+	.Y(n_10124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777788 (
+	.A1(n_9754),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_10122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777789 (
+	.A1(n_9408),
+	.A2(n_9765),
+	.B1(n_9402),
+	.Y(n_10121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777790 (
+	.A(n_8502),
+	.B(n_8501),
+	.C(n_3809),
+	.D(n_9603),
+	.Y(n_10120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777791 (
+	.A1(n_5325),
+	.A2(n_66475),
+	.B1(n_9730),
+	.Y(n_10119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777792 (
+	.A1(n_4744),
+	.A2(soc_top_u_top_u_core_csr_mepc[11]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [11]),
+	.C1(n_9778),
+	.Y(n_10118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777793 (
+	.A1(n_61524),
+	.A2(n_8965),
+	.B1(n_61171),
+	.B2(soc_top_u_top_u_core_instr_rdata_id[5]),
+	.C1(n_62052),
+	.Y(n_10117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g777822 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[26]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[26]),
+	.C1(n_9882),
+	.X(n_10334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777824 (
+	.A(n_63049),
+	.B(n_10082),
+	.Y(n_10332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777825 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[14]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.C1(n_9952),
+	.Y(n_10331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g777826 (
+	.A_N(n_9630),
+	.B(n_8394),
+	.C(n_6400),
+	.D(n_9271),
+	.Y(n_10330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g777827 (
+	.A1(n_4746),
+	.A2(soc_top_u_top_u_core_csr_mtvec[9]),
+	.B1(n_9891),
+	.Y(n_10329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777828 (
+	.A(n_9892),
+	.B(n_9249),
+	.C(n_5037),
+	.D(n_5629),
+	.Y(n_10328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777829 (
+	.A(n_9911),
+	.B(n_6237),
+	.C(n_3809),
+	.Y(n_10327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g777830 (
+	.A(n_10062),
+	.B(n_3826),
+	.C(n_9417),
+	.Y(n_10326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g777831 (
+	.A(n_8983),
+	.B(n_10057),
+	.C_N(n_1929),
+	.Y(n_10325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777832 (
+	.A(n_9628),
+	.B(n_9246),
+	.C(n_9247),
+	.D(n_6186),
+	.Y(n_10324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777833 (
+	.A(n_9772),
+	.B(n_8373),
+	.C(n_2116),
+	.D(n_4979),
+	.Y(n_10323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777834 (
+	.A(n_8390),
+	.B(n_9302),
+	.C(n_5067),
+	.D(n_9602),
+	.Y(n_10322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777835 (
+	.A1(n_1299),
+	.A2(n_30019),
+	.B1(n_10074),
+	.Y(n_10321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g777837 (
+	.A(n_9907),
+	.B(n_8402),
+	.C(n_9284),
+	.D(n_6250),
+	.Y(n_10319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777839 (
+	.A1(n_4746),
+	.A2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[31]),
+	.C1(n_9878),
+	.Y(n_10315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777840 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[28]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[28]),
+	.C1(n_9881),
+	.Y(n_10314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g777841 (
+	.A1(n_9759),
+	.A2(n_2805),
+	.B1_N(n_9647),
+	.Y(n_10312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777842 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[25]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.C1(n_9883),
+	.Y(n_10311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777843 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[24]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[24]),
+	.C1(n_9884),
+	.Y(n_10310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777844 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[23]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[23]),
+	.C1(n_9885),
+	.Y(n_10309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777845 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[22]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[22]),
+	.C1(n_9886),
+	.Y(n_10308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777846 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[19]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[19]),
+	.C1(n_9887),
+	.Y(n_10307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777847 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[18]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.C1(n_9888),
+	.Y(n_10306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g777848 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[16]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[16]),
+	.C1(n_9889),
+	.Y(n_10305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g777849 (
+	.A1(n_9378),
+	.A2(n_2103),
+	.B1(n_10054),
+	.X(n_10304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g777850 (
+	.A(n_10044),
+	.B(n_30733),
+	.Y(n_10303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g777851 (
+	.A1(n_69516),
+	.A2(n_1598),
+	.B1(n_9890),
+	.Y(n_10302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g777852 (
+	.A1(n_30809),
+	.A2(n_2879),
+	.B1(n_10071),
+	.X(n_10301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g777853 (
+	.A(n_10039),
+	.B(n_9718),
+	.X(n_10300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g777854 (
+	.A(n_29977),
+	.B(n_9720),
+	.X(n_10299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g777856 (
+	.A(n_5014),
+	.B(n_10043),
+	.Y(n_10297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g777857 (
+	.A(n_10063),
+	.B(n_5661),
+	.X(n_10295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g777858 (
+	.A1(n_3375),
+	.A2(n_33203),
+	.B1(n_4048),
+	.C1(n_9648),
+	.D1(n_2363),
+	.X(n_10294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g777860 (
+	.A1(n_4059),
+	.A2(n_9400),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B2(n_9745),
+	.X(n_10291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g779080 (
+	.A(n_29976),
+	.Y(n_10085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g779082 (
+	.A(n_10076),
+	.Y(n_10077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g779083 (
+	.A(n_10060),
+	.Y(n_10061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g779084 (
+	.A(n_66579),
+	.Y(n_10051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g779085 (
+	.A(n_10050),
+	.Y(n_10049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g779086 (
+	.A(n_61181),
+	.Y(n_10047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g779087 (
+	.A(n_10046),
+	.Y(n_10045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g779088 (
+	.A(n_10044),
+	.Y(n_10043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g779090 (
+	.A(n_10037),
+	.Y(n_10036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g779091 (
+	.A(n_10030),
+	.Y(n_10031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g779092 (
+	.A(n_10029),
+	.Y(n_10028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g779093 (
+	.A1(n_744),
+	.A2(n_6658),
+	.B1(n_135),
+	.B2(n_9379),
+	.Y(n_10023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g779094 (
+	.A(n_129),
+	.B(n_9278),
+	.C(soc_top_u_pwm_pwm_core_period_2[4]),
+	.X(n_10022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g779095 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.A2(n_157),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.B2(n_129),
+	.C1(n_9279),
+	.Y(n_10021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g779096 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.X(n_10020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g779097 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.X(n_10019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g779098 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.X(n_10018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779099 (
+	.A(n_9638),
+	.B(n_76730),
+	.Y(n_10017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779100 (
+	.A(n_9638),
+	.B(n_26793),
+	.Y(n_10016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779101 (
+	.A(n_9638),
+	.B(n_26794),
+	.Y(n_10015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779102 (
+	.A(n_9638),
+	.B(n_76734),
+	.Y(n_10014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779103 (
+	.A(n_9638),
+	.B(n_26796),
+	.Y(n_10013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779104 (
+	.A(n_9638),
+	.B(n_26797),
+	.Y(n_10012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779105 (
+	.A(n_9638),
+	.B(n_26798),
+	.Y(n_10011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779106 (
+	.A(n_9638),
+	.B(n_26801),
+	.Y(n_10010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779107 (
+	.A(n_9638),
+	.B(n_26802),
+	.Y(n_10009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779108 (
+	.A(n_9638),
+	.B(n_26803),
+	.Y(n_10008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779109 (
+	.A(n_9638),
+	.B(n_26804),
+	.Y(n_10007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779110 (
+	.A(n_9638),
+	.B(n_26805),
+	.Y(n_10006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779111 (
+	.A(n_9638),
+	.B(n_26806),
+	.Y(n_10005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779112 (
+	.A(n_9638),
+	.B(n_26808),
+	.Y(n_10004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779113 (
+	.A(n_9638),
+	.B(n_26809),
+	.Y(n_10003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779114 (
+	.A(n_9638),
+	.B(n_26810),
+	.Y(n_10002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779115 (
+	.A(n_9638),
+	.B(n_26811),
+	.Y(n_10001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779116 (
+	.A(n_9638),
+	.B(n_26812),
+	.Y(n_10000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779117 (
+	.A(n_9638),
+	.B(n_26813),
+	.Y(n_9999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779118 (
+	.A(n_9638),
+	.B(n_26817),
+	.Y(n_9998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g779119 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B_N(n_9753),
+	.Y(n_9997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779120 (
+	.A1(n_6659),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B1(n_9378),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_9996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779121 (
+	.A1(n_9114),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.B1(n_1285),
+	.B2(n_25597),
+	.C1(n_9440),
+	.X(n_9995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g779123 (
+	.A1(n_8961),
+	.A2(n_25554),
+	.A3(soc_top_u_top_u_core_alu_operand_b_ex[31]),
+	.B1(n_9384),
+	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
+	.Y(n_9993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g779124 (
+	.A1(n_9010),
+	.A2(n_8952),
+	.B1_N(n_27443),
+	.Y(n_9992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779125 (
+	.A1(n_9380),
+	.A2(n_25672),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_9991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779126 (
+	.A1(n_9380),
+	.A2(n_25671),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_9990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779127 (
+	.A1(n_9380),
+	.A2(n_25670),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_9989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779128 (
+	.A1(n_9380),
+	.A2(n_25669),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_9988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779129 (
+	.A1(n_9380),
+	.A2(n_25667),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_9987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779130 (
+	.A1(n_9380),
+	.A2(n_25666),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_9986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g779131 (
+	.A(n_9731),
+	.B(n_9767),
+	.X(n_9985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779132 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B1(n_9380),
+	.B2(n_25663),
+	.Y(n_9984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g779133 (
+	.A(n_9731),
+	.B_N(n_6686),
+	.Y(n_9983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779134 (
+	.A1(n_9384),
+	.A2(n_63618),
+	.B1(n_9380),
+	.B2(n_25661),
+	.Y(n_9982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779135 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B1(n_9380),
+	.B2(n_25660),
+	.Y(n_9981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779136 (
+	.A1(n_1316),
+	.A2(n_1138),
+	.B1(n_9723),
+	.Y(n_9980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779137 (
+	.A1(n_9384),
+	.A2(n_67809),
+	.B1(n_9380),
+	.B2(n_25659),
+	.Y(n_9979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779138 (
+	.A1(n_9384),
+	.A2(n_33201),
+	.B1(n_9380),
+	.B2(n_25656),
+	.Y(n_9978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779139 (
+	.A1(n_1327),
+	.A2(n_1472),
+	.B1(n_9723),
+	.Y(n_9977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779140 (
+	.A1(n_1319),
+	.A2(n_1197),
+	.B1(n_9723),
+	.Y(n_9976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779141 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [13]),
+	.B1(n_9380),
+	.B2(n_25655),
+	.Y(n_9975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779142 (
+	.A1(n_1314),
+	.A2(n_1456),
+	.B1(n_9723),
+	.Y(n_9974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779143 (
+	.A1(n_1313),
+	.A2(n_1162),
+	.B1(n_9723),
+	.Y(n_9973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779144 (
+	.A(n_9743),
+	.B(n_29980),
+	.Y(n_9972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779145 (
+	.A1(n_1307),
+	.A2(n_1485),
+	.B1(n_9723),
+	.Y(n_9971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779146 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [12]),
+	.B1(n_9380),
+	.B2(n_25654),
+	.Y(n_9970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779147 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B1(n_9380),
+	.B2(n_25653),
+	.Y(n_9969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779148 (
+	.A1(n_1315),
+	.A2(n_1539),
+	.B1(n_9723),
+	.Y(n_9968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779149 (
+	.A1(n_1312),
+	.A2(n_1541),
+	.B1(n_9723),
+	.Y(n_9967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779151 (
+	.A1(n_9384),
+	.A2(\soc_top_lsu_to_xbar[a_address] [10]),
+	.B1(n_9380),
+	.B2(n_25652),
+	.Y(n_9965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779152 (
+	.A1(n_1335),
+	.A2(n_1530),
+	.B1(n_9723),
+	.Y(n_9964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779153 (
+	.A1(n_1332),
+	.A2(n_1177),
+	.B1(n_9723),
+	.Y(n_9963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779154 (
+	.A1(n_1331),
+	.A2(n_1163),
+	.B1(n_9723),
+	.Y(n_9962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779155 (
+	.A1(n_1333),
+	.A2(n_1128),
+	.B1(n_9723),
+	.Y(n_9961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779156 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [7]),
+	.B1(n_9380),
+	.B2(n_25649),
+	.Y(n_9960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779157 (
+	.A1(n_1324),
+	.A2(n_1542),
+	.B1(n_9723),
+	.Y(n_9959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779158 (
+	.A1(n_1320),
+	.A2(n_1203),
+	.B1(n_9723),
+	.Y(n_9958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779159 (
+	.A1(n_1329),
+	.A2(n_1158),
+	.B1(n_9723),
+	.Y(n_9957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779160 (
+	.A1(n_1326),
+	.A2(n_1199),
+	.B1(n_9723),
+	.Y(n_9956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g779161 (
+	.A(n_6684),
+	.B(n_9767),
+	.Y(n_9955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779162 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [6]),
+	.B1(n_9380),
+	.B2(n_25648),
+	.Y(n_9954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g779163 (
+	.A(n_546),
+	.B(n_9636),
+	.Y(n_9953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779164 (
+	.A(n_6173),
+	.B(n_9276),
+	.C(n_5066),
+	.D(n_5065),
+	.Y(n_9952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779165 (
+	.A(n_9303),
+	.B(n_4094),
+	.C(n_4095),
+	.D(n_4096),
+	.Y(n_9951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779166 (
+	.A1(n_9384),
+	.A2(n_33203),
+	.B1(n_9380),
+	.B2(n_25647),
+	.Y(n_9950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779167 (
+	.A(n_5732),
+	.B(n_4148),
+	.C(n_8531),
+	.D(n_4147),
+	.Y(n_9949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779168 (
+	.A(n_5731),
+	.B(n_4093),
+	.C(n_8530),
+	.D(n_4145),
+	.Y(n_9948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779169 (
+	.A(n_5729),
+	.B(n_4142),
+	.C(n_8529),
+	.D(n_4141),
+	.Y(n_9947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779170 (
+	.A(n_5728),
+	.B(n_4139),
+	.C(n_8528),
+	.D(n_4097),
+	.Y(n_9946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779171 (
+	.A(n_5727),
+	.B(n_4137),
+	.C(n_8527),
+	.D(n_4136),
+	.Y(n_9945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779172 (
+	.A(n_5726),
+	.B(n_4135),
+	.C(n_8526),
+	.D(n_4134),
+	.Y(n_9944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779173 (
+	.A(n_5724),
+	.B(n_4131),
+	.C(n_8525),
+	.D(n_4133),
+	.Y(n_9943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779174 (
+	.A(n_5187),
+	.B(n_4130),
+	.C(n_8524),
+	.D(n_4129),
+	.Y(n_9942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779175 (
+	.A(n_5723),
+	.B(n_4127),
+	.C(n_8523),
+	.D(n_4103),
+	.Y(n_9941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779176 (
+	.A(n_5721),
+	.B(n_4122),
+	.C(n_8521),
+	.D(n_4106),
+	.Y(n_9940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779177 (
+	.A(n_5722),
+	.B(n_4126),
+	.C(n_8522),
+	.D(n_4125),
+	.Y(n_9939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779178 (
+	.A(n_5720),
+	.B(n_4107),
+	.C(n_8520),
+	.D(n_4120),
+	.Y(n_9938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779179 (
+	.A(n_5717),
+	.B(n_4115),
+	.C(n_8518),
+	.D(n_4113),
+	.Y(n_9937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779180 (
+	.A(n_5718),
+	.B(n_4118),
+	.C(n_8519),
+	.D(n_4117),
+	.Y(n_9936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779181 (
+	.A(n_5715),
+	.B(n_4111),
+	.C(n_8517),
+	.D(n_4110),
+	.Y(n_9935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779182 (
+	.A(n_9539),
+	.B(n_5166),
+	.C(n_4205),
+	.D(n_5110),
+	.Y(n_9934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779183 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.C1(n_9626),
+	.X(n_9933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779184 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.C1(n_9625),
+	.X(n_9932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779185 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.C1(n_9624),
+	.X(n_9931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779186 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.C1(n_9623),
+	.X(n_9930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779187 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.C1(n_9622),
+	.X(n_9929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779188 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.C1(n_9621),
+	.X(n_9928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779189 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.C1(n_9619),
+	.X(n_9927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779190 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.C1(n_9620),
+	.X(n_9926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779191 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.C1(n_9618),
+	.X(n_9925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779192 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.C1(n_9617),
+	.X(n_9924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779193 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.C1(n_9616),
+	.X(n_9923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779194 (
+	.A1(n_2383),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.B1(n_1264),
+	.B2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.C1(n_9615),
+	.X(n_9922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g779195 (
+	.A1(n_6699),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B1(n_5890),
+	.C1(n_8982),
+	.X(n_9921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779196 (
+	.A(n_8392),
+	.B(n_6228),
+	.C(n_8368),
+	.D(n_5630),
+	.Y(n_9920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779197 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [4]),
+	.B1(n_9380),
+	.B2(n_25646),
+	.Y(n_9919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779198 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[3]),
+	.B1(n_62074),
+	.Y(n_9918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g779199 (
+	.A1(n_30724),
+	.A2(n_5172),
+	.B1_N(n_9644),
+	.Y(n_9917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g779200 (
+	.A1(n_9400),
+	.A2(n_2448),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.Y(n_9916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g779201 (
+	.A1(n_9399),
+	.A2(n_4059),
+	.B1(n_61025),
+	.X(n_9915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g779202 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[0]),
+	.B1_N(n_9730),
+	.Y(n_9914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779203 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[16]),
+	.B1(n_61530),
+	.Y(n_9913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779205 (
+	.A1(n_4482),
+	.A2(n_29255),
+	.B1(n_9542),
+	.Y(n_9911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779206 (
+	.A1(n_1653),
+	.A2(n_3447),
+	.B1(n_9585),
+	.Y(n_9910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g779207 (
+	.A1(soc_top_u_pwm_pwm_core_period[4]),
+	.A2(n_156),
+	.B1(n_1369),
+	.C1(n_9372),
+	.Y(n_9909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779208 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [3]),
+	.B1(n_9380),
+	.B2(n_25645),
+	.Y(n_9908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g779209 (
+	.A1(n_4482),
+	.A2(n_29252),
+	.B1(n_4978),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[0]),
+	.C1(n_9614),
+	.Y(n_9907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779210 (
+	.A(n_9142),
+	.B(n_9140),
+	.C(n_9141),
+	.Y(n_9906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779211 (
+	.A(n_9172),
+	.B(n_9171),
+	.C(n_9170),
+	.Y(n_9905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779212 (
+	.A(n_9187),
+	.B(n_9186),
+	.C(n_9185),
+	.Y(n_9904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779213 (
+	.A(n_9202),
+	.B(n_9201),
+	.C(n_9200),
+	.Y(n_9903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g779214 (
+	.A1(n_767),
+	.A2(n_9379),
+	.B1(n_9407),
+	.Y(n_9902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g779215 (
+	.A1(n_61483),
+	.A2(n_5367),
+	.B1(n_5331),
+	.C1(n_63174),
+	.Y(n_9901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779216 (
+	.A(n_9157),
+	.B(n_9156),
+	.C(n_9155),
+	.Y(n_9900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g779217 (
+	.A(n_9217),
+	.B(n_9216),
+	.C(n_9215),
+	.Y(n_9899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g779218 (
+	.A1(n_62693),
+	.A2(n_6688),
+	.B1(n_9758),
+	.X(n_9898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779219 (
+	.A1(n_9384),
+	.A2(\soc_top_xbar_to_timer[a_address] [2]),
+	.B1(n_9380),
+	.B2(n_25644),
+	.Y(n_9897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g779220 (
+	.A1(n_1345),
+	.A2(n_122),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.B1(n_2488),
+	.B2(n_9108),
+	.X(n_9896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g779221 (
+	.A_N(n_9648),
+	.B(n_6026),
+	.C(n_4050),
+	.Y(n_9895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779222 (
+	.A1(n_9442),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_9894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g779224 (
+	.A1(n_4744),
+	.A2(soc_top_u_top_u_core_csr_mepc[6]),
+	.B1(n_9591),
+	.Y(n_9892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779225 (
+	.A(n_8393),
+	.B(n_6180),
+	.C(n_5062),
+	.D(n_9275),
+	.Y(n_9891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779226 (
+	.A(n_8403),
+	.B(n_9251),
+	.C(n_35676),
+	.D(n_3774),
+	.Y(n_9890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779227 (
+	.A1(n_4482),
+	.A2(n_29264),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [16]),
+	.C1(n_9536),
+	.X(n_9889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779228 (
+	.A1(n_4482),
+	.A2(n_29266),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [18]),
+	.C1(n_9535),
+	.X(n_9888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779229 (
+	.A1(n_4482),
+	.A2(n_29267),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [19]),
+	.C1(n_9534),
+	.X(n_9887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779230 (
+	.A1(n_4482),
+	.A2(n_29270),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [22]),
+	.C1(n_9533),
+	.X(n_9886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779231 (
+	.A1(n_4482),
+	.A2(n_29271),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [23]),
+	.C1(n_9532),
+	.X(n_9885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779232 (
+	.A1(n_4482),
+	.A2(n_29272),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [24]),
+	.C1(n_9531),
+	.X(n_9884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779233 (
+	.A1(n_4482),
+	.A2(n_29273),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [25]),
+	.C1(n_9530),
+	.X(n_9883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779234 (
+	.A1(n_4482),
+	.A2(n_29274),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [26]),
+	.C1(n_9529),
+	.X(n_9882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779235 (
+	.A1(n_4482),
+	.A2(n_29276),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [28]),
+	.C1(n_9528),
+	.X(n_9881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g779236 (
+	.A_N(n_2878),
+	.B(n_9643),
+	.C(n_68027),
+	.X(n_9880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g779237 (
+	.A1(n_4482),
+	.A2(n_29278),
+	.B1(n_4486),
+	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[14]),
+	.C1(n_29987),
+	.Y(n_9879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779238 (
+	.A1(n_4482),
+	.A2(n_29279),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [31]),
+	.C1(n_9526),
+	.X(n_9878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779239 (
+	.A1(n_8933),
+	.A2(n_66208),
+	.B1(n_9459),
+	.Y(n_9877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779242 (
+	.A1(n_8933),
+	.A2(n_489),
+	.B1(n_9456),
+	.Y(n_9874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779243 (
+	.A1(n_8933),
+	.A2(n_504),
+	.B1(n_9455),
+	.Y(n_9873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779247 (
+	.A1(n_8933),
+	.A2(n_498),
+	.B1(n_9582),
+	.Y(n_9869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779249 (
+	.A(n_9022),
+	.B(n_9023),
+	.C(n_8659),
+	.Y(n_9867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g779250 (
+	.A(n_9590),
+	.B(n_9024),
+	.Y(n_9866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779251 (
+	.A(n_9026),
+	.B(n_9025),
+	.C(n_8658),
+	.Y(n_9865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779253 (
+	.A1(n_8933),
+	.A2(n_495),
+	.B1(n_9593),
+	.Y(n_9863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779254 (
+	.A1(n_8933),
+	.A2(n_1091),
+	.B1(n_9595),
+	.Y(n_9862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779255 (
+	.A1(n_8933),
+	.A2(n_1085),
+	.B1(n_9596),
+	.Y(n_9861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779262 (
+	.A(n_9042),
+	.B(n_9041),
+	.C(n_8649),
+	.Y(n_9854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779264 (
+	.A(n_9046),
+	.B(n_9045),
+	.C(n_8647),
+	.Y(n_9852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779265 (
+	.A1(n_8915),
+	.A2(n_1081),
+	.B1(n_9599),
+	.Y(n_9851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779266 (
+	.A(n_9048),
+	.B(n_9047),
+	.C(n_8645),
+	.Y(n_9850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779267 (
+	.A1(n_8933),
+	.A2(n_66209),
+	.B1(n_9604),
+	.Y(n_9849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779268 (
+	.A(n_9050),
+	.B(n_9049),
+	.C(n_8643),
+	.Y(n_9848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779269 (
+	.A1(n_8915),
+	.A2(n_66209),
+	.B1(n_9605),
+	.Y(n_9847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779270 (
+	.A(n_9051),
+	.B(n_9052),
+	.C(n_8641),
+	.Y(n_9846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779271 (
+	.A1(n_8933),
+	.A2(n_494),
+	.B1(n_9606),
+	.Y(n_9845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779272 (
+	.A(n_9053),
+	.B(n_9054),
+	.C(n_8639),
+	.Y(n_9844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779273 (
+	.A(n_9056),
+	.B(n_9055),
+	.C(n_8638),
+	.Y(n_9843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779275 (
+	.A(n_9060),
+	.B(n_9059),
+	.C(n_8636),
+	.Y(n_9841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779277 (
+	.A(n_9064),
+	.B(n_9063),
+	.C(n_8634),
+	.Y(n_9839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779278 (
+	.A(n_9066),
+	.B(n_9065),
+	.C(n_8633),
+	.Y(n_9838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779279 (
+	.A(n_9068),
+	.B(n_9067),
+	.C(n_8632),
+	.Y(n_9837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779280 (
+	.A(n_9069),
+	.B(n_9070),
+	.C(n_8631),
+	.Y(n_9836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779281 (
+	.A(n_9072),
+	.B(n_9071),
+	.C(n_8630),
+	.Y(n_9835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779282 (
+	.A(n_9073),
+	.B(n_9074),
+	.C(n_8629),
+	.Y(n_9834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779283 (
+	.A(n_9075),
+	.B(n_9076),
+	.C(n_8628),
+	.Y(n_9833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779284 (
+	.A(n_9077),
+	.B(n_9078),
+	.C(n_8627),
+	.Y(n_9832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779285 (
+	.A(n_9079),
+	.B(n_9080),
+	.C(n_8626),
+	.Y(n_9831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779286 (
+	.A(n_9081),
+	.B(n_9082),
+	.C(n_8625),
+	.Y(n_9830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779287 (
+	.A(n_9084),
+	.B(n_9083),
+	.C(n_8624),
+	.Y(n_9829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779288 (
+	.A(n_9086),
+	.B(n_9085),
+	.C(n_8623),
+	.Y(n_9828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779289 (
+	.A(n_9087),
+	.B(n_9088),
+	.C(n_8622),
+	.Y(n_9827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g779290 (
+	.A(n_9089),
+	.B(n_9090),
+	.C(n_8621),
+	.Y(n_9826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779291 (
+	.A(n_9091),
+	.B(n_9092),
+	.C(n_8620),
+	.Y(n_9825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779292 (
+	.A(n_9094),
+	.B(n_9093),
+	.C(n_8619),
+	.Y(n_9824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779293 (
+	.A(n_9095),
+	.B(n_9096),
+	.C(n_8618),
+	.Y(n_9823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779295 (
+	.A1(n_27482),
+	.A2(n_6656),
+	.B1(n_27481),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_9821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779296 (
+	.A1(n_27483),
+	.A2(n_6656),
+	.B1(n_27482),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.Y(n_9820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779297 (
+	.A1(n_27484),
+	.A2(n_6656),
+	.B1(n_27483),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.Y(n_9819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779298 (
+	.A1(n_27485),
+	.A2(n_6656),
+	.B1(n_27484),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.Y(n_9818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779299 (
+	.A1(n_27486),
+	.A2(n_6656),
+	.B1(n_27485),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.Y(n_9817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779300 (
+	.A1(n_27487),
+	.A2(n_6656),
+	.B1(n_27486),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.Y(n_9816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779301 (
+	.A1(n_27488),
+	.A2(n_6656),
+	.B1(n_27487),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_9815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779302 (
+	.A1(n_27489),
+	.A2(n_6656),
+	.B1(n_27488),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.Y(n_9814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779303 (
+	.A1(n_27490),
+	.A2(n_6656),
+	.B1(n_27489),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.Y(n_9813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779304 (
+	.A1(n_27491),
+	.A2(n_6656),
+	.B1(n_27490),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.Y(n_9812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779305 (
+	.A1(n_27492),
+	.A2(n_6656),
+	.B1(n_27491),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_9811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779306 (
+	.A1(n_27493),
+	.A2(n_6656),
+	.B1(n_27492),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.Y(n_9810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779307 (
+	.A1(n_27494),
+	.A2(n_6656),
+	.B1(n_27493),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_9809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779308 (
+	.A1(n_27495),
+	.A2(n_6656),
+	.B1(n_27494),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_9808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779309 (
+	.A1(n_27496),
+	.A2(n_6656),
+	.B1(n_27495),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.Y(n_9807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779310 (
+	.A1(n_29669),
+	.A2(n_6656),
+	.B1(n_27496),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.Y(n_9806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779311 (
+	.A1(n_27498),
+	.A2(n_6656),
+	.B1(n_29669),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.Y(n_9805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779312 (
+	.A1(n_27499),
+	.A2(n_6656),
+	.B1(n_27498),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.Y(n_9804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779313 (
+	.A1(n_27500),
+	.A2(n_6656),
+	.B1(n_27499),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.Y(n_9803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779314 (
+	.A1(n_27501),
+	.A2(n_6656),
+	.B1(n_27500),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.Y(n_9802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779315 (
+	.A1(n_27502),
+	.A2(n_6656),
+	.B1(n_27501),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.Y(n_9801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779316 (
+	.A1(n_27503),
+	.A2(n_6656),
+	.B1(n_27502),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.Y(n_9800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779317 (
+	.A1(n_27504),
+	.A2(n_6656),
+	.B1(n_27503),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.Y(n_9799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779318 (
+	.A1(n_27505),
+	.A2(n_6656),
+	.B1(n_27504),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_9798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779319 (
+	.A1(n_27506),
+	.A2(n_6656),
+	.B1(n_27505),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.Y(n_9797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779320 (
+	.A1(n_29668),
+	.A2(n_6656),
+	.B1(n_27506),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.Y(n_9796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779321 (
+	.A1(n_27508),
+	.A2(n_6656),
+	.B1(n_29668),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.Y(n_9795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779322 (
+	.A1(n_27509),
+	.A2(n_6656),
+	.B1(n_27508),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.Y(n_9794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g779323 (
+	.A1(n_2525),
+	.A2(n_6012),
+	.B1(n_27509),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.Y(n_9793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g779325 (
+	.A1(n_3362),
+	.A2(\soc_top_intr_controller_reg2hw[prio19][q] [0]),
+	.A3(n_3347),
+	.B1(n_2362),
+	.B2(n_9106),
+	.Y(n_9791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g779326 (
+	.A1(n_3362),
+	.A2(\soc_top_intr_controller_reg2hw[prio19][q] [1]),
+	.A3(n_3347),
+	.B1(n_2362),
+	.B2(n_9107),
+	.Y(n_9790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g779327 (
+	.A_N(n_6672),
+	.B(n_61182),
+	.C(n_61513),
+	.Y(n_9789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g779328 (
+	.A1(n_9384),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_9380),
+	.B2(n_25643),
+	.Y(n_9788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779329 (
+	.A1(n_26082),
+	.A2(n_8929),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.C1(n_9098),
+	.X(n_9787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g779330 (
+	.A1(n_9401),
+	.A2(n_61484),
+	.B1_N(n_9406),
+	.Y(n_9786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g779331 (
+	.A(n_9143),
+	.B(n_9146),
+	.C(n_9144),
+	.D(n_9145),
+	.Y(n_9785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g779332 (
+	.A(n_9173),
+	.B(n_9176),
+	.C(n_9174),
+	.D(n_9175),
+	.Y(n_9784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g779333 (
+	.A(n_9188),
+	.B(n_9191),
+	.C(n_9190),
+	.D(n_9189),
+	.Y(n_9783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g779334 (
+	.A1(n_8915),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.B1(n_8616),
+	.C1(n_67206),
+	.Y(n_9782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g779336 (
+	.A1(n_3810),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[2]),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[2]),
+	.C1(n_9695),
+	.Y(n_9780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g779337 (
+	.A(n_6181),
+	.B(n_9250),
+	.C(n_5045),
+	.D(n_3490),
+	.Y(n_9779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g779338 (
+	.A(n_9252),
+	.B(n_2964),
+	.C(n_5064),
+	.Y(n_9778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g779339 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.A2(n_8915),
+	.B1(n_9460),
+	.Y(n_9777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g779340 (
+	.A1(n_8915),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B1(n_9611),
+	.Y(n_9776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g779341 (
+	.A1(n_6697),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.B1(n_9744),
+	.X(n_9775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g779342 (
+	.A1(n_25188),
+	.A2(n_2442),
+	.B1(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.B2(n_8992),
+	.C1(n_2529),
+	.X(n_9774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g779343 (
+	.A1(n_62239),
+	.A2(n_1349),
+	.A3(n_4289),
+	.B1(n_6412),
+	.B2(n_65193),
+	.Y(n_9773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g779344 (
+	.A_N(n_4984),
+	.B(n_8399),
+	.C(n_9262),
+	.D(n_8400),
+	.X(n_9772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g779345 (
+	.A1(n_25760),
+	.A2(n_8929),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [1]),
+	.C1(n_9102),
+	.X(n_9771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g779346 (
+	.A1(n_9402),
+	.A2(n_5323),
+	.A3(n_8968),
+	.B1(n_9405),
+	.B2(n_6690),
+	.Y(n_9770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g780003 (
+	.A0(n_9437),
+	.A1(n_6705),
+	.S(n_5014),
+	.X(n_10088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g780007 (
+	.A_N(n_9644),
+	.B(n_30724),
+	.Y(n_9769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780037 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B_N(n_9649),
+	.Y(n_10087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780056 (
+	.A(n_61183),
+	.B(n_5332),
+	.Y(n_10083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780057 (
+	.A(n_9760),
+	.B(n_6686),
+	.Y(n_10082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780058 (
+	.A(n_62693),
+	.B(n_9743),
+	.Y(n_10081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780081 (
+	.A(n_6690),
+	.B_N(n_61183),
+	.Y(n_10078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780084 (
+	.A(n_9253),
+	.B(n_9525),
+	.C(n_4637),
+	.D(n_4979),
+	.Y(n_10076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780127 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[27]),
+	.B1(n_4486),
+	.B2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[11]),
+	.C1(n_9588),
+	.Y(n_10075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g780142 (
+	.A1(n_8978),
+	.A2(n_4054),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B1(n_4183),
+	.Y(n_10074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g780146 (
+	.A1_N(n_3811),
+	.A2_N(n_6517),
+	.B1(n_3811),
+	.B2(n_9436),
+	.X(n_10073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g780148 (
+	.A(n_9424),
+	.B(n_9422),
+	.C(n_9429),
+	.Y(n_10072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780151 (
+	.A1(n_9110),
+	.A2(n_1588),
+	.B1(n_27096),
+	.B2(n_2879),
+	.X(n_10071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g780156 (
+	.A(n_9589),
+	.B(n_6216),
+	.C(n_5069),
+	.Y(n_10070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g780251 (
+	.A(n_62051),
+	.B(n_8969),
+	.C(n_9756),
+	.Y(n_10069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780264 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[17]),
+	.B1(n_4482),
+	.B2(n_29265),
+	.C1(n_9601),
+	.Y(n_10068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g780271 (
+	.A1(n_4499),
+	.A2(n_3811),
+	.A3(n_5883),
+	.B1(n_5014),
+	.B2(n_9432),
+	.X(n_10067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g780272 (
+	.A1(n_2463),
+	.A2(n_3811),
+	.A3(n_5883),
+	.B1(n_5014),
+	.B2(n_9435),
+	.X(n_10066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g780273 (
+	.A1(n_30733),
+	.A2(n_4047),
+	.A3(n_3811),
+	.B1(n_5014),
+	.B2(n_9434),
+	.X(n_10065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g780279 (
+	.A_N(n_9404),
+	.B(n_9734),
+	.Y(n_10064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 g780280 (
+	.A1(n_25343),
+	.A2(n_3383),
+	.A3(n_25342),
+	.B1(n_9104),
+	.C1(n_3357),
+	.X(n_10063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780281 (
+	.A(n_9651),
+	.B(n_27100),
+	.Y(n_10062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780282 (
+	.A(n_9729),
+	.B(n_62074),
+	.Y(n_10060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780283 (
+	.A(n_62074),
+	.B(n_61184),
+	.Y(n_10059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780284 (
+	.A(n_61184),
+	.B_N(n_9740),
+	.Y(n_10058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g780285 (
+	.A(n_9763),
+	.B(n_8965),
+	.X(n_10057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g780286 (
+	.A_N(n_6672),
+	.B(n_61183),
+	.Y(n_10056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780287 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B_N(n_9754),
+	.Y(n_10055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g780291 (
+	.A1(n_1577),
+	.A2(n_9379),
+	.B1(n_9407),
+	.Y(n_10054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g780294 (
+	.A1(n_64575),
+	.A2(n_8983),
+	.B1_N(n_61486),
+	.Y(n_10050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780296 (
+	.A(n_5323),
+	.B(n_9755),
+	.Y(n_10046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780297 (
+	.A(n_30724),
+	.B(n_9644),
+	.Y(n_10044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g780298 (
+	.A1_N(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.A2_N(n_9261),
+	.B1(n_816),
+	.B2(n_6200),
+	.X(n_10042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g780299 (
+	.A(n_9720),
+	.B(n_9723),
+	.X(n_10041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780301 (
+	.A(n_9736),
+	.B(n_679),
+	.Y(n_10039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g780302 (
+	.A(n_27236),
+	.B(n_9650),
+	.X(n_10037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g780303 (
+	.A(n_9642),
+	.B(n_27236),
+	.X(n_10035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g780305 (
+	.A_N(n_66054),
+	.B(n_9746),
+	.X(n_10033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g780306 (
+	.A(n_33043),
+	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.X(n_10032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g780307 (
+	.A1(n_8503),
+	.A2(n_9255),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_10030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41o_2 g780308 (
+	.A1(n_6687),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_n_7),
+	.A3(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.A4(n_158),
+	.B1(n_9254),
+	.X(n_10029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g780309 (
+	.A(n_9746),
+	.B(n_679),
+	.X(n_10027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g780310 (
+	.A(n_1290),
+	.B_N(n_9736),
+	.X(n_10026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g780311 (
+	.A(n_1290),
+	.B_N(n_9746),
+	.X(n_10025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g780312 (
+	.A_N(n_66054),
+	.B(n_9736),
+	.X(n_10024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780313 (
+	.A(n_9761),
+	.Y(n_9762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780315 (
+	.A(n_9748),
+	.Y(n_9747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g780316 (
+	.A(n_61530),
+	.Y(n_9743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g780321 (
+	.A(n_9721),
+	.Y(n_9720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g780322 (
+	.A(n_9719),
+	.Y(n_9718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780323 (
+	.A(n_4214),
+	.B(n_2109),
+	.C(n_6383),
+	.D(n_1790),
+	.Y(n_9715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780324 (
+	.A(n_4217),
+	.B(n_2122),
+	.C(n_6383),
+	.D(n_1793),
+	.Y(n_9714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780325 (
+	.A(n_4224),
+	.B(n_2147),
+	.C(n_6383),
+	.D(n_1794),
+	.Y(n_9713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780326 (
+	.A(n_4257),
+	.B(n_2148),
+	.C(n_6383),
+	.D(n_1772),
+	.Y(n_9712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780327 (
+	.A(n_4218),
+	.B(n_2113),
+	.C(n_6383),
+	.D(n_1815),
+	.Y(n_9711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780328 (
+	.A(n_4219),
+	.B(n_2129),
+	.C(n_6383),
+	.D(n_1819),
+	.Y(n_9710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780329 (
+	.A(n_4220),
+	.B(n_2119),
+	.C(n_6383),
+	.D(n_1799),
+	.Y(n_9709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780330 (
+	.A(n_4197),
+	.B(n_2087),
+	.C(n_6383),
+	.D(n_1821),
+	.Y(n_9708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780331 (
+	.A(n_4258),
+	.B(n_2082),
+	.C(n_6383),
+	.D(n_1808),
+	.Y(n_9707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780332 (
+	.A(n_4212),
+	.B(n_2143),
+	.C(n_6383),
+	.D(n_1811),
+	.Y(n_9706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780333 (
+	.A(n_4203),
+	.B(n_2085),
+	.C(n_6383),
+	.D(n_1776),
+	.Y(n_9705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780334 (
+	.A(n_4204),
+	.B(n_2156),
+	.C(n_6383),
+	.D(n_1806),
+	.Y(n_9704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780335 (
+	.A(n_4200),
+	.B(n_2086),
+	.C(n_6383),
+	.D(n_1817),
+	.Y(n_9703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780336 (
+	.A(n_4201),
+	.B(n_2088),
+	.C(n_6383),
+	.D(n_1777),
+	.Y(n_9702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780337 (
+	.A(n_4209),
+	.B(n_2099),
+	.C(n_6383),
+	.D(n_1773),
+	.Y(n_9701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780338 (
+	.A(n_4202),
+	.B(n_2134),
+	.C(n_6383),
+	.D(n_1775),
+	.Y(n_9700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780339 (
+	.A(n_4208),
+	.B(n_2101),
+	.C(n_6383),
+	.D(n_1796),
+	.Y(n_9699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780340 (
+	.A(n_546),
+	.B(n_9374),
+	.Y(n_9698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780342 (
+	.A(n_9401),
+	.B(n_8966),
+	.Y(n_9696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780343 (
+	.A(n_9248),
+	.B(n_5034),
+	.Y(n_9695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780344 (
+	.A(n_4262),
+	.B(n_2097),
+	.C(n_6383),
+	.D(n_4221),
+	.Y(n_9694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780345 (
+	.A(n_9399),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Y(n_9693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780346 (
+	.A(n_6406),
+	.B_N(n_9423),
+	.Y(n_9692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780347 (
+	.A(n_29985),
+	.B_N(n_9452),
+	.Y(n_9691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780348 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_9446),
+	.Y(n_9690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780350 (
+	.A(n_9382),
+	.B(n_25607),
+	.Y(n_9688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g780373 (
+	.A(n_9404),
+	.B(n_9439),
+	.X(n_9767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780374 (
+	.A(n_9398),
+	.B_N(n_9404),
+	.Y(n_9766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780375 (
+	.A(n_9406),
+	.B_N(n_61484),
+	.Y(n_9765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780376 (
+	.A(n_9404),
+	.B(n_5324),
+	.Y(n_9764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780377 (
+	.A(n_9401),
+	.B(n_2813),
+	.Y(n_9763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780378 (
+	.A(n_5323),
+	.B(n_61484),
+	.Y(n_9761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780379 (
+	.A(n_8968),
+	.B(n_9404),
+	.Y(n_9760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780380 (
+	.A(n_6672),
+	.B(n_9406),
+	.Y(n_9759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780383 (
+	.A(n_9408),
+	.B(n_9402),
+	.Y(n_9758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780384 (
+	.A(n_9402),
+	.B(n_8966),
+	.Y(n_9756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780390 (
+	.A(n_61003),
+	.B(n_1600),
+	.Y(n_9687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780392 (
+	.A(n_9441),
+	.B(n_8968),
+	.Y(n_9755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780397 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B_N(n_9442),
+	.Y(n_9754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780398 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B_N(n_9440),
+	.Y(n_9753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780430 (
+	.A(n_9404),
+	.B(n_3382),
+	.Y(n_9748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780433 (
+	.A(n_25270),
+	.B(n_9391),
+	.Y(n_9746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g780434 (
+	.A(n_9400),
+	.B(n_2448),
+	.Y(n_9745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780435 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[4]),
+	.B(n_6697),
+	.Y(n_9744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g780446 (
+	.A(n_6688),
+	.B(n_9398),
+	.X(n_9740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g780450 (
+	.A_N(n_9415),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[10]),
+	.Y(n_9739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g780452 (
+	.A_N(n_9416),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[10]),
+	.Y(n_9738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780461 (
+	.A(n_25225),
+	.B(n_9391),
+	.Y(n_9736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780469 (
+	.A(n_9439),
+	.B(n_9408),
+	.Y(n_9734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780482 (
+	.A(n_9441),
+	.B_N(n_8968),
+	.Y(n_9731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g780486 (
+	.A(n_64575),
+	.B(n_1929),
+	.C(n_1955),
+	.Y(n_9730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780487 (
+	.A(n_8970),
+	.B(n_9398),
+	.Y(n_9729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g780488 (
+	.A(n_63049),
+	.B(n_6684),
+	.Y(n_9726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g780514 (
+	.A(n_25222),
+	.B(n_9391),
+	.X(n_9723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g780516 (
+	.A_N(n_9391),
+	.B(n_1721),
+	.X(n_9722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g780517 (
+	.A(n_9392),
+	.B(n_1323),
+	.X(n_9721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g780518 (
+	.A(n_9392),
+	.B(n_1294),
+	.X(n_9719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g780519 (
+	.A(n_9391),
+	.B_N(n_2446),
+	.X(n_9717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g780520 (
+	.A(n_9391),
+	.B_N(n_1361),
+	.X(n_9716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780521 (
+	.A(n_9586),
+	.Y(n_9686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780522 (
+	.A(n_72808),
+	.Y(n_9685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780523 (
+	.A(n_9580),
+	.Y(n_9684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780524 (
+	.A(n_63144),
+	.Y(n_9683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780525 (
+	.A(n_9578),
+	.Y(n_9682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780526 (
+	.A(n_9577),
+	.Y(n_9681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780527 (
+	.A(n_9576),
+	.Y(n_9680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780528 (
+	.A(n_9575),
+	.Y(n_9679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780529 (
+	.A(n_9574),
+	.Y(n_9678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780530 (
+	.A(n_9573),
+	.Y(n_9677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780531 (
+	.A(n_9572),
+	.Y(n_9676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780532 (
+	.A(n_9571),
+	.Y(n_9675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780533 (
+	.A(n_9570),
+	.Y(n_9674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780534 (
+	.A(n_9569),
+	.Y(n_9673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780535 (
+	.A(n_9568),
+	.Y(n_9672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780536 (
+	.A(n_9567),
+	.Y(n_9671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780537 (
+	.A(n_9566),
+	.Y(n_9670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780538 (
+	.A(n_9565),
+	.Y(n_9669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780539 (
+	.A(n_9564),
+	.Y(n_9668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780540 (
+	.A(n_9563),
+	.Y(n_9667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780541 (
+	.A(n_9562),
+	.Y(n_9666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780542 (
+	.A(n_9561),
+	.Y(n_9665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780543 (
+	.A(n_9560),
+	.Y(n_9664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780544 (
+	.A(n_9559),
+	.Y(n_9663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780545 (
+	.A(n_9558),
+	.Y(n_9662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780546 (
+	.A(n_9557),
+	.Y(n_9661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780547 (
+	.A(n_9556),
+	.Y(n_9660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780548 (
+	.A(n_9555),
+	.Y(n_9659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780549 (
+	.A(n_9554),
+	.Y(n_9658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780550 (
+	.A(n_9553),
+	.Y(n_9657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780551 (
+	.A(n_9552),
+	.Y(n_9656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780552 (
+	.A(n_64728),
+	.Y(n_9655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g780553 (
+	.A(n_9550),
+	.Y(n_9654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g780557 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.B(n_8800),
+	.Y(n_9636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780558 (
+	.A(n_4216),
+	.B(n_2111),
+	.C(n_6383),
+	.D(n_1792),
+	.Y(n_9635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780559 (
+	.A(n_4215),
+	.B(n_2127),
+	.C(n_6383),
+	.D(n_1791),
+	.Y(n_9634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g780560 (
+	.A1(n_5602),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B1(n_5890),
+	.C1(n_6692),
+	.X(n_9633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g780561 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_8997),
+	.X(n_9632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780563 (
+	.A(n_6085),
+	.B(n_6235),
+	.C(n_8232),
+	.D(n_5643),
+	.Y(n_9630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g780564 (
+	.A1(n_3362),
+	.A2(n_3349),
+	.A3(\soc_top_intr_controller_reg2hw[prio27][q] [1]),
+	.B1(n_4180),
+	.C1(n_9112),
+	.Y(n_9629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780565 (
+	.A1(n_4482),
+	.A2(n_29253),
+	.B1(n_9286),
+	.Y(n_9628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780566 (
+	.A1(n_6456),
+	.A2(n_2377),
+	.B1(n_6281),
+	.B2(n_2374),
+	.C1(n_9127),
+	.Y(n_9627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780567 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.C1(n_8516),
+	.X(n_9626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780568 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.C1(n_8515),
+	.X(n_9625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780569 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.C1(n_8514),
+	.X(n_9624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780570 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.C1(n_8513),
+	.X(n_9623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780571 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.C1(n_8512),
+	.X(n_9622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780572 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.C1(n_8511),
+	.X(n_9621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780573 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.C1(n_8510),
+	.X(n_9620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780574 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.C1(n_8509),
+	.X(n_9619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780575 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.C1(n_8508),
+	.X(n_9618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780576 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.C1(n_8507),
+	.X(n_9617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780577 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.C1(n_8506),
+	.X(n_9616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780578 (
+	.A1(n_30058),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.B1(n_1575),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.C1(n_8505),
+	.X(n_9615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780579 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[0]),
+	.B1(n_3810),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcountinhibit_q[0]),
+	.C1(n_6712),
+	.X(n_9614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g780580 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.A2(n_882),
+	.A3(FE_DBTN74_n_29691),
+	.A4(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B1(n_9281),
+	.Y(n_9613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g780581 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_8998),
+	.X(n_9612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g780582 (
+	.A1(n_26081),
+	.A2(n_8929),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_9611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780583 (
+	.A(n_6405),
+	.B_N(n_9419),
+	.Y(n_9610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780584 (
+	.A1(n_5882),
+	.A2(n_4001),
+	.B1(n_9425),
+	.Y(n_9609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g780585 (
+	.A1(n_5883),
+	.A2(n_4011),
+	.B1(n_9428),
+	.X(n_9608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780586 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[1]),
+	.B1(n_61524),
+	.Y(n_9607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780587 (
+	.A1(n_25788),
+	.A2(n_29986),
+	.B1(n_8640),
+	.Y(n_9606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780588 (
+	.A1(n_25788),
+	.A2(n_8929),
+	.B1(n_8642),
+	.Y(n_9605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780589 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.A2(n_29986),
+	.B1(n_8644),
+	.Y(n_9604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780590 (
+	.A1(n_6388),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[ebreaks] ),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[13]),
+	.C1(n_3733),
+	.Y(n_9603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780591 (
+	.A1(n_6388),
+	.A2(soc_top_u_top_u_core_debug_ebreakm),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[15]),
+	.C1(n_3731),
+	.Y(n_9602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780592 (
+	.A(n_9100),
+	.B(n_8388),
+	.C(n_5730),
+	.D(n_6221),
+	.Y(n_9601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780593 (
+	.A1(n_2370),
+	.A2(n_6448),
+	.B1(n_2386),
+	.B2(n_6434),
+	.C1(n_6432),
+	.C2(n_2384),
+	.Y(n_9600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780594 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]),
+	.A2(n_8929),
+	.B1(n_8646),
+	.Y(n_9599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780595 (
+	.A1(n_8965),
+	.A2(n_5622),
+	.B1(n_9408),
+	.Y(n_9598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g780596 (
+	.A(n_61102),
+	.B(n_5001),
+	.C(n_9400),
+	.X(n_9597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780597 (
+	.A1(n_25773),
+	.A2(n_29986),
+	.B1(n_8656),
+	.Y(n_9596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780598 (
+	.A1_N(n_493),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
+	.Y(n_9595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g780599 (
+	.A(n_8950),
+	.B(n_5880),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.Y(n_9594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780600 (
+	.A1_N(n_483),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(n_25772),
+	.Y(n_9593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780601 (
+	.A1(n_6388),
+	.A2(soc_top_u_top_u_core_debug_single_step),
+	.B1(n_1675),
+	.B2(soc_top_u_top_u_core_cs_registers_i_tmatch_control_rdata[2]),
+	.C1(n_4984),
+	.Y(n_9592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780602 (
+	.A(n_6183),
+	.B(n_8395),
+	.C(n_4979),
+	.D(n_6182),
+	.Y(n_9591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g780603 (
+	.A1_N(n_1090),
+	.A2_N(n_6619),
+	.B1(n_8929),
+	.B2(n_25771),
+	.Y(n_9590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780604 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[21]),
+	.B1(n_4482),
+	.B2(n_29269),
+	.C1(n_9272),
+	.Y(n_9589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g780605 (
+	.A1(n_4482),
+	.A2(n_29275),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[27]),
+	.C1(n_9280),
+	.X(n_9588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780606 (
+	.A(n_8536),
+	.B(n_6149),
+	.C(n_4979),
+	.D(n_6207),
+	.Y(n_9587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780607 (
+	.A1(n_6691),
+	.A2(n_1586),
+	.B1(n_25596),
+	.B2(n_1285),
+	.C1(n_8376),
+	.C2(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.Y(n_9586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g780608 (
+	.A1(n_4510),
+	.A2(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.B1(n_4089),
+	.C1(n_8404),
+	.Y(n_9585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780609 (
+	.A1(n_2008),
+	.A2(n_1412),
+	.B1(n_3450),
+	.B2(n_1639),
+	.C1(n_9274),
+	.Y(n_9584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780610 (
+	.A1_N(n_500),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(n_25768),
+	.Y(n_9583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780611 (
+	.A1(n_73941),
+	.A2(n_29986),
+	.B1(n_8660),
+	.Y(n_9582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780613 (
+	.A1(n_27479),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.B2(n_5880),
+	.C1(n_6654),
+	.C2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.Y(n_9580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780615 (
+	.A1(n_27481),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.B2(n_5880),
+	.C1(n_6654),
+	.C2(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_9578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780616 (
+	.A1(n_27482),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.B2(n_5880),
+	.C1(\soc_top_xbar_to_timer[a_address] [4]),
+	.C2(n_6654),
+	.Y(n_9577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780617 (
+	.A1(n_27483),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.B2(n_5880),
+	.C1(n_33203),
+	.C2(n_6654),
+	.Y(n_9576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780618 (
+	.A1(n_27484),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.B2(n_5880),
+	.C1(\soc_top_xbar_to_timer[a_address] [6]),
+	.C2(n_6654),
+	.Y(n_9575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780619 (
+	.A1(n_27485),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.B2(n_5880),
+	.C1(\soc_top_xbar_to_timer[a_address] [7]),
+	.C2(n_6654),
+	.Y(n_9574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780620 (
+	.A1(n_27486),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.B2(n_5880),
+	.C1(\soc_top_xbar_to_timer[a_address] [8]),
+	.C2(n_6654),
+	.Y(n_9573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780621 (
+	.A1(n_27487),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.B2(n_5880),
+	.C1(n_33202),
+	.C2(n_6654),
+	.Y(n_9572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780622 (
+	.A1(n_27488),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.B2(n_5880),
+	.C1(\soc_top_lsu_to_xbar[a_address] [10]),
+	.C2(n_6654),
+	.Y(n_9571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780623 (
+	.A1(n_27489),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.B2(n_5880),
+	.C1(\soc_top_lsu_to_xbar[a_address] [11]),
+	.C2(n_6654),
+	.Y(n_9570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780624 (
+	.A1(n_27490),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.B2(n_5880),
+	.C1(\soc_top_lsu_to_xbar[a_address] [12]),
+	.C2(n_6654),
+	.Y(n_9569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780625 (
+	.A1(n_27491),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.B2(n_5880),
+	.C1(\soc_top_lsu_to_xbar[a_address] [13]),
+	.C2(n_6654),
+	.Y(n_9568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780626 (
+	.A1(n_27492),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.B2(n_5880),
+	.C1(n_33201),
+	.C2(n_6654),
+	.Y(n_9567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780627 (
+	.A1(n_27493),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.B2(n_5880),
+	.C1(n_61692),
+	.C2(n_6654),
+	.Y(n_9566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780628 (
+	.A1(n_27494),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.B2(n_5880),
+	.C1(\soc_top_lsu_to_xbar[a_address] [16]),
+	.C2(n_6654),
+	.Y(n_9565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780629 (
+	.A1(n_27495),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.B2(n_5880),
+	.C1(n_67809),
+	.C2(n_6654),
+	.Y(n_9564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780630 (
+	.A1(n_27496),
+	.A2(n_6655),
+	.B1(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B2(n_6654),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.Y(n_9563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780631 (
+	.A1(n_29669),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.B2(n_5880),
+	.C1(n_63618),
+	.C2(n_6654),
+	.Y(n_9562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780632 (
+	.A1(n_27498),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [20]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.Y(n_9561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780633 (
+	.A1(n_27499),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.Y(n_9560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780634 (
+	.A1(n_27500),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [22]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.Y(n_9559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780635 (
+	.A1(n_27501),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.Y(n_9558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780636 (
+	.A1(n_27502),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [24]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.Y(n_9557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780637 (
+	.A1(n_27503),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.Y(n_9556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780638 (
+	.A1(n_27504),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [26]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.Y(n_9555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780639 (
+	.A1(n_27505),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [27]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.Y(n_9554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780640 (
+	.A1(n_27506),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.Y(n_9553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780641 (
+	.A1(n_29668),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [29]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.Y(n_9552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780643 (
+	.A1(n_27509),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(\soc_top_lsu_to_xbar[a_address] [31]),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.Y(n_9550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g780645 (
+	.A1(n_8981),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_9548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g780646 (
+	.A1(n_8968),
+	.A2(n_5324),
+	.B1(n_8990),
+	.X(n_9547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g780647 (
+	.A1(n_5329),
+	.A2(n_8967),
+	.B1(n_8989),
+	.Y(n_9546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g780648 (
+	.A0(n_2907),
+	.A1(n_8381),
+	.S(soc_top_intr_controller_ip[32]),
+	.Y(n_9545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g780649 (
+	.A0(n_2911),
+	.A1(n_8380),
+	.S(soc_top_intr_controller_ip[34]),
+	.Y(n_9544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780650 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[4]),
+	.B1(n_4482),
+	.B2(n_29254),
+	.C1(n_9009),
+	.Y(n_9543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780651 (
+	.A(n_6184),
+	.B(n_8396),
+	.C(n_8397),
+	.D(n_5628),
+	.Y(n_9542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780652 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.B1(n_4740),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[20]),
+	.C1(n_9256),
+	.Y(n_9541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g780653 (
+	.A1(n_3828),
+	.A2(n_8408),
+	.B1(n_1374),
+	.B2(n_1387),
+	.C1(n_3841),
+	.Y(n_9540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780654 (
+	.A1(n_6407),
+	.A2(n_2362),
+	.B1(\soc_top_plic_resp[d_data] [0]),
+	.B2(n_2363),
+	.C1(n_3998),
+	.C2(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.Y(n_9539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g780655 (
+	.A1(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.A2(n_158),
+	.A3(n_6702),
+	.B1(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.B2(n_6261),
+	.Y(n_9538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g780656 (
+	.A1(n_2411),
+	.A2(n_5103),
+	.B1(n_4051),
+	.B2(n_6194),
+	.C1(n_6195),
+	.C2(n_4058),
+	.Y(n_9537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780657 (
+	.A(n_8500),
+	.B(n_6171),
+	.C(n_3809),
+	.D(n_6222),
+	.Y(n_9536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780658 (
+	.A(n_8499),
+	.B(n_6169),
+	.C(n_3809),
+	.D(n_6220),
+	.Y(n_9535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780659 (
+	.A(n_8498),
+	.B(n_6167),
+	.C(n_3809),
+	.D(n_6219),
+	.Y(n_9534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780660 (
+	.A(n_8496),
+	.B(n_6163),
+	.C(n_3809),
+	.D(n_6214),
+	.Y(n_9533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780661 (
+	.A(n_8495),
+	.B(n_6161),
+	.C(n_3809),
+	.D(n_6213),
+	.Y(n_9532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780662 (
+	.A(n_8494),
+	.B(n_6158),
+	.C(n_3809),
+	.D(n_6212),
+	.Y(n_9531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780663 (
+	.A(n_8493),
+	.B(n_6155),
+	.C(n_3809),
+	.D(n_6211),
+	.Y(n_9530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780664 (
+	.A(n_8492),
+	.B(n_6153),
+	.C(n_3809),
+	.D(n_6210),
+	.Y(n_9529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780665 (
+	.A(n_8491),
+	.B(n_6150),
+	.C(n_3809),
+	.D(n_6208),
+	.Y(n_9528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g780667 (
+	.A(n_6431),
+	.B(n_6147),
+	.C(n_3809),
+	.D(n_8385),
+	.Y(n_9526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g780668 (
+	.A1(n_6388),
+	.A2(soc_top_u_top_u_core_debug_ebreaku),
+	.B1(n_4482),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.C1(n_6515),
+	.Y(n_9525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g780669 (
+	.A1(n_8961),
+	.A2(n_3110),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_9524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g780670 (
+	.A1(n_8961),
+	.A2(n_3104),
+	.B1(n_4483),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_9523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780671 (
+	.A1(n_8955),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.B1(n_8953),
+	.B2(n_64176),
+	.X(n_9522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780672 (
+	.A1(n_8951),
+	.A2(n_27413),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.X(n_9521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780673 (
+	.A1(n_8955),
+	.A2(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B1(n_8953),
+	.B2(n_27413),
+	.X(n_9520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780674 (
+	.A1(n_8951),
+	.A2(n_27414),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.X(n_9519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780675 (
+	.A1(n_8955),
+	.A2(\soc_top_xbar_to_timer[a_address] [2]),
+	.B1(n_8953),
+	.B2(n_27414),
+	.X(n_9518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780676 (
+	.A1(n_8951),
+	.A2(n_27415),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.X(n_9517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780677 (
+	.A1(n_8955),
+	.A2(\soc_top_xbar_to_timer[a_address] [3]),
+	.B1(n_8953),
+	.B2(n_27415),
+	.X(n_9516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780678 (
+	.A1(n_8951),
+	.A2(n_27416),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.X(n_9515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780679 (
+	.A1(\soc_top_xbar_to_timer[a_address] [4]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27416),
+	.X(n_9514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780680 (
+	.A1(n_8951),
+	.A2(n_27417),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.X(n_9513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780681 (
+	.A1(n_33203),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27417),
+	.X(n_9512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780682 (
+	.A1(n_8951),
+	.A2(n_27418),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.X(n_9511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780683 (
+	.A1(\soc_top_xbar_to_timer[a_address] [6]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27418),
+	.X(n_9510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780684 (
+	.A1(n_8951),
+	.A2(n_27419),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.X(n_9509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780685 (
+	.A1(\soc_top_xbar_to_timer[a_address] [7]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27419),
+	.X(n_9508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780686 (
+	.A1(n_8951),
+	.A2(n_27420),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [8]),
+	.X(n_9507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780687 (
+	.A1(\soc_top_xbar_to_timer[a_address] [8]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27420),
+	.X(n_9506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780688 (
+	.A1(n_8951),
+	.A2(n_27421),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [9]),
+	.X(n_9505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780689 (
+	.A1(n_33202),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27421),
+	.X(n_9504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780690 (
+	.A1(n_8951),
+	.A2(n_27422),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [10]),
+	.X(n_9503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780691 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [10]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27422),
+	.X(n_9502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780692 (
+	.A1(n_8951),
+	.A2(n_27423),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.X(n_9501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780693 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [11]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27423),
+	.X(n_9500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780694 (
+	.A1(n_8951),
+	.A2(n_27424),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.X(n_9499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780695 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [12]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27424),
+	.X(n_9498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780696 (
+	.A1(n_8951),
+	.A2(n_27425),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.X(n_9497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780697 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [13]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27425),
+	.X(n_9496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780698 (
+	.A1(n_8951),
+	.A2(n_27426),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [14]),
+	.X(n_9495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780699 (
+	.A1(n_33201),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27426),
+	.X(n_9494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780700 (
+	.A1(n_8951),
+	.A2(n_27427),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [15]),
+	.X(n_9493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780701 (
+	.A1(n_61692),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27427),
+	.X(n_9492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780702 (
+	.A1(n_8951),
+	.A2(n_27428),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [16]),
+	.X(n_9491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780703 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [16]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27428),
+	.X(n_9490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780704 (
+	.A1(n_8951),
+	.A2(n_27429),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [17]),
+	.X(n_9489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780705 (
+	.A1(n_67809),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27429),
+	.X(n_9488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780706 (
+	.A1(n_8951),
+	.A2(n_27430),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [18]),
+	.X(n_9487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780707 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [18]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27430),
+	.X(n_9486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780708 (
+	.A1(n_8951),
+	.A2(n_27431),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [19]),
+	.X(n_9485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780709 (
+	.A1(n_63618),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27431),
+	.X(n_9484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780710 (
+	.A1(n_8951),
+	.A2(n_27432),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [20]),
+	.X(n_9483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780711 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [20]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27432),
+	.X(n_9482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780712 (
+	.A1(n_8951),
+	.A2(n_27433),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [21]),
+	.X(n_9481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780713 (
+	.A1(\soc_top_lsu_to_xbar[a_address] [21]),
+	.A2(n_8955),
+	.B1(n_8953),
+	.B2(n_27433),
+	.X(n_9480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780714 (
+	.A1(n_8951),
+	.A2(n_27434),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [22]),
+	.X(n_9479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780715 (
+	.A1_N(n_27434),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_155),
+	.Y(n_9478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780716 (
+	.A1(n_8951),
+	.A2(n_27435),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [23]),
+	.X(n_9477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780717 (
+	.A1_N(n_27435),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_799),
+	.Y(n_9476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780718 (
+	.A1(n_8951),
+	.A2(n_27436),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.X(n_9475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780719 (
+	.A1_N(n_27436),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_790),
+	.Y(n_9474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780720 (
+	.A1(n_8951),
+	.A2(n_64897),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.X(n_9473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780721 (
+	.A1_N(n_64897),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_165),
+	.Y(n_9472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780722 (
+	.A1(n_8951),
+	.A2(n_27438),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.X(n_9471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780723 (
+	.A1_N(n_27438),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_152),
+	.Y(n_9470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780724 (
+	.A1(n_8951),
+	.A2(n_27439),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.X(n_9469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780725 (
+	.A1_N(n_27439),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_163),
+	.Y(n_9468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780726 (
+	.A1(n_8951),
+	.A2(n_27440),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.X(n_9467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780727 (
+	.A1_N(n_27440),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_794),
+	.Y(n_9466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780728 (
+	.A1(n_8951),
+	.A2(n_27441),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.X(n_9465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780729 (
+	.A1_N(n_27441),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_162),
+	.Y(n_9464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780730 (
+	.A1(n_8951),
+	.A2(n_27442),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.X(n_9463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g780731 (
+	.A1_N(n_27442),
+	.A2_N(n_8953),
+	.B1(n_8954),
+	.B2(n_64731),
+	.Y(n_9462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g780732 (
+	.A1(n_8951),
+	.A2(n_27443),
+	.B1(n_8957),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.X(n_9461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g780733 (
+	.A1(n_8929),
+	.A2(n_25759),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [0]),
+	.Y(n_9460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g780734 (
+	.A1(n_29986),
+	.A2(n_25759),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [2]),
+	.Y(n_9459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780737 (
+	.A1_N(n_1089),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(n_25762),
+	.Y(n_9456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g780738 (
+	.A1(n_25763),
+	.A2(n_29986),
+	.B1(n_8662),
+	.Y(n_9455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780739 (
+	.A1_N(n_485),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(n_25765),
+	.Y(n_9454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g780740 (
+	.A1_N(n_487),
+	.A2_N(n_6619),
+	.B1(n_29986),
+	.B2(n_25766),
+	.Y(n_9453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g780741 (
+	.A1(n_3990),
+	.A2(n_8541),
+	.B1(n_6658),
+	.Y(n_9653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g780742 (
+	.A(n_1356),
+	.B(n_9417),
+	.C_N(n_2878),
+	.Y(n_9651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g780743 (
+	.A(n_62239),
+	.B(n_3433),
+	.C(n_1349),
+	.Y(n_9650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g780744 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.B(n_2512),
+	.C(n_9379),
+	.Y(n_9649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g780745 (
+	.A1(n_25293),
+	.A2(n_4186),
+	.B1(n_5801),
+	.C1(n_6257),
+	.D1(n_8384),
+	.Y(n_9648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g780746 (
+	.A(n_9101),
+	.B(n_8983),
+	.Y(n_9647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g780747 (
+	.A1(n_3370),
+	.A2(n_776),
+	.B1(n_30030),
+	.C1(n_3990),
+	.X(n_9644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g780748 (
+	.A(n_9417),
+	.B_N(n_4689),
+	.Y(n_9643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g780749 (
+	.A1(n_1589),
+	.A2(n_6175),
+	.B1(n_2854),
+	.C1(n_1594),
+	.X(n_9642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g780750 (
+	.A(n_9407),
+	.B(n_6518),
+	.Y(n_9641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g780751 (
+	.A(n_9438),
+	.B(n_5656),
+	.X(n_9640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_2 g780752 (
+	.A1(n_5890),
+	.A2(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B1(n_2681),
+	.C1(n_4884),
+	.D1(n_6393),
+	.X(n_9639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g780753 (
+	.A(n_2034),
+	.B(n_62239),
+	.Y(n_9638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g780755 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.B(n_5842),
+	.COUT(n_9451),
+	.SUM(n_9452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g780756 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.B(n_5846),
+	.COUT(n_9449),
+	.SUM(n_9450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g780757 (
+	.A(n_2506),
+	.B(n_5019),
+	.COUT(n_9447),
+	.SUM(n_9448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g780758 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.B(n_5820),
+	.COUT(n_9445),
+	.SUM(n_9446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g780759 (
+	.A(n_68801),
+	.B(n_1737),
+	.CI(n_5015),
+	.COUT(n_9443),
+	.SUM(n_9444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g782076 (
+	.A(n_9426),
+	.Y(n_9427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g782080 (
+	.A(n_62239),
+	.Y(n_9411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782081 (
+	.A(n_9405),
+	.Y(n_9406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782082 (
+	.A(n_9402),
+	.Y(n_9401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782083 (
+	.A(n_9400),
+	.Y(n_9399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782085 (
+	.A(n_9392),
+	.Y(n_9391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g782089 (
+	.A(n_9384),
+	.Y(n_9383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g782091 (
+	.A(n_9379),
+	.Y(n_9378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g782092 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(n_6615),
+	.Y(n_9374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g782093 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [57]),
+	.A2_N(n_6618),
+	.B1(n_6618),
+	.B2(n_66207),
+	.Y(n_9373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g782094 (
+	.A(n_187),
+	.B(n_5020),
+	.C(soc_top_u_pwm_pwm_core_period[3]),
+	.X(n_9372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782095 (
+	.A1(n_62693),
+	.A2(n_6685),
+	.B1(n_5323),
+	.B2(n_6686),
+	.Y(n_9371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782096 (
+	.A1_N(n_2406),
+	.A2_N(n_6683),
+	.B1(\soc_top_xbar_to_timer[a_address] [6]),
+	.B2(n_5322),
+	.Y(n_9370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782098 (
+	.A1_N(n_2408),
+	.A2_N(n_6668),
+	.B1(\soc_top_lsu_to_xbar[a_address] [29]),
+	.B2(n_5322),
+	.Y(n_9368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782099 (
+	.A1(n_5322),
+	.A2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B1(n_29991),
+	.B2(n_2405),
+	.Y(n_9367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782100 (
+	.A1(n_5322),
+	.A2(\soc_top_lsu_to_xbar[a_address] [27]),
+	.B1(n_29991),
+	.B2(n_2399),
+	.Y(n_9366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782101 (
+	.A1_N(n_2400),
+	.A2_N(n_6668),
+	.B1(\soc_top_lsu_to_xbar[a_address] [26]),
+	.B2(n_5322),
+	.Y(n_9365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782102 (
+	.A1_N(n_2403),
+	.A2_N(n_6668),
+	.B1(\soc_top_lsu_to_xbar[a_address] [25]),
+	.B2(n_5322),
+	.Y(n_9364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782103 (
+	.A1(n_5322),
+	.A2(\soc_top_lsu_to_xbar[a_address] [24]),
+	.B1(n_29991),
+	.B2(n_2414),
+	.Y(n_9363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782104 (
+	.A1(n_5322),
+	.A2(\soc_top_lsu_to_xbar[a_address] [23]),
+	.B1(n_29991),
+	.B2(n_2411),
+	.Y(n_9362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782105 (
+	.A1_N(n_2406),
+	.A2_N(n_6665),
+	.B1(\soc_top_lsu_to_xbar[a_address] [22]),
+	.B2(n_5322),
+	.Y(n_9361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782106 (
+	.A1_N(n_2408),
+	.A2_N(n_6665),
+	.B1(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B2(n_5322),
+	.Y(n_9360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782107 (
+	.A1_N(n_2404),
+	.A2_N(n_6665),
+	.B1(\soc_top_lsu_to_xbar[a_address] [20]),
+	.B2(n_5322),
+	.Y(n_9359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782108 (
+	.A1_N(n_2398),
+	.A2_N(n_6665),
+	.B1(n_63618),
+	.B2(n_5322),
+	.Y(n_9358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782109 (
+	.A1_N(n_2400),
+	.A2_N(n_6665),
+	.B1(\soc_top_lsu_to_xbar[a_address] [18]),
+	.B2(n_5322),
+	.Y(n_9357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782110 (
+	.A1_N(n_2403),
+	.A2_N(n_6665),
+	.B1(n_67809),
+	.B2(n_5322),
+	.Y(n_9356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782111 (
+	.A1_N(n_2413),
+	.A2_N(n_6665),
+	.B1(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B2(n_5322),
+	.Y(n_9355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782112 (
+	.A1(n_5322),
+	.A2(n_61692),
+	.B1(n_6664),
+	.B2(n_2411),
+	.Y(n_9354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782113 (
+	.A1_N(n_2406),
+	.A2_N(n_6661),
+	.B1(n_33201),
+	.B2(n_5322),
+	.Y(n_9353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782114 (
+	.A1_N(n_2408),
+	.A2_N(n_6661),
+	.B1(\soc_top_lsu_to_xbar[a_address] [13]),
+	.B2(n_5322),
+	.Y(n_9352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782115 (
+	.A1_N(n_2404),
+	.A2_N(n_6661),
+	.B1(\soc_top_lsu_to_xbar[a_address] [12]),
+	.B2(n_5322),
+	.Y(n_9351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782116 (
+	.A1_N(n_2398),
+	.A2_N(n_6661),
+	.B1(\soc_top_lsu_to_xbar[a_address] [11]),
+	.B2(n_5322),
+	.Y(n_9350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782117 (
+	.A1_N(n_2400),
+	.A2_N(n_6661),
+	.B1(\soc_top_lsu_to_xbar[a_address] [10]),
+	.B2(n_5322),
+	.Y(n_9349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782118 (
+	.A1_N(n_2403),
+	.A2_N(n_6661),
+	.B1(n_33202),
+	.B2(n_5322),
+	.Y(n_9348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782119 (
+	.A1_N(n_2413),
+	.A2_N(n_6661),
+	.B1(\soc_top_xbar_to_timer[a_address] [8]),
+	.B2(n_5322),
+	.Y(n_9347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782120 (
+	.A1(n_5322),
+	.A2(\soc_top_xbar_to_timer[a_address] [7]),
+	.B1(n_6660),
+	.B2(n_2411),
+	.Y(n_9346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782121 (
+	.A1_N(n_2408),
+	.A2_N(n_6683),
+	.B1(n_33203),
+	.B2(n_5322),
+	.Y(n_9345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782122 (
+	.A1_N(n_2404),
+	.A2_N(n_6683),
+	.B1(\soc_top_xbar_to_timer[a_address] [4]),
+	.B2(n_5322),
+	.Y(n_9344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782123 (
+	.A1_N(n_2398),
+	.A2_N(n_6683),
+	.B1(\soc_top_xbar_to_timer[a_address] [3]),
+	.B2(n_5322),
+	.Y(n_9343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782124 (
+	.A1_N(n_2400),
+	.A2_N(n_6683),
+	.B1(\soc_top_xbar_to_timer[a_address] [2]),
+	.B2(n_5322),
+	.Y(n_9342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g782125 (
+	.A1_N(n_2403),
+	.A2_N(n_6683),
+	.B1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.B2(n_5322),
+	.Y(n_9341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782126 (
+	.A1(n_3922),
+	.A2(soc_top_intr_controller_u_reg_le_0_le_0_qs),
+	.B1(n_4014),
+	.B2(soc_top_intr_controller_u_reg_reg_rdata_next[0]),
+	.C1(n_6422),
+	.X(n_9340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782127 (
+	.A1(n_6651),
+	.A2(n_27509),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [31]),
+	.Y(n_9339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782128 (
+	.A1(n_6649),
+	.A2(n_27509),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [30]),
+	.Y(n_9338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782129 (
+	.A1(n_6649),
+	.A2(n_27508),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [29]),
+	.Y(n_9337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782130 (
+	.A1(n_6649),
+	.A2(n_29668),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [28]),
+	.Y(n_9336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782131 (
+	.A1(n_6649),
+	.A2(n_27506),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [27]),
+	.Y(n_9335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782132 (
+	.A1(n_6649),
+	.A2(n_27505),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [26]),
+	.Y(n_9334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782133 (
+	.A1(n_6649),
+	.A2(n_27504),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [25]),
+	.Y(n_9333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782134 (
+	.A1(n_6649),
+	.A2(n_27503),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [24]),
+	.Y(n_9332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782135 (
+	.A1(n_6649),
+	.A2(n_27502),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [23]),
+	.Y(n_9331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782136 (
+	.A1(n_6649),
+	.A2(n_27501),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [22]),
+	.Y(n_9330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782137 (
+	.A1(n_6649),
+	.A2(n_27500),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [21]),
+	.Y(n_9329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782138 (
+	.A1(n_6649),
+	.A2(n_27499),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [20]),
+	.Y(n_9328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782139 (
+	.A1(n_6649),
+	.A2(n_27498),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [19]),
+	.Y(n_9327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782140 (
+	.A1(n_6649),
+	.A2(n_29669),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [18]),
+	.Y(n_9326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782141 (
+	.A1(n_6649),
+	.A2(n_27496),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [17]),
+	.Y(n_9325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782142 (
+	.A1(n_6649),
+	.A2(n_27495),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [16]),
+	.Y(n_9324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782143 (
+	.A1(n_6649),
+	.A2(n_27494),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [15]),
+	.Y(n_9323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782144 (
+	.A1(n_6649),
+	.A2(n_27493),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [14]),
+	.Y(n_9322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782145 (
+	.A1(n_6649),
+	.A2(n_27492),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [13]),
+	.Y(n_9321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782146 (
+	.A1(n_6649),
+	.A2(n_27491),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [12]),
+	.Y(n_9320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782147 (
+	.A1(n_6649),
+	.A2(n_27490),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [11]),
+	.Y(n_9319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782148 (
+	.A1(n_6649),
+	.A2(n_27489),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [10]),
+	.Y(n_9318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782149 (
+	.A1(n_6649),
+	.A2(n_27488),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [9]),
+	.Y(n_9317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782150 (
+	.A1(n_6649),
+	.A2(n_27487),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [8]),
+	.Y(n_9316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782151 (
+	.A1(n_6649),
+	.A2(n_27486),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [7]),
+	.Y(n_9315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782152 (
+	.A1(n_6649),
+	.A2(n_27485),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [6]),
+	.Y(n_9314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782153 (
+	.A1(n_6649),
+	.A2(n_27484),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [5]),
+	.Y(n_9313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782154 (
+	.A1(n_6649),
+	.A2(n_27483),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [4]),
+	.Y(n_9312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782155 (
+	.A1(n_6649),
+	.A2(n_27482),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.Y(n_9311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782156 (
+	.A1(n_6649),
+	.A2(n_27481),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.Y(n_9310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782158 (
+	.A1(n_6649),
+	.A2(n_27479),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.Y(n_9308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782159 (
+	.A1(n_66087),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[12]),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[12]),
+	.Y(n_9307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782160 (
+	.A1(n_66087),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[7]),
+	.Y(n_9306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782161 (
+	.A1(n_66087),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[3]),
+	.Y(n_9305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782162 (
+	.A1(n_66087),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.B1(n_2367),
+	.B2(soc_top_u_top_u_core_csr_mtval[2]),
+	.Y(n_9304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782163 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [18]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [18]),
+	.C1(n_6653),
+	.Y(n_9303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782164 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[15]),
+	.B1(n_4482),
+	.B2(n_29263),
+	.C1(n_6223),
+	.Y(n_9302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782165 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.C1(n_6653),
+	.Y(n_9301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782166 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [14]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.C1(n_6653),
+	.Y(n_9300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782167 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [13]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.C1(n_6653),
+	.Y(n_9299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782168 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.C1(n_6653),
+	.Y(n_9298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782169 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [11]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.C1(n_6653),
+	.Y(n_9297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782170 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [10]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.C1(n_6653),
+	.Y(n_9296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782171 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.C1(n_6653),
+	.Y(n_9295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782172 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.C1(n_6653),
+	.Y(n_9294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782173 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.C1(n_6653),
+	.Y(n_9293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782174 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.C1(n_6653),
+	.Y(n_9292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782175 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [5]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.C1(n_6653),
+	.Y(n_9291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782176 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [4]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.C1(n_6653),
+	.Y(n_9290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782177 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.C1(n_6653),
+	.Y(n_9289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782178 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [2]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.C1(n_6653),
+	.Y(n_9288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782179 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.C1(n_6653),
+	.Y(n_9287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782180 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[1]),
+	.B1(n_4744),
+	.B2(n_29249),
+	.C1(n_6403),
+	.X(n_9286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782181 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.C1(n_6653),
+	.Y(n_9285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g782182 (
+	.A1(n_6388),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[0]),
+	.Y(n_9284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g782183 (
+	.A(n_187),
+	.B(n_5018),
+	.C(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.X(n_9283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g782184 (
+	.A1(n_4482),
+	.A2(n_29260),
+	.B1(n_3810),
+	.C1(n_6176),
+	.Y(n_9282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g782185 (
+	.A1(n_3354),
+	.A2(n_1304),
+	.A3(n_5169),
+	.B1(n_3354),
+	.B2(n_4164),
+	.Y(n_9281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g782186 (
+	.A(n_6152),
+	.B(n_6411),
+	.C(n_4979),
+	.D(n_6209),
+	.Y(n_9280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g782187 (
+	.A(n_884),
+	.B(n_5017),
+	.C(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.X(n_9279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g782188 (
+	.A(n_884),
+	.B(n_5016),
+	.C(soc_top_u_pwm_pwm_core_period_2[3]),
+	.X(n_9278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g782189 (
+	.A1(n_3353),
+	.A2(\soc_top_intr_controller_reg2hw[prio18][q] [0]),
+	.A3(n_3347),
+	.B1(n_2362),
+	.B2(n_6267),
+	.Y(n_9277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782190 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[14]),
+	.B1(n_2392),
+	.B2(n_29231),
+	.C1(n_6262),
+	.Y(n_9276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782191 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[9]),
+	.B1(n_2392),
+	.B2(n_29226),
+	.C1(n_6263),
+	.Y(n_9275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2111o_1 g782192 (
+	.A1(n_4514),
+	.A2(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.B1(n_4287),
+	.C1(n_5100),
+	.D1(n_5642),
+	.X(n_9274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782193 (
+	.A1(n_4516),
+	.A2(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.B1(n_3459),
+	.B2(n_1647),
+	.C1(n_8405),
+	.Y(n_9273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g782194 (
+	.A(n_6215),
+	.B(n_6165),
+	.C(n_4643),
+	.D(n_5725),
+	.Y(n_9272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782195 (
+	.A1(n_4156),
+	.A2(n_2816),
+	.B1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[7]),
+	.B2(n_30739),
+	.C1(n_6388),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [1]),
+	.Y(n_9271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g782196 (
+	.A1(n_2031),
+	.A2(soc_top_u_top_data_we),
+	.B1(n_25189),
+	.C1(n_6382),
+	.X(n_9270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782197 (
+	.A1(n_6255),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B1(n_1285),
+	.B2(n_27516),
+	.C1(n_6451),
+	.X(n_9269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782198 (
+	.A1(soc_top_intr_controller_ip[31]),
+	.A2(n_2903),
+	.B1(n_8472),
+	.Y(n_9268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782199 (
+	.A1(soc_top_intr_controller_ip[30]),
+	.A2(n_2895),
+	.B1(n_8473),
+	.Y(n_9267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782200 (
+	.A1(soc_top_intr_controller_ip[27]),
+	.A2(n_2894),
+	.B1(n_8476),
+	.Y(n_9266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782201 (
+	.A1(soc_top_intr_controller_ip[5]),
+	.A2(n_2933),
+	.B1(n_8487),
+	.Y(n_9265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782202 (
+	.A1(soc_top_intr_controller_ip[4]),
+	.A2(n_2885),
+	.B1(n_8488),
+	.Y(n_9264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782203 (
+	.A1(soc_top_intr_controller_ip[1]),
+	.A2(n_2906),
+	.B1(n_8489),
+	.Y(n_9263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782204 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[3]),
+	.B1(n_4740),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[3]),
+	.C1(n_6394),
+	.Y(n_9262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782205 (
+	.A(n_2005),
+	.B(n_3828),
+	.C(n_3827),
+	.D(n_5028),
+	.X(n_9261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782206 (
+	.A(n_27422),
+	.B(n_27423),
+	.C(n_27424),
+	.D(n_5155),
+	.X(n_9260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782207 (
+	.A(n_27440),
+	.B(n_27439),
+	.C(n_27442),
+	.D(n_5141),
+	.X(n_9259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g782208 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [11]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [12]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [13]),
+	.D(n_5108),
+	.X(n_9258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g782210 (
+	.A(n_6218),
+	.B(n_6217),
+	.C(n_5077),
+	.Y(n_9256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g782211 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_7),
+	.C_N(n_6687),
+	.Y(n_9255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g782212 (
+	.A(n_6027),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_n_941),
+	.D(n_1044),
+	.X(n_9254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g782213 (
+	.A(n_8391),
+	.B(n_6225),
+	.C(n_5079),
+	.X(n_9253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782214 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.A2(n_3918),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[11]),
+	.B2(n_3915),
+	.C1(n_6388),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[stepie] ),
+	.Y(n_9252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g782215 (
+	.A(n_53334),
+	.B(n_1896),
+	.C(n_6251),
+	.D(n_51659),
+	.Y(n_9251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782216 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [2]),
+	.A2(n_6388),
+	.B1(soc_top_u_top_u_core_csr_mtvec[8]),
+	.B2(n_4496),
+	.C1(n_30738),
+	.C2(soc_top_u_top_u_core_csr_mepc[8]),
+	.Y(n_9250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782217 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.A2(n_3930),
+	.B1(n_29223),
+	.B2(n_30788),
+	.C1(n_6388),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[cause] [0]),
+	.Y(n_9249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782218 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.A2(n_5993),
+	.B1(n_29250),
+	.B2(n_30738),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [2]),
+	.Y(n_9248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782219 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.A2(n_5990),
+	.B1(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.B2(n_5993),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [1]),
+	.Y(n_9247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g782220 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[1]),
+	.A2(n_3915),
+	.B1(n_29218),
+	.B2(n_2392),
+	.C1(n_6388),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.Y(n_9246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782221 (
+	.A1(n_2371),
+	.A2(n_6299),
+	.B1(n_2387),
+	.B2(n_6300),
+	.Y(n_9245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782222 (
+	.A1(n_2382),
+	.A2(n_6301),
+	.B1(n_2385),
+	.B2(n_6283),
+	.Y(n_9244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782223 (
+	.A1(n_2388),
+	.A2(n_6302),
+	.B1(n_2376),
+	.B2(n_6303),
+	.Y(n_9243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782224 (
+	.A1(n_2378),
+	.A2(n_6304),
+	.B1(n_2375),
+	.B2(n_6305),
+	.Y(n_9242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782225 (
+	.A1(n_2382),
+	.A2(n_6280),
+	.B1(n_2385),
+	.B2(n_6279),
+	.Y(n_9241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782226 (
+	.A1(n_2388),
+	.A2(n_6276),
+	.B1(n_2376),
+	.B2(n_6275),
+	.Y(n_9240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782227 (
+	.A1(n_2371),
+	.A2(n_6307),
+	.B1(n_2387),
+	.B2(n_6308),
+	.Y(n_9239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782228 (
+	.A1(n_2378),
+	.A2(n_6309),
+	.B1(n_2375),
+	.B2(n_6399),
+	.Y(n_9238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782229 (
+	.A1(n_2378),
+	.A2(n_6312),
+	.B1(n_2375),
+	.B2(n_6313),
+	.Y(n_9237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782230 (
+	.A1(n_2371),
+	.A2(n_6314),
+	.B1(n_2388),
+	.B2(n_6274),
+	.Y(n_9236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782231 (
+	.A1(n_2376),
+	.A2(n_6315),
+	.B1(n_2387),
+	.B2(n_6316),
+	.Y(n_9235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782232 (
+	.A1(n_2382),
+	.A2(n_6317),
+	.B1(n_2385),
+	.B2(n_6292),
+	.Y(n_9234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782233 (
+	.A1(n_2378),
+	.A2(n_6318),
+	.B1(n_2375),
+	.B2(n_6319),
+	.Y(n_9233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782234 (
+	.A1(n_2388),
+	.A2(n_6320),
+	.B1(n_2376),
+	.B2(n_6321),
+	.Y(n_9232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782235 (
+	.A1(n_2371),
+	.A2(n_6322),
+	.B1(n_2387),
+	.B2(n_6323),
+	.Y(n_9231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782236 (
+	.A1(n_2371),
+	.A2(n_6325),
+	.B1(n_2387),
+	.B2(n_6398),
+	.Y(n_9230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782237 (
+	.A1(n_2388),
+	.A2(n_6326),
+	.B1(n_2376),
+	.B2(n_6287),
+	.Y(n_9229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782238 (
+	.A1(n_2382),
+	.A2(n_6401),
+	.B1(n_2385),
+	.B2(n_6327),
+	.Y(n_9228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782239 (
+	.A1(n_2378),
+	.A2(n_6324),
+	.B1(n_2375),
+	.B2(n_6404),
+	.Y(n_9227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782240 (
+	.A1(n_2371),
+	.A2(n_6328),
+	.B1(n_2387),
+	.B2(n_6329),
+	.Y(n_9226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782241 (
+	.A1(n_2388),
+	.A2(n_6330),
+	.B1(n_2376),
+	.B2(n_6271),
+	.Y(n_9225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782242 (
+	.A1(n_2378),
+	.A2(n_6331),
+	.B1(n_2375),
+	.B2(n_6540),
+	.Y(n_9224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782243 (
+	.A1(n_2382),
+	.A2(n_6332),
+	.B1(n_2385),
+	.B2(n_6333),
+	.Y(n_9223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782244 (
+	.A1(n_2371),
+	.A2(n_6498),
+	.B1(n_2387),
+	.B2(n_6543),
+	.Y(n_9222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782245 (
+	.A1(n_2385),
+	.A2(n_6334),
+	.B1(n_2382),
+	.B2(n_6335),
+	.Y(n_9221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782246 (
+	.A1(n_2378),
+	.A2(n_6336),
+	.B1(n_2375),
+	.B2(n_6337),
+	.Y(n_9220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782247 (
+	.A1(n_2382),
+	.A2(n_6310),
+	.B1(n_2385),
+	.B2(n_6311),
+	.Y(n_9219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782248 (
+	.A1(n_2388),
+	.A2(n_6338),
+	.B1(n_2376),
+	.B2(n_6339),
+	.Y(n_9218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782249 (
+	.A1(n_2382),
+	.A2(n_6340),
+	.B1(n_2385),
+	.B2(n_6341),
+	.Y(n_9217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782250 (
+	.A1(n_2388),
+	.A2(n_6342),
+	.B1(n_2376),
+	.B2(n_6343),
+	.Y(n_9216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782251 (
+	.A1(n_2371),
+	.A2(n_6344),
+	.B1(n_2387),
+	.B2(n_6345),
+	.Y(n_9215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782252 (
+	.A1(n_2382),
+	.A2(n_6347),
+	.B1(n_2385),
+	.B2(n_6348),
+	.Y(n_9214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782253 (
+	.A1(n_2378),
+	.A2(n_6349),
+	.B1(n_2375),
+	.B2(n_6350),
+	.Y(n_9213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782254 (
+	.A1(n_2371),
+	.A2(n_6351),
+	.B1(n_2387),
+	.B2(n_6352),
+	.Y(n_9212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782255 (
+	.A1(n_2388),
+	.A2(n_6353),
+	.B1(n_2376),
+	.B2(n_6354),
+	.Y(n_9211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782256 (
+	.A1(n_2382),
+	.A2(n_6355),
+	.B1(n_2385),
+	.B2(n_6270),
+	.Y(n_9210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782257 (
+	.A1(n_2378),
+	.A2(n_6356),
+	.B1(n_2375),
+	.B2(n_6357),
+	.Y(n_9209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782258 (
+	.A1(n_2388),
+	.A2(n_6358),
+	.B1(n_2376),
+	.B2(n_6408),
+	.Y(n_9208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782259 (
+	.A1(n_2371),
+	.A2(n_6359),
+	.B1(n_2387),
+	.B2(n_6360),
+	.Y(n_9207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782260 (
+	.A1(n_2371),
+	.A2(n_6361),
+	.B1(n_2387),
+	.B2(n_6362),
+	.Y(n_9206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782261 (
+	.A1(n_2382),
+	.A2(n_6269),
+	.B1(n_2385),
+	.B2(n_6397),
+	.Y(n_9205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782262 (
+	.A1(n_2378),
+	.A2(n_6286),
+	.B1(n_2375),
+	.B2(n_6266),
+	.Y(n_9204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782263 (
+	.A1(n_2376),
+	.A2(n_6363),
+	.B1(n_2388),
+	.B2(n_6395),
+	.Y(n_9203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782264 (
+	.A1(n_2371),
+	.A2(n_6364),
+	.B1(n_2387),
+	.B2(n_6306),
+	.Y(n_9202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782265 (
+	.A1(n_2382),
+	.A2(n_6273),
+	.B1(n_2385),
+	.B2(n_6272),
+	.Y(n_9201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782266 (
+	.A1(n_2378),
+	.A2(n_6365),
+	.B1(n_2375),
+	.B2(n_6366),
+	.Y(n_9200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782267 (
+	.A1(n_2385),
+	.A2(n_6369),
+	.B1(n_2382),
+	.B2(n_6370),
+	.Y(n_9199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782268 (
+	.A1(n_2388),
+	.A2(n_6371),
+	.B1(n_2376),
+	.B2(n_6285),
+	.Y(n_9198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782269 (
+	.A1(n_2378),
+	.A2(n_6372),
+	.B1(n_2375),
+	.B2(n_6373),
+	.Y(n_9197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782270 (
+	.A1(n_2371),
+	.A2(n_6374),
+	.B1(n_2387),
+	.B2(n_6284),
+	.Y(n_9196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782271 (
+	.A1(n_2371),
+	.A2(n_6375),
+	.B1(n_2387),
+	.B2(n_6376),
+	.Y(n_9195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782272 (
+	.A1(n_2388),
+	.A2(n_6377),
+	.B1(n_2376),
+	.B2(n_6378),
+	.Y(n_9194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782273 (
+	.A1(n_2378),
+	.A2(n_6198),
+	.B1(n_2375),
+	.B2(n_6613),
+	.Y(n_9193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782274 (
+	.A1(n_2382),
+	.A2(n_6612),
+	.B1(n_2385),
+	.B2(n_6282),
+	.Y(n_9192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782275 (
+	.A1(n_2371),
+	.A2(n_6611),
+	.B1(n_2387),
+	.B2(n_6610),
+	.Y(n_9191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782276 (
+	.A1(n_2388),
+	.A2(n_6609),
+	.B1(n_2376),
+	.B2(n_6608),
+	.Y(n_9190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782277 (
+	.A1(n_2382),
+	.A2(n_6607),
+	.B1(n_2385),
+	.B2(n_6606),
+	.Y(n_9189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782278 (
+	.A1(n_2378),
+	.A2(n_6605),
+	.B1(n_2375),
+	.B2(n_6604),
+	.Y(n_9188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782279 (
+	.A1(n_2371),
+	.A2(n_6603),
+	.B1(n_2387),
+	.B2(n_6602),
+	.Y(n_9187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782280 (
+	.A1(n_2378),
+	.A2(n_6601),
+	.B1(n_2375),
+	.B2(n_6600),
+	.Y(n_9186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782281 (
+	.A1(n_2382),
+	.A2(n_6599),
+	.B1(n_2385),
+	.B2(n_6598),
+	.Y(n_9185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782282 (
+	.A1(n_2371),
+	.A2(n_6595),
+	.B1(n_2387),
+	.B2(n_6297),
+	.Y(n_9184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782283 (
+	.A1(n_2385),
+	.A2(n_6594),
+	.B1(n_2382),
+	.B2(n_6593),
+	.Y(n_9183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782284 (
+	.A1(n_2378),
+	.A2(n_6592),
+	.B1(n_2375),
+	.B2(n_6591),
+	.Y(n_9182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782285 (
+	.A1(n_2388),
+	.A2(n_6590),
+	.B1(n_2376),
+	.B2(n_6589),
+	.Y(n_9181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782286 (
+	.A1(n_2382),
+	.A2(n_6296),
+	.B1(n_2385),
+	.B2(n_6588),
+	.Y(n_9180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782287 (
+	.A1(n_2388),
+	.A2(n_6587),
+	.B1(n_2376),
+	.B2(n_6586),
+	.Y(n_9179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782288 (
+	.A1(n_2378),
+	.A2(n_6585),
+	.B1(n_2375),
+	.B2(n_6584),
+	.Y(n_9178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782289 (
+	.A1(n_2371),
+	.A2(n_6583),
+	.B1(n_2387),
+	.B2(n_6277),
+	.Y(n_9177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782290 (
+	.A1(n_2371),
+	.A2(n_6582),
+	.B1(n_2387),
+	.B2(n_6581),
+	.Y(n_9176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782291 (
+	.A1(n_2382),
+	.A2(n_6580),
+	.B1(n_2385),
+	.B2(n_6579),
+	.Y(n_9175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782292 (
+	.A1(n_2378),
+	.A2(n_6294),
+	.B1(n_2375),
+	.B2(n_6578),
+	.Y(n_9174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782293 (
+	.A1(n_2388),
+	.A2(n_6577),
+	.B1(n_2376),
+	.B2(n_6576),
+	.Y(n_9173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782294 (
+	.A1(n_2378),
+	.A2(n_6575),
+	.B1(n_2375),
+	.B2(n_6574),
+	.Y(n_9172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782295 (
+	.A1(n_2388),
+	.A2(n_6573),
+	.B1(n_2376),
+	.B2(n_6572),
+	.Y(n_9171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782296 (
+	.A1(n_2382),
+	.A2(n_6278),
+	.B1(n_2385),
+	.B2(n_6571),
+	.Y(n_9170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782297 (
+	.A1(n_2378),
+	.A2(n_6568),
+	.B1(n_2375),
+	.B2(n_6567),
+	.Y(n_9169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782298 (
+	.A1(n_2376),
+	.A2(n_6566),
+	.B1(n_2388),
+	.B2(n_6565),
+	.Y(n_9168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782299 (
+	.A1(n_2371),
+	.A2(n_6564),
+	.B1(n_2387),
+	.B2(n_6563),
+	.Y(n_9167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782300 (
+	.A1(n_2382),
+	.A2(n_6562),
+	.B1(n_2385),
+	.B2(n_6561),
+	.Y(n_9166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782301 (
+	.A1(n_2371),
+	.A2(n_6560),
+	.B1(n_2387),
+	.B2(n_6559),
+	.Y(n_9165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782302 (
+	.A1(n_2382),
+	.A2(n_6558),
+	.B1(n_2385),
+	.B2(n_6557),
+	.Y(n_9164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782303 (
+	.A1(n_2378),
+	.A2(n_6556),
+	.B1(n_2375),
+	.B2(n_6555),
+	.Y(n_9163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782304 (
+	.A1(n_2388),
+	.A2(n_6554),
+	.B1(n_2376),
+	.B2(n_6553),
+	.Y(n_9162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782305 (
+	.A1(n_2378),
+	.A2(n_6552),
+	.B1(n_2375),
+	.B2(n_6551),
+	.Y(n_9161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782306 (
+	.A1(n_2388),
+	.A2(n_6550),
+	.B1(n_2376),
+	.B2(n_6549),
+	.Y(n_9160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782307 (
+	.A1(n_2371),
+	.A2(n_6548),
+	.B1(n_2387),
+	.B2(n_6547),
+	.Y(n_9159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782308 (
+	.A1(n_2382),
+	.A2(n_6546),
+	.B1(n_2385),
+	.B2(n_6545),
+	.Y(n_9158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782309 (
+	.A1(n_2385),
+	.A2(n_6544),
+	.B1(n_2382),
+	.B2(n_6542),
+	.Y(n_9157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782310 (
+	.A1(n_2388),
+	.A2(n_6541),
+	.B1(n_2376),
+	.B2(n_6539),
+	.Y(n_9156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782311 (
+	.A1(n_2378),
+	.A2(n_6538),
+	.B1(n_2375),
+	.B2(n_6537),
+	.Y(n_9155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782312 (
+	.A1(n_2371),
+	.A2(n_6535),
+	.B1(n_2387),
+	.B2(n_6534),
+	.Y(n_9154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782313 (
+	.A1(n_2382),
+	.A2(n_6533),
+	.B1(n_2385),
+	.B2(n_6532),
+	.Y(n_9153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782314 (
+	.A1(n_2388),
+	.A2(n_6531),
+	.B1(n_2376),
+	.B2(n_6530),
+	.Y(n_9152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782315 (
+	.A1(n_2378),
+	.A2(n_6529),
+	.B1(n_2375),
+	.B2(n_6528),
+	.Y(n_9151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782316 (
+	.A1(n_2371),
+	.A2(n_6527),
+	.B1(n_2387),
+	.B2(n_6526),
+	.Y(n_9150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782317 (
+	.A1(n_2388),
+	.A2(n_6525),
+	.B1(n_2376),
+	.B2(n_6524),
+	.Y(n_9149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782318 (
+	.A1(n_2378),
+	.A2(n_6523),
+	.B1(n_2375),
+	.B2(n_6291),
+	.Y(n_9148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782319 (
+	.A1(n_2382),
+	.A2(n_6522),
+	.B1(n_2385),
+	.B2(n_6521),
+	.Y(n_9147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782320 (
+	.A1(n_2371),
+	.A2(n_6520),
+	.B1(n_2387),
+	.B2(n_6519),
+	.Y(n_9146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782321 (
+	.A1(n_2382),
+	.A2(n_6516),
+	.B1(n_2385),
+	.B2(n_6290),
+	.Y(n_9145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782322 (
+	.A1(n_2378),
+	.A2(n_6514),
+	.B1(n_2375),
+	.B2(n_6289),
+	.Y(n_9144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782323 (
+	.A1(n_2388),
+	.A2(n_6513),
+	.B1(n_2376),
+	.B2(n_6512),
+	.Y(n_9143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782324 (
+	.A1(n_2378),
+	.A2(n_6288),
+	.B1(n_2375),
+	.B2(n_6510),
+	.Y(n_9142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782325 (
+	.A1(n_2388),
+	.A2(n_6509),
+	.B1(n_2376),
+	.B2(n_6508),
+	.Y(n_9141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782326 (
+	.A1(n_2382),
+	.A2(n_6506),
+	.B1(n_2385),
+	.B2(n_6505),
+	.Y(n_9140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782327 (
+	.A1(n_2371),
+	.A2(n_6494),
+	.B1(n_2387),
+	.B2(n_6493),
+	.Y(n_9139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782328 (
+	.A1(n_2382),
+	.A2(n_6492),
+	.B1(n_2385),
+	.B2(n_6491),
+	.Y(n_9138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782329 (
+	.A1(n_2388),
+	.A2(n_6298),
+	.B1(n_2376),
+	.B2(n_6489),
+	.Y(n_9137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782330 (
+	.A1(n_2378),
+	.A2(n_6487),
+	.B1(n_2375),
+	.B2(n_6486),
+	.Y(n_9136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782331 (
+	.A1(n_2371),
+	.A2(n_6485),
+	.B1(n_2387),
+	.B2(n_6293),
+	.Y(n_9135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782332 (
+	.A1(n_2382),
+	.A2(n_6481),
+	.B1(n_2385),
+	.B2(n_6480),
+	.Y(n_9134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782333 (
+	.A1(n_2388),
+	.A2(n_6479),
+	.B1(n_2376),
+	.B2(n_6478),
+	.Y(n_9133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782334 (
+	.A1(n_2378),
+	.A2(n_6477),
+	.B1(n_2375),
+	.B2(n_6474),
+	.Y(n_9132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782335 (
+	.A1(n_2371),
+	.A2(n_6473),
+	.B1(n_2387),
+	.B2(n_6471),
+	.Y(n_9131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782336 (
+	.A1(n_2382),
+	.A2(n_6470),
+	.B1(n_2385),
+	.B2(n_6469),
+	.Y(n_9130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782337 (
+	.A1(n_2388),
+	.A2(n_6465),
+	.B1(n_2376),
+	.B2(n_6462),
+	.Y(n_9129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782338 (
+	.A1(n_2378),
+	.A2(n_6458),
+	.B1(n_2375),
+	.B2(n_6457),
+	.Y(n_9128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g782339 (
+	.A1(n_2388),
+	.A2(n_6454),
+	.B1(n_2376),
+	.B2(n_6453),
+	.Y(n_9127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782340 (
+	.A1(soc_top_intr_controller_ip[29]),
+	.A2(n_2917),
+	.B1(n_8474),
+	.Y(n_9126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782341 (
+	.A1(soc_top_intr_controller_ip[28]),
+	.A2(n_2918),
+	.B1(n_8475),
+	.Y(n_9125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782342 (
+	.A1(soc_top_intr_controller_ip[25]),
+	.A2(n_2927),
+	.B1(n_8477),
+	.Y(n_9124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782343 (
+	.A1(soc_top_intr_controller_ip[24]),
+	.A2(n_2914),
+	.B1(n_8478),
+	.Y(n_9123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782344 (
+	.A1(soc_top_intr_controller_ip[14]),
+	.A2(n_2896),
+	.B1(n_8480),
+	.Y(n_9122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782345 (
+	.A1(soc_top_intr_controller_ip[13]),
+	.A2(n_2910),
+	.B1(n_8481),
+	.Y(n_9121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782346 (
+	.A1(soc_top_intr_controller_ip[15]),
+	.A2(n_2887),
+	.B1(n_8479),
+	.Y(n_9120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782347 (
+	.A1(soc_top_intr_controller_ip[12]),
+	.A2(n_2886),
+	.B1(n_8482),
+	.Y(n_9119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782348 (
+	.A1(soc_top_intr_controller_ip[11]),
+	.A2(n_2936),
+	.B1(n_8483),
+	.Y(n_9118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782349 (
+	.A1(soc_top_intr_controller_ip[10]),
+	.A2(n_2891),
+	.B1(n_8484),
+	.Y(n_9117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782350 (
+	.A1(soc_top_intr_controller_ip[8]),
+	.A2(n_2908),
+	.B1(n_8486),
+	.Y(n_9116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782351 (
+	.A1(soc_top_intr_controller_ip[9]),
+	.A2(n_2890),
+	.B1(n_8485),
+	.Y(n_9115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782352 (
+	.A1(n_6691),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_9114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g782353 (
+	.A1(n_4041),
+	.A2(n_5886),
+	.B1(n_3824),
+	.B2(n_5885),
+	.C1(n_29992),
+	.X(n_9113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782354 (
+	.A1(n_2362),
+	.A2(n_5735),
+	.B1(n_4003),
+	.B2(\soc_top_intr_controller_reg2hw[ie0][33][q] ),
+	.C1(n_4222),
+	.X(n_9112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g782355 (
+	.A1(n_5621),
+	.A2(n_6703),
+	.B1(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_9111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g782356 (
+	.A1(n_6179),
+	.A2(n_1594),
+	.B1_N(n_2854),
+	.Y(n_9110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g782357 (
+	.A1(n_2812),
+	.A2(n_5614),
+	.B1(n_27101),
+	.C1(n_2811),
+	.Y(n_9109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g782358 (
+	.A1(n_672),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.A3(n_5649),
+	.B1(n_2027),
+	.B2(n_4506),
+	.X(n_9108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g782359 (
+	.A(n_5102),
+	.B(n_3842),
+	.C(n_5078),
+	.D(n_5083),
+	.Y(n_9107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g782360 (
+	.A(n_5035),
+	.B(n_3836),
+	.C(n_5032),
+	.D(n_5068),
+	.Y(n_9106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g782361 (
+	.A1(n_4105),
+	.A2(n_3361),
+	.B1(n_2573),
+	.B2(n_4005),
+	.C1(n_8371),
+	.Y(n_9105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g782362 (
+	.A1(n_5657),
+	.A2(n_26383),
+	.A3(n_25144),
+	.B1(n_25343),
+	.Y(n_9104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g782363 (
+	.A1(n_6016),
+	.A2(n_5634),
+	.B1(n_4696),
+	.B2(n_2879),
+	.C1(n_4994),
+	.X(n_9103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g782364 (
+	.A1(n_25279),
+	.A2(n_1510),
+	.B1(n_8915),
+	.Y(n_9102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782365 (
+	.A(n_8977),
+	.B_N(n_3382),
+	.Y(n_9101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g782366 (
+	.A1(n_3918),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[17]),
+	.C1(n_8996),
+	.Y(n_9100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782367 (
+	.A(n_8961),
+	.B(n_3124),
+	.Y(n_9099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782368 (
+	.A(n_8915),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.Y(n_9098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782370 (
+	.A(n_25810),
+	.B(n_29986),
+	.Y(n_9096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782371 (
+	.A(n_25812),
+	.B(n_8929),
+	.Y(n_9095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782372 (
+	.A(n_25809),
+	.B(n_29986),
+	.Y(n_9094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782373 (
+	.A(n_25811),
+	.B(n_8929),
+	.Y(n_9093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782374 (
+	.A(n_25810),
+	.B(n_8929),
+	.Y(n_9092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782375 (
+	.A(n_25808),
+	.B(n_29986),
+	.Y(n_9091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782376 (
+	.A(n_25809),
+	.B(n_8929),
+	.Y(n_9090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782377 (
+	.A(n_25807),
+	.B(n_29986),
+	.Y(n_9089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782378 (
+	.A(n_25808),
+	.B(n_8929),
+	.Y(n_9088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782379 (
+	.A(n_66620),
+	.B(n_29986),
+	.Y(n_9087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782380 (
+	.A(n_25807),
+	.B(n_8929),
+	.Y(n_9086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782381 (
+	.A(n_66622),
+	.B(n_29986),
+	.Y(n_9085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782382 (
+	.A(n_66620),
+	.B(n_8929),
+	.Y(n_9084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782383 (
+	.A(n_25804),
+	.B(n_29986),
+	.Y(n_9083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782384 (
+	.A(n_33087),
+	.B(n_29986),
+	.Y(n_9082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782385 (
+	.A(n_66622),
+	.B(n_8929),
+	.Y(n_9081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782386 (
+	.A(n_25802),
+	.B(n_29986),
+	.Y(n_9080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782387 (
+	.A(n_25804),
+	.B(n_8929),
+	.Y(n_9079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782388 (
+	.A(n_33087),
+	.B(n_8929),
+	.Y(n_9078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782389 (
+	.A(n_25801),
+	.B(n_29986),
+	.Y(n_9077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782390 (
+	.A(n_25802),
+	.B(n_8929),
+	.Y(n_9076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782391 (
+	.A(n_25800),
+	.B(n_29986),
+	.Y(n_9075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782392 (
+	.A(n_25799),
+	.B(n_29986),
+	.Y(n_9074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782393 (
+	.A(n_25801),
+	.B(n_8929),
+	.Y(n_9073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782394 (
+	.A(n_25800),
+	.B(n_8929),
+	.Y(n_9072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782395 (
+	.A(n_25798),
+	.B(n_29986),
+	.Y(n_9071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782396 (
+	.A(n_25799),
+	.B(n_8929),
+	.Y(n_9070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782397 (
+	.A(n_67977),
+	.B(n_29986),
+	.Y(n_9069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782398 (
+	.A(n_66621),
+	.B(n_29986),
+	.Y(n_9068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782399 (
+	.A(n_25798),
+	.B(n_8929),
+	.Y(n_9067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782400 (
+	.A(n_67977),
+	.B(n_8929),
+	.Y(n_9066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782401 (
+	.A(n_25795),
+	.B(n_29986),
+	.Y(n_9065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782402 (
+	.A(n_66621),
+	.B(n_8929),
+	.Y(n_9064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782403 (
+	.A(n_25794),
+	.B(n_29986),
+	.Y(n_9063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782406 (
+	.A(n_25792),
+	.B(n_29986),
+	.Y(n_9060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782407 (
+	.A(n_25794),
+	.B(n_8929),
+	.Y(n_9059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782410 (
+	.A(n_25790),
+	.B(n_29986),
+	.Y(n_9056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782411 (
+	.A(n_25792),
+	.B(n_8929),
+	.Y(n_9055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782412 (
+	.A(n_25791),
+	.B(n_8929),
+	.Y(n_9054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782413 (
+	.A(n_33085),
+	.B(n_29986),
+	.Y(n_9053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782414 (
+	.A(n_33084),
+	.B(n_29986),
+	.Y(n_9052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782415 (
+	.A(n_33085),
+	.B(n_8929),
+	.Y(n_9051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782416 (
+	.A(n_33084),
+	.B(n_8929),
+	.Y(n_9050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782417 (
+	.A(n_66652),
+	.B(n_29986),
+	.Y(n_9049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782418 (
+	.A(n_66652),
+	.B(n_8929),
+	.Y(n_9048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782419 (
+	.A(n_33088),
+	.B(n_29986),
+	.Y(n_9047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782420 (
+	.A(n_33088),
+	.B(n_8929),
+	.Y(n_9046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782421 (
+	.A(n_25782),
+	.B(n_29986),
+	.Y(n_9045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782424 (
+	.A(n_25782),
+	.B(n_8929),
+	.Y(n_9042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782425 (
+	.A(n_66626),
+	.B(n_29986),
+	.Y(n_9041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782440 (
+	.A(n_25772),
+	.B(n_8929),
+	.Y(n_9026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782441 (
+	.A(n_66625),
+	.B(n_29986),
+	.Y(n_9025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782442 (
+	.A(n_25770),
+	.B(n_29986),
+	.Y(n_9024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782443 (
+	.A(n_66625),
+	.B(n_8929),
+	.Y(n_9023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782444 (
+	.A(n_25769),
+	.B(n_29986),
+	.Y(n_9022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782452 (
+	.A(n_29985),
+	.B_N(n_5843),
+	.Y(n_9014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782453 (
+	.A(n_29985),
+	.B_N(n_3157),
+	.Y(n_9013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g782454 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.B(n_29985),
+	.Y(n_9012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782455 (
+	.A(n_546),
+	.B_N(n_8801),
+	.Y(n_9011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782456 (
+	.A(n_8951),
+	.B(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.Y(n_9010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782457 (
+	.A(n_8398),
+	.B(n_3809),
+	.Y(n_9009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782458 (
+	.A(n_61171),
+	.B(soc_top_u_top_u_core_instr_rdata_id[31]),
+	.Y(n_9008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782459 (
+	.A(n_8975),
+	.B(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.Y(n_9007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782461 (
+	.A(n_61171),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.Y(n_9005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782462 (
+	.A(n_61171),
+	.B(soc_top_u_top_u_core_instr_rdata_id[6]),
+	.Y(n_9004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782463 (
+	.A(n_8975),
+	.B(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.Y(n_9003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g782464 (
+	.A(n_8975),
+	.B(\soc_top_u_top_u_core_cs_registers_i_fflags_q[UF] ),
+	.Y(n_9002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782465 (
+	.A(n_8987),
+	.B_N(n_8993),
+	.Y(n_9001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g782994 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B_N(n_8981),
+	.Y(n_9442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783087 (
+	.A(n_7875),
+	.B(n_5597),
+	.Y(n_9441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783096 (
+	.A(n_1587),
+	.B_N(n_8981),
+	.Y(n_9440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783098 (
+	.A(n_8966),
+	.B_N(n_6672),
+	.Y(n_9439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783108 (
+	.A1(n_6409),
+	.A2(n_3441),
+	.B1(n_3357),
+	.Y(n_9438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783109 (
+	.A(n_8328),
+	.B(n_8327),
+	.Y(n_9437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783110 (
+	.A(n_8326),
+	.B(n_8323),
+	.Y(n_9436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783111 (
+	.A(n_8290),
+	.B(n_8287),
+	.Y(n_9435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783112 (
+	.A(n_8286),
+	.B(n_8285),
+	.Y(n_9434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783113 (
+	.A(n_8348),
+	.B(n_8345),
+	.Y(n_9433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783114 (
+	.A(n_8321),
+	.B(n_8318),
+	.Y(n_9432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783123 (
+	.A1(n_4006),
+	.A2(n_5883),
+	.B1(n_8984),
+	.X(n_9430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g783131 (
+	.A1(n_3822),
+	.A2(n_5886),
+	.B1(n_4019),
+	.B2(n_5883),
+	.C1(n_6467),
+	.X(n_9429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783132 (
+	.A(n_6468),
+	.B(n_8357),
+	.Y(n_9428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g783133 (
+	.A1(n_4044),
+	.A2(n_5885),
+	.B1(n_5888),
+	.B2(n_4019),
+	.C1(n_6464),
+	.Y(n_9426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g783134 (
+	.A1(n_4015),
+	.A2(n_5885),
+	.B1(n_4021),
+	.B2(n_5888),
+	.C1(n_6463),
+	.Y(n_9425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g783135 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.C(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.D_N(n_6004),
+	.Y(n_9000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783136 (
+	.A(n_6455),
+	.B(n_8301),
+	.Y(n_9424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783138 (
+	.A1(n_5884),
+	.A2(n_4046),
+	.B1(n_8281),
+	.Y(n_9423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g783272 (
+	.A1(n_5616),
+	.A2(n_30733),
+	.B1(n_8298),
+	.Y(n_9422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g783363 (
+	.A1(n_5615),
+	.A2(n_30733),
+	.B1(n_8282),
+	.Y(n_9421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783364 (
+	.A1(n_6391),
+	.A2(n_30733),
+	.B1(n_8307),
+	.Y(n_9420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g783365 (
+	.A1(n_4042),
+	.A2(n_5885),
+	.B1(n_4494),
+	.B2(n_4047),
+	.C1(n_6466),
+	.X(n_9419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g783366 (
+	.A1(n_4040),
+	.A2(n_5885),
+	.B1(n_4013),
+	.B2(n_5883),
+	.C1(n_6476),
+	.X(n_9418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783367 (
+	.A1(n_2144),
+	.A2(n_2452),
+	.B1(n_8992),
+	.Y(n_9417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783368 (
+	.A_N(n_8979),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.Y(n_9416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783378 (
+	.A_N(n_8980),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.Y(n_9415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783382 (
+	.A(n_5324),
+	.B(n_8966),
+	.Y(n_9408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g783383 (
+	.A(n_8978),
+	.B(n_4053),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_9407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783384 (
+	.A(n_5323),
+	.B(n_8966),
+	.Y(n_9405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g783386 (
+	.A_N(n_62051),
+	.B(n_8977),
+	.X(n_9404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783387 (
+	.A_N(n_8976),
+	.B(n_1305),
+	.Y(n_9403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g783388 (
+	.A_N(n_6698),
+	.B(n_5600),
+	.C(n_5608),
+	.D(n_5606),
+	.X(n_9402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g783389 (
+	.A(n_64575),
+	.B(n_25294),
+	.Y(n_9400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783390 (
+	.A(n_64575),
+	.B(n_3382),
+	.Y(n_9398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783391 (
+	.A_N(n_8976),
+	.B(n_1350),
+	.Y(n_9396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783392 (
+	.A_N(n_8976),
+	.B(n_1652),
+	.Y(n_9395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783393 (
+	.A(n_8997),
+	.B(n_544),
+	.Y(n_9394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g783394 (
+	.A(n_1354),
+	.B(n_8976),
+	.X(n_9393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g783396 (
+	.A1(n_5620),
+	.A2(n_25221),
+	.B1(n_25),
+	.C1(n_2365),
+	.Y(n_9392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g783401 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(n_6687),
+	.C(n_158),
+	.X(n_9384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783402 (
+	.A(n_64575),
+	.B(n_61102),
+	.X(n_9382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g783403 (
+	.A_N(n_29692),
+	.B(n_6687),
+	.C(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.X(n_9380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g783404 (
+	.A(n_8978),
+	.B(n_681),
+	.Y(n_9379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g783405 (
+	.A(n_12752),
+	.B_N(n_8998),
+	.X(n_9377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_2 g783406 (
+	.A(n_99),
+	.B(n_12752),
+	.C(n_4990),
+	.X(n_9376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_2 g783407 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_12752),
+	.C(n_4990),
+	.X(n_9375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783409 (
+	.A(n_8389),
+	.Y(n_8996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783410 (
+	.A(n_8387),
+	.Y(n_8995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783411 (
+	.A(n_8379),
+	.Y(n_8994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783412 (
+	.A(n_8985),
+	.Y(n_8986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783413 (
+	.A(n_8970),
+	.Y(n_8969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783414 (
+	.A(n_8967),
+	.Y(n_8968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783415 (
+	.A(n_8966),
+	.Y(n_8965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g783416 (
+	.A(n_8961),
+	.Y(n_8960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783418 (
+	.A(n_8955),
+	.Y(n_8954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783419 (
+	.A(n_8953),
+	.Y(n_8952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783420 (
+	.A(n_8951),
+	.Y(n_8950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g783422 (
+	.A(n_8929),
+	.Y(n_8933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g783447 (
+	.A(n_29986),
+	.Y(n_8915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g783502 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_4991),
+	.COUT(n_8998),
+	.SUM(n_8803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g783503 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5012),
+	.COUT(n_8997),
+	.SUM(n_8802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g783504 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B(n_4519),
+	.COUT(n_8800),
+	.SUM(n_8801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783505 (
+	.A1(n_4946),
+	.A2(n_1570),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
+	.X(n_8799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783506 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
+	.A2_N(n_5947),
+	.B1(n_1569),
+	.B2(n_4912),
+	.Y(n_8798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783507 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
+	.A2_N(n_5947),
+	.B1(n_1571),
+	.B2(n_4912),
+	.Y(n_8797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783508 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
+	.A2_N(n_5947),
+	.B1(n_1234),
+	.B2(n_4912),
+	.Y(n_8796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783509 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4789),
+	.Y(n_8795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783510 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
+	.A2_N(n_5966),
+	.B1(n_1547),
+	.B2(n_4926),
+	.Y(n_8794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783511 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
+	.A2_N(n_5966),
+	.B1(n_1569),
+	.B2(n_4926),
+	.Y(n_8793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783512 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
+	.A2_N(n_5430),
+	.B1(n_3255),
+	.B2(n_4803),
+	.Y(n_8792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783513 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
+	.A2_N(n_5968),
+	.B1(n_1569),
+	.B2(n_4925),
+	.Y(n_8791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783514 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
+	.A2_N(n_5968),
+	.B1(n_1218),
+	.B2(n_4925),
+	.Y(n_8790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783515 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
+	.A2_N(n_5970),
+	.B1(n_1572),
+	.B2(n_4924),
+	.Y(n_8789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783516 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4880),
+	.Y(n_8788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783517 (
+	.A1(n_4763),
+	.A2(n_3276),
+	.B1(n_5498),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
+	.X(n_8787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783518 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4783),
+	.Y(n_8786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783519 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4827),
+	.Y(n_8785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783520 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
+	.A2_N(n_5899),
+	.B1(n_1572),
+	.B2(n_4970),
+	.Y(n_8784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783521 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
+	.A2_N(n_5899),
+	.B1(n_1547),
+	.B2(n_4970),
+	.Y(n_8783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783522 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
+	.A2_N(n_5899),
+	.B1(n_1242),
+	.B2(n_4970),
+	.Y(n_8782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783523 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
+	.A2_N(n_5899),
+	.B1(n_1569),
+	.B2(n_4970),
+	.Y(n_8781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783524 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
+	.A2_N(n_5899),
+	.B1(n_1571),
+	.B2(n_4970),
+	.Y(n_8780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783525 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
+	.A2_N(n_5899),
+	.B1(n_1218),
+	.B2(n_4970),
+	.Y(n_8779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783526 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
+	.A2_N(n_5899),
+	.B1(n_1234),
+	.B2(n_4970),
+	.Y(n_8778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783527 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4821),
+	.Y(n_8777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783528 (
+	.A1(n_4848),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
+	.X(n_8776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783529 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4779),
+	.Y(n_8775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783530 (
+	.A1(n_4855),
+	.A2(n_3254),
+	.B1(n_5376),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
+	.X(n_8774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783531 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
+	.A2_N(n_5897),
+	.B1(n_1218),
+	.B2(n_4969),
+	.Y(n_8773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783532 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
+	.A2_N(n_5895),
+	.B1(n_1572),
+	.B2(n_4968),
+	.Y(n_8772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783533 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
+	.A2_N(n_5895),
+	.B1(n_1242),
+	.B2(n_4968),
+	.Y(n_8771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783534 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4777),
+	.Y(n_8770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783535 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
+	.A2_N(n_5895),
+	.B1(n_1571),
+	.B2(n_4968),
+	.Y(n_8769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783536 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
+	.A2_N(n_5895),
+	.B1(n_1234),
+	.B2(n_4968),
+	.Y(n_8768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783537 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
+	.A2_N(n_5981),
+	.B1(n_1547),
+	.B2(n_4913),
+	.Y(n_8767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783538 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
+	.A2_N(n_5905),
+	.B1(n_1218),
+	.B2(n_4909),
+	.Y(n_8766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783539 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4843),
+	.Y(n_8765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783540 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4843),
+	.Y(n_8764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783541 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4819),
+	.Y(n_8763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783542 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4817),
+	.Y(n_8762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783543 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4789),
+	.Y(n_8761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783544 (
+	.A1(n_4846),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
+	.X(n_8760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783545 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4878),
+	.Y(n_8759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783546 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4845),
+	.Y(n_8758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783547 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4819),
+	.Y(n_8757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783548 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4834),
+	.Y(n_8756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783549 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4817),
+	.Y(n_8755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783550 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4773),
+	.Y(n_8754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783551 (
+	.A1(n_4835),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
+	.X(n_8753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783552 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4843),
+	.Y(n_8752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783553 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4845),
+	.Y(n_8751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783554 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4819),
+	.Y(n_8750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783555 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4834),
+	.Y(n_8749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783556 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4773),
+	.Y(n_8748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783557 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4819),
+	.Y(n_8747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783558 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4843),
+	.Y(n_8746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783559 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4845),
+	.Y(n_8745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783560 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4819),
+	.Y(n_8744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783561 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4773),
+	.Y(n_8743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783562 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4817),
+	.Y(n_8742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783563 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4815),
+	.Y(n_8741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783564 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4773),
+	.Y(n_8740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783565 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
+	.A2_N(n_5918),
+	.B1(n_1572),
+	.B2(n_4972),
+	.Y(n_8739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783566 (
+	.A1(n_4790),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
+	.X(n_8738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783567 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
+	.A2_N(n_5918),
+	.B1(n_1571),
+	.B2(n_4972),
+	.Y(n_8737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783568 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
+	.A2_N(n_5918),
+	.B1(n_1218),
+	.B2(n_4972),
+	.Y(n_8736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783569 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
+	.A2_N(n_5918),
+	.B1(n_1234),
+	.B2(n_4972),
+	.Y(n_8735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783570 (
+	.A1(n_4965),
+	.A2(n_1573),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
+	.X(n_8734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783571 (
+	.A1(n_4816),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
+	.X(n_8733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783572 (
+	.A1(n_4844),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
+	.X(n_8732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783573 (
+	.A1(n_4820),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
+	.X(n_8731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783574 (
+	.A1(n_4835),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
+	.X(n_8730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783575 (
+	.A1(n_4774),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
+	.X(n_8729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783576 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
+	.A2_N(n_5926),
+	.B1(n_1572),
+	.B2(n_4889),
+	.Y(n_8728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783577 (
+	.A1(n_4784),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
+	.X(n_8727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783578 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4826),
+	.Y(n_8726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783579 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
+	.A2_N(n_5926),
+	.B1(n_1571),
+	.B2(n_4889),
+	.Y(n_8725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783580 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4834),
+	.Y(n_8724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783581 (
+	.A1(n_4788),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
+	.X(n_8723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783582 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4815),
+	.Y(n_8722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783583 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4773),
+	.Y(n_8721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783584 (
+	.A1(n_4761),
+	.A2(n_3256),
+	.B1(n_5351),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
+	.X(n_8720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783585 (
+	.A1(n_4780),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
+	.X(n_8719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783586 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4845),
+	.Y(n_8718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783587 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4834),
+	.Y(n_8717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783588 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4843),
+	.Y(n_8716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783589 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4845),
+	.Y(n_8715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783590 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4819),
+	.Y(n_8714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783591 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4817),
+	.Y(n_8713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783592 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
+	.A2_N(n_5913),
+	.B1(n_1572),
+	.B2(n_4905),
+	.Y(n_8712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783593 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4815),
+	.Y(n_8711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783594 (
+	.A1(n_4820),
+	.A2(n_3279),
+	.B1(n_5467),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
+	.X(n_8710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783595 (
+	.A1(n_4774),
+	.A2(n_3279),
+	.B1(n_5467),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
+	.X(n_8709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783596 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4779),
+	.Y(n_8708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783597 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4781),
+	.Y(n_8707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783598 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.B_N(n_6704),
+	.Y(n_8706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783599 (
+	.A(n_6232),
+	.B(n_6014),
+	.Y(n_8705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783600 (
+	.A(n_33199),
+	.B(n_6657),
+	.Y(n_8704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783601 (
+	.A(n_33192),
+	.B(n_6657),
+	.Y(n_8703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783602 (
+	.A(n_33198),
+	.B(n_6657),
+	.Y(n_8702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783603 (
+	.A(n_26860),
+	.B(n_6657),
+	.Y(n_8701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4783),
+	.Y(n_8699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783606 (
+	.A(n_6386),
+	.B(n_29249),
+	.Y(n_8698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783607 (
+	.A1(n_4786),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
+	.X(n_8697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783608 (
+	.A(n_6386),
+	.B(n_29250),
+	.Y(n_8696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783609 (
+	.A(n_6386),
+	.B(n_29251),
+	.Y(n_8695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783610 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[4]),
+	.Y(n_8694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783611 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[5]),
+	.Y(n_8693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783612 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[6]),
+	.Y(n_8692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783613 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[7]),
+	.Y(n_8691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783614 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[8]),
+	.Y(n_8690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783615 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[9]),
+	.Y(n_8689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783616 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[10]),
+	.Y(n_8688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783617 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[11]),
+	.Y(n_8687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783618 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[12]),
+	.Y(n_8686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783619 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[13]),
+	.Y(n_8685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783620 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[14]),
+	.Y(n_8684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783621 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[15]),
+	.Y(n_8683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783622 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[16]),
+	.Y(n_8682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783623 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[17]),
+	.Y(n_8681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783624 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[18]),
+	.Y(n_8680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783625 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[19]),
+	.Y(n_8679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783626 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[20]),
+	.Y(n_8678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783627 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[21]),
+	.Y(n_8677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783628 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[22]),
+	.Y(n_8676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783629 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[23]),
+	.Y(n_8675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783630 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[24]),
+	.Y(n_8674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783631 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[25]),
+	.Y(n_8673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783632 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[26]),
+	.Y(n_8672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783633 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[27]),
+	.Y(n_8671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783634 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[28]),
+	.Y(n_8670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783635 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[29]),
+	.Y(n_8669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783636 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[30]),
+	.Y(n_8668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783637 (
+	.A(n_6386),
+	.B(soc_top_u_top_u_core_csr_mepc[31]),
+	.Y(n_8667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783638 (
+	.A1(n_4778),
+	.A2(n_30021),
+	.B1(n_5475),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
+	.X(n_8666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783639 (
+	.A1(n_4780),
+	.A2(n_30021),
+	.B1(n_5475),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
+	.X(n_8665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783640 (
+	.A1(n_4782),
+	.A2(n_30021),
+	.B1(n_5475),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
+	.X(n_8664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783642 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [6]),
+	.Y(n_8662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783644 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [10]),
+	.Y(n_8660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783645 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [12]),
+	.Y(n_8659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783646 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [14]),
+	.Y(n_8658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783648 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [18]),
+	.Y(n_8656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783655 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [25]),
+	.Y(n_8649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783657 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [27]),
+	.Y(n_8647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783658 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [28]),
+	.Y(n_8646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783659 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [29]),
+	.Y(n_8645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783660 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [30]),
+	.Y(n_8644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783661 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [31]),
+	.Y(n_8643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783662 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [32]),
+	.Y(n_8642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783663 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [33]),
+	.Y(n_8641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783664 (
+	.A(n_6619),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [34]),
+	.Y(n_8640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783665 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [35]),
+	.Y(n_8639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783666 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [36]),
+	.Y(n_8638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783668 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [38]),
+	.Y(n_8636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783670 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [40]),
+	.Y(n_8634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783671 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [41]),
+	.Y(n_8633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783672 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [42]),
+	.Y(n_8632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783673 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [43]),
+	.Y(n_8631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783674 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [44]),
+	.Y(n_8630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783675 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [45]),
+	.Y(n_8629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783676 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [46]),
+	.Y(n_8628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783677 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [47]),
+	.Y(n_8627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783678 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [48]),
+	.Y(n_8626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783679 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [49]),
+	.Y(n_8625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783680 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [50]),
+	.Y(n_8624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783681 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [51]),
+	.Y(n_8623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783682 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [52]),
+	.Y(n_8622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783683 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [53]),
+	.Y(n_8621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783684 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [54]),
+	.Y(n_8620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783685 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [55]),
+	.Y(n_8619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783686 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [56]),
+	.Y(n_8618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g783687 (
+	.A(n_546),
+	.B_N(n_6616),
+	.Y(n_8617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g783688 (
+	.A(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_8616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783689 (
+	.A1(n_4790),
+	.A2(n_30021),
+	.B1(n_5475),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
+	.X(n_8615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783690 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4777),
+	.Y(n_8614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4779),
+	.Y(n_8613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4783),
+	.Y(n_8612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783693 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4785),
+	.Y(n_8611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783694 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4789),
+	.Y(n_8610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783695 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
+	.A2_N(n_5942),
+	.B1(n_1571),
+	.B2(n_4920),
+	.Y(n_8609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783696 (
+	.A1(n_4780),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
+	.X(n_8608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4783),
+	.Y(n_8607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783698 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4787),
+	.Y(n_8606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783699 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4785),
+	.Y(n_8605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783700 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4789),
+	.Y(n_8604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783701 (
+	.A1(n_4784),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
+	.X(n_8603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783702 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
+	.A2_N(n_5356),
+	.B1(n_3309),
+	.B2(n_4856),
+	.Y(n_8602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783703 (
+	.A1(n_4786),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
+	.X(n_8601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783704 (
+	.A1(n_4790),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
+	.X(n_8600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783705 (
+	.A1(n_4784),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
+	.X(n_8599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783706 (
+	.A1(n_4778),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
+	.X(n_8598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783707 (
+	.A1(n_4782),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
+	.X(n_8597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783708 (
+	.A1(n_4784),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
+	.X(n_8596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783709 (
+	.A1(n_4788),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
+	.X(n_8595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783711 (
+	.A1(n_5646),
+	.A2(n_33045),
+	.B1(n_12752),
+	.Y(n_8593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783712 (
+	.A1(n_4788),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
+	.X(n_8592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783713 (
+	.A1(n_4790),
+	.A2(n_3256),
+	.B1(n_5514),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
+	.X(n_8591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783714 (
+	.A1(n_4778),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
+	.X(n_8590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783715 (
+	.A1(n_4786),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
+	.X(n_8589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783716 (
+	.A1(n_4782),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
+	.X(n_8588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783717 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
+	.A2_N(n_5416),
+	.B1(n_3217),
+	.B2(n_4807),
+	.Y(n_8587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783718 (
+	.A1(n_4786),
+	.A2(n_3304),
+	.B1(n_5535),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
+	.X(n_8586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783719 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4845),
+	.Y(n_8585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783720 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4777),
+	.Y(n_8584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783721 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4845),
+	.Y(n_8583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4779),
+	.Y(n_8582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783723 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4781),
+	.Y(n_8581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783724 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4783),
+	.Y(n_8580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783725 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4787),
+	.Y(n_8579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783726 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
+	.A2_N(n_5434),
+	.B1(n_3217),
+	.B2(n_4785),
+	.Y(n_8578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783727 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4781),
+	.Y(n_8577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783728 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4849),
+	.Y(n_8576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783729 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4787),
+	.Y(n_8575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783730 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
+	.A2_N(n_5442),
+	.B1(n_3211),
+	.B2(n_4785),
+	.Y(n_8574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783731 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4777),
+	.Y(n_8573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783732 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4781),
+	.Y(n_8572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783733 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4783),
+	.Y(n_8571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783734 (
+	.A1(n_4778),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
+	.X(n_8570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783735 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4787),
+	.Y(n_8569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783736 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4789),
+	.Y(n_8568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g783737 (
+	.A_N(n_6683),
+	.B(n_2411),
+	.Y(n_8567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783738 (
+	.A1(n_4778),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
+	.X(n_8566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783739 (
+	.A1(n_4780),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
+	.X(n_8565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783740 (
+	.A1(n_4780),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
+	.X(n_8564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783741 (
+	.A1(n_4782),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
+	.X(n_8563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783742 (
+	.A1(n_4784),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
+	.X(n_8562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783743 (
+	.A1(n_4788),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
+	.X(n_8561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783744 (
+	.A1(n_4786),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
+	.X(n_8560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783745 (
+	.A1(n_4790),
+	.A2(n_3279),
+	.B1(n_5484),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
+	.X(n_8559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783746 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
+	.A2_N(n_5941),
+	.B1(n_1572),
+	.B2(n_4927),
+	.Y(n_8558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783747 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
+	.A2_N(n_5911),
+	.B1(n_1569),
+	.B2(n_4940),
+	.Y(n_8557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783748 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
+	.A2_N(n_5941),
+	.B1(n_1547),
+	.B2(n_4927),
+	.Y(n_8556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783749 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
+	.A2_N(n_5941),
+	.B1(n_1242),
+	.B2(n_4927),
+	.Y(n_8555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783750 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
+	.A2_N(n_5941),
+	.B1(n_1569),
+	.B2(n_4927),
+	.Y(n_8554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783751 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
+	.A2_N(n_5941),
+	.B1(n_1571),
+	.B2(n_4927),
+	.Y(n_8553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783752 (
+	.A1(n_4790),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
+	.X(n_8552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783753 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
+	.A2_N(n_5941),
+	.B1(n_1218),
+	.B2(n_4927),
+	.Y(n_8551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783754 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
+	.A2_N(n_5941),
+	.B1(n_1234),
+	.B2(n_4927),
+	.Y(n_8550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783755 (
+	.A1(n_4776),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
+	.X(n_8549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783756 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
+	.A2_N(n_5911),
+	.B1(n_1218),
+	.B2(n_4940),
+	.Y(n_8548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783757 (
+	.A1(n_4800),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
+	.X(n_8547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783758 (
+	.A1(n_4798),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
+	.X(n_8546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783759 (
+	.A1(n_4776),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
+	.X(n_8545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783760 (
+	.A1(n_4792),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
+	.X(n_8544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783761 (
+	.A1(n_4794),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
+	.X(n_8543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g783762 (
+	.A(n_546),
+	.B(n_6256),
+	.Y(n_8542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g783763 (
+	.A1(n_6006),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.B1_N(n_30725),
+	.Y(n_8541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783764 (
+	.A1(n_4858),
+	.A2(n_3216),
+	.B1(n_5400),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
+	.X(n_8540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783765 (
+	.A1(n_4788),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
+	.X(n_8539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783767 (
+	.A1(n_4800),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
+	.X(n_8537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783768 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.B1(n_4482),
+	.B2(n_29277),
+	.C1(n_5072),
+	.Y(n_8536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783769 (
+	.A1(n_25292),
+	.A2(n_5890),
+	.B1(n_5621),
+	.Y(n_8535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783770 (
+	.A1(n_5619),
+	.A2(n_4997),
+	.B1(n_4007),
+	.Y(n_8534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783771 (
+	.A1(n_4798),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
+	.X(n_8533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311o_1 g783772 (
+	.A1(n_2364),
+	.A2(n_25221),
+	.A3(soc_top_u_top_data_we),
+	.B1(n_1672),
+	.C1(n_6653),
+	.X(n_8532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783773 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [16]),
+	.B1(n_6653),
+	.Y(n_8531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783774 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [17]),
+	.B1(n_6653),
+	.Y(n_8530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783775 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [19]),
+	.B1(n_6653),
+	.Y(n_8529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783776 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [20]),
+	.B1(n_6653),
+	.Y(n_8528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783777 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [21]),
+	.B1(n_6653),
+	.Y(n_8527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783778 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [22]),
+	.B1(n_6653),
+	.Y(n_8526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783779 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [23]),
+	.B1(n_6653),
+	.Y(n_8525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783780 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [24]),
+	.B1(n_6653),
+	.Y(n_8524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783781 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [25]),
+	.B1(n_6653),
+	.Y(n_8523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783782 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [26]),
+	.B1(n_6653),
+	.Y(n_8522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783783 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [27]),
+	.B1(n_6653),
+	.Y(n_8521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783784 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [28]),
+	.B1(n_6653),
+	.Y(n_8520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783785 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [29]),
+	.B1(n_6653),
+	.Y(n_8519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783786 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [30]),
+	.B1(n_6653),
+	.Y(n_8518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g783787 (
+	.A1(n_2365),
+	.A2(\soc_top_gpio_to_xbarp[d_data] [31]),
+	.B1(n_6653),
+	.Y(n_8517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783788 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [12]),
+	.B1(n_6382),
+	.X(n_8516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783789 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [13]),
+	.B1(n_6382),
+	.X(n_8515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783790 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [14]),
+	.B1(n_6382),
+	.X(n_8514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783791 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [15]),
+	.B1(n_6382),
+	.X(n_8513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783792 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [24]),
+	.B1(n_6382),
+	.X(n_8512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783793 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [25]),
+	.B1(n_6382),
+	.X(n_8511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783794 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [26]),
+	.B1(n_6382),
+	.X(n_8510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783795 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [27]),
+	.B1(n_6382),
+	.X(n_8509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783796 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [28]),
+	.B1(n_6382),
+	.X(n_8508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783797 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [29]),
+	.B1(n_6382),
+	.X(n_8507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783798 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [30]),
+	.B1(n_6382),
+	.X(n_8506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783799 (
+	.A1(n_25260),
+	.A2(\soc_top_timer_to_xbar[d_data] [31]),
+	.B1(n_6382),
+	.X(n_8505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783800 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[2]),
+	.B1(n_4482),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mtval_q[2]),
+	.C1(n_6145),
+	.Y(n_8504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g783801 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B(n_6013),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_n_941),
+	.X(n_8503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783802 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[13]),
+	.C1(n_6144),
+	.Y(n_8502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783803 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.C1(n_6174),
+	.Y(n_8501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783804 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[16]),
+	.B1(n_2392),
+	.B2(n_29233),
+	.C1(n_6172),
+	.Y(n_8500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783805 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[18]),
+	.B1(n_2392),
+	.B2(n_29235),
+	.C1(n_6170),
+	.Y(n_8499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783806 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[19]),
+	.B1(n_2392),
+	.B2(n_29236),
+	.C1(n_6168),
+	.Y(n_8498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783807 (
+	.A1(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.A2(n_5848),
+	.B1(n_1951),
+	.X(n_8497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783808 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[22]),
+	.B1(n_2392),
+	.B2(n_29239),
+	.C1(n_6164),
+	.Y(n_8496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783809 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[23]),
+	.B1(n_2392),
+	.B2(n_29240),
+	.C1(n_6162),
+	.Y(n_8495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783810 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[24]),
+	.B1(n_2392),
+	.B2(n_29241),
+	.C1(n_6159),
+	.Y(n_8494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783811 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[25]),
+	.B1(n_2392),
+	.B2(n_29242),
+	.C1(n_6156),
+	.Y(n_8493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783812 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[26]),
+	.B1(n_2392),
+	.B2(n_29243),
+	.C1(n_6154),
+	.Y(n_8492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783813 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[28]),
+	.B1(n_2392),
+	.B2(n_29245),
+	.C1(n_6151),
+	.Y(n_8491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783814 (
+	.A1(n_5865),
+	.A2(n_1961),
+	.B1(n_3384),
+	.X(n_8490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783815 (
+	.A1(n_30087),
+	.A2(n_5987),
+	.B1(soc_top_intr_controller_ip[1]),
+	.Y(n_8489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783816 (
+	.A1(n_30087),
+	.A2(n_5599),
+	.B1(soc_top_intr_controller_ip[4]),
+	.Y(n_8488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783817 (
+	.A1(n_30087),
+	.A2(n_5598),
+	.B1(soc_top_intr_controller_ip[5]),
+	.Y(n_8487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783818 (
+	.A1(n_2461),
+	.A2(n_5604),
+	.B1(soc_top_intr_controller_ip[8]),
+	.Y(n_8486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783819 (
+	.A1(n_2461),
+	.A2(n_5987),
+	.B1(soc_top_intr_controller_ip[9]),
+	.Y(n_8485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783820 (
+	.A1(n_30032),
+	.A2(n_5604),
+	.B1(soc_top_intr_controller_ip[10]),
+	.Y(n_8484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783821 (
+	.A1(n_30032),
+	.A2(n_5987),
+	.B1(soc_top_intr_controller_ip[11]),
+	.Y(n_8483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783822 (
+	.A1(n_2461),
+	.A2(n_5599),
+	.B1(soc_top_intr_controller_ip[12]),
+	.Y(n_8482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783823 (
+	.A1(n_2461),
+	.A2(n_5598),
+	.B1(soc_top_intr_controller_ip[13]),
+	.Y(n_8481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783824 (
+	.A1(n_30032),
+	.A2(n_5599),
+	.B1(soc_top_intr_controller_ip[14]),
+	.Y(n_8480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783825 (
+	.A1(n_30032),
+	.A2(n_5598),
+	.B1(soc_top_intr_controller_ip[15]),
+	.Y(n_8479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783826 (
+	.A1(n_30031),
+	.A2(n_5604),
+	.B1(soc_top_intr_controller_ip[24]),
+	.Y(n_8478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783827 (
+	.A1(n_30031),
+	.A2(n_5987),
+	.B1(soc_top_intr_controller_ip[25]),
+	.Y(n_8477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783828 (
+	.A1(n_2464),
+	.A2(n_5987),
+	.B1(soc_top_intr_controller_ip[27]),
+	.Y(n_8476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783829 (
+	.A1(n_30031),
+	.A2(n_5599),
+	.B1(soc_top_intr_controller_ip[28]),
+	.Y(n_8475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783830 (
+	.A1(n_30031),
+	.A2(n_5598),
+	.B1(soc_top_intr_controller_ip[29]),
+	.Y(n_8474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783831 (
+	.A1(n_2464),
+	.A2(n_5599),
+	.B1(soc_top_intr_controller_ip[30]),
+	.Y(n_8473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783832 (
+	.A1(n_2464),
+	.A2(n_5598),
+	.B1(soc_top_intr_controller_ip[31]),
+	.Y(n_8472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783833 (
+	.A1(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.A2(n_5808),
+	.B1(n_1943),
+	.X(n_8471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783834 (
+	.A1(n_5851),
+	.A2(n_1957),
+	.B1(n_3408),
+	.X(n_8470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783835 (
+	.A1(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.A2(n_5850),
+	.B1(n_1957),
+	.X(n_8469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783836 (
+	.A1(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.A2(n_5864),
+	.B1(n_1961),
+	.X(n_8468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783837 (
+	.A1(n_5831),
+	.A2(n_1944),
+	.B1(n_3391),
+	.X(n_8467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783838 (
+	.A1(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.A2(n_5830),
+	.B1(n_1944),
+	.X(n_8466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783839 (
+	.A1(n_5809),
+	.A2(n_1943),
+	.B1(n_3385),
+	.X(n_8465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783840 (
+	.A1(n_5849),
+	.A2(n_1951),
+	.B1(n_3397),
+	.X(n_8464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783841 (
+	.A1(n_5871),
+	.A2(n_1942),
+	.B1(n_3388),
+	.X(n_8463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783842 (
+	.A1(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.A2(n_5870),
+	.B1(n_1942),
+	.X(n_8462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783843 (
+	.A1(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.A2(n_5860),
+	.B1(n_1949),
+	.X(n_8461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783844 (
+	.A1(n_5861),
+	.A2(n_1949),
+	.B1(n_3403),
+	.X(n_8460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783845 (
+	.A1(n_5845),
+	.A2(n_1948),
+	.B1(n_3414),
+	.X(n_8459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783846 (
+	.A1(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.A2(n_5844),
+	.B1(n_1948),
+	.X(n_8458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783847 (
+	.A1(n_5837),
+	.A2(n_1946),
+	.B1(n_3417),
+	.X(n_8457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783848 (
+	.A1(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.A2(n_5836),
+	.B1(n_1946),
+	.X(n_8456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783849 (
+	.A1(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.A2(n_5828),
+	.B1(n_1945),
+	.X(n_8455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783850 (
+	.A1(n_5819),
+	.A2(n_1956),
+	.B1(n_3398),
+	.X(n_8454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783851 (
+	.A1(n_5829),
+	.A2(n_1945),
+	.B1(n_3419),
+	.X(n_8453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783852 (
+	.A1(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.A2(n_5818),
+	.B1(n_1956),
+	.X(n_8452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783853 (
+	.A1(n_5813),
+	.A2(n_1931),
+	.B1(n_3394),
+	.X(n_8451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783854 (
+	.A1(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.A2(n_5812),
+	.B1(n_1931),
+	.X(n_8450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783855 (
+	.A1(n_5807),
+	.A2(n_1959),
+	.B1(n_3392),
+	.X(n_8449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783856 (
+	.A1(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.A2(n_5806),
+	.B1(n_1959),
+	.X(n_8448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783857 (
+	.A1(n_5869),
+	.A2(n_1960),
+	.B1(n_3396),
+	.X(n_8447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783858 (
+	.A1(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.A2(n_5868),
+	.B1(n_1960),
+	.X(n_8446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783859 (
+	.A1(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.A2(n_5862),
+	.B1(n_1952),
+	.X(n_8445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783860 (
+	.A1(n_5863),
+	.A2(n_1952),
+	.B1(n_3395),
+	.X(n_8444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783861 (
+	.A1(n_5859),
+	.A2(n_1954),
+	.B1(n_3393),
+	.X(n_8443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783862 (
+	.A1(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.A2(n_5858),
+	.B1(n_1954),
+	.X(n_8442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783863 (
+	.A1(n_5855),
+	.A2(n_1947),
+	.B1(n_3420),
+	.X(n_8441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783864 (
+	.A1(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.A2(n_5854),
+	.B1(n_1947),
+	.X(n_8440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783865 (
+	.A1(n_5841),
+	.A2(n_1941),
+	.B1(n_3421),
+	.X(n_8439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783866 (
+	.A1(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.A2(n_5840),
+	.B1(n_1941),
+	.X(n_8438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783867 (
+	.A1(n_5839),
+	.A2(n_1940),
+	.B1(n_3412),
+	.X(n_8437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783868 (
+	.A1(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.A2(n_5838),
+	.B1(n_1940),
+	.X(n_8436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783869 (
+	.A1(n_5835),
+	.A2(n_1953),
+	.B1(n_3411),
+	.X(n_8435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783870 (
+	.A1(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.A2(n_5834),
+	.B1(n_1953),
+	.X(n_8434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783871 (
+	.A1(n_5833),
+	.A2(n_1939),
+	.B1(n_3410),
+	.X(n_8433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783872 (
+	.A1(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.A2(n_5832),
+	.B1(n_1939),
+	.X(n_8432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783873 (
+	.A1(n_5827),
+	.A2(n_1938),
+	.B1(n_3386),
+	.X(n_8431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783874 (
+	.A1(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.A2(n_5826),
+	.B1(n_1938),
+	.X(n_8430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783875 (
+	.A1(n_5825),
+	.A2(n_1950),
+	.B1(n_3409),
+	.X(n_8429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783876 (
+	.A1(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.A2(n_5824),
+	.B1(n_1950),
+	.X(n_8428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783877 (
+	.A1(n_5823),
+	.A2(n_1937),
+	.B1(n_3407),
+	.X(n_8427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783878 (
+	.A1(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.A2(n_5822),
+	.B1(n_1937),
+	.X(n_8426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783879 (
+	.A1(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.A2(n_5816),
+	.B1(n_1936),
+	.X(n_8425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783880 (
+	.A1(n_5815),
+	.A2(n_1935),
+	.B1(n_3401),
+	.X(n_8424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783881 (
+	.A1(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.A2(n_5814),
+	.B1(n_1935),
+	.X(n_8423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783882 (
+	.A1(n_5817),
+	.A2(n_1936),
+	.B1(n_3406),
+	.X(n_8422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783883 (
+	.A1(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.A2(n_5810),
+	.B1(n_1934),
+	.X(n_8421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783884 (
+	.A1(n_5811),
+	.A2(n_1934),
+	.B1(n_3405),
+	.X(n_8420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783885 (
+	.A1(n_5805),
+	.A2(n_1933),
+	.B1(n_3404),
+	.X(n_8419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783886 (
+	.A1(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.A2(n_5804),
+	.B1(n_1933),
+	.X(n_8418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783887 (
+	.A1(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.A2(n_5802),
+	.B1(n_1932),
+	.X(n_8417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783888 (
+	.A1(n_5803),
+	.A2(n_1932),
+	.B1(n_3402),
+	.X(n_8416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783889 (
+	.A1(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.A2(n_5872),
+	.B1(n_1962),
+	.X(n_8415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783890 (
+	.A1(n_5873),
+	.A2(n_1962),
+	.B1(n_3400),
+	.X(n_8414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g783891 (
+	.A1(n_5867),
+	.A2(n_1958),
+	.B1(n_3399),
+	.X(n_8413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g783892 (
+	.A1(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.A2(n_5866),
+	.B1(n_1958),
+	.X(n_8412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g783893 (
+	.A1(n_25360),
+	.A2(soc_top_u_top_u_core_fp_flush),
+	.A3(n_67216),
+	.B1(n_6268),
+	.Y(n_8411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g783894 (
+	.A1(n_3454),
+	.A2(n_3457),
+	.B1(n_1378),
+	.B2(n_3455),
+	.C1(n_6191),
+	.Y(n_8410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783895 (
+	.A1(n_4802),
+	.A2(n_30021),
+	.B1(n_5476),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
+	.X(n_8409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783896 (
+	.A1(n_2515),
+	.A2(n_2578),
+	.B1(n_1682),
+	.B2(n_2005),
+	.C1(n_6433),
+	.Y(n_8408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783897 (
+	.A1(n_4796),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
+	.X(n_8407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g783899 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.A2(n_4516),
+	.B1(n_4264),
+	.C1(n_5101),
+	.Y(n_8405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g783900 (
+	.A1(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.A2(n_4510),
+	.B1(n_4266),
+	.C1(n_5080),
+	.Y(n_8404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g783901 (
+	.A(n_1875),
+	.B(n_4181),
+	.C(n_3777),
+	.D(n_4272),
+	.Y(n_8403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783902 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.A2(n_5993),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B2(n_3921),
+	.C1(n_3915),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[0]),
+	.Y(n_8402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783903 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_fflags_q[OF] ),
+	.A2(n_5990),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[2]),
+	.B2(n_4739),
+	.C1(n_2392),
+	.C2(n_29219),
+	.Y(n_8401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783904 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_fflags_q[DZ] ),
+	.A2(n_5990),
+	.B1(n_29251),
+	.B2(n_30738),
+	.C1(n_30788),
+	.C2(n_29220),
+	.Y(n_8400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783905 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[3]),
+	.A2(n_4496),
+	.B1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[17]),
+	.B2(n_4486),
+	.C1(n_4978),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mcause_q[3]),
+	.Y(n_8399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783906 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NV] ),
+	.A2(n_5990),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.B2(n_3930),
+	.C1(n_3928),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.Y(n_8398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783907 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[0]),
+	.A2(n_5991),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.B2(n_3930),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [5]),
+	.Y(n_8397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783908 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[5]),
+	.A2(n_4739),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.B2(n_3918),
+	.C1(n_4746),
+	.C2(soc_top_u_top_u_core_csr_mtvec[5]),
+	.Y(n_8396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783909 (
+	.A1(soc_top_u_top_u_core_fp_frm_csr[1]),
+	.A2(n_5991),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B2(n_3921),
+	.C1(n_3928),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.Y(n_8395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783910 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mtval_q[7]),
+	.A2(n_4482),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[7]),
+	.B2(n_4740),
+	.C1(n_4744),
+	.C2(soc_top_u_top_u_core_csr_mepc[7]),
+	.Y(n_8394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783911 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.A2(n_3918),
+	.B1(soc_top_u_top_u_core_csr_mepc[9]),
+	.B2(n_4744),
+	.C1(n_4482),
+	.C2(n_29258),
+	.Y(n_8393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783912 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.A2(n_3928),
+	.B1(n_29259),
+	.B2(n_4482),
+	.C1(n_4739),
+	.C2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[10]),
+	.Y(n_8392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783913 (
+	.A1(soc_top_u_top_u_core_csr_mtvec[12]),
+	.A2(n_4496),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[12]),
+	.B2(n_4739),
+	.C1(n_4740),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[12]),
+	.Y(n_8391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783914 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[15]),
+	.A2(n_4740),
+	.B1(soc_top_u_top_u_core_csr_mtvec[15]),
+	.B2(n_4746),
+	.C1(n_4744),
+	.C2(soc_top_u_top_u_core_csr_mepc[15]),
+	.Y(n_8390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783915 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.A2(n_3921),
+	.B1(soc_top_u_top_u_core_csr_mtvec[17]),
+	.B2(n_4746),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [17]),
+	.Y(n_8389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783916 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.A2(n_3928),
+	.B1(soc_top_u_top_u_core_csr_mepc[17]),
+	.B2(n_4744),
+	.C1(n_4486),
+	.C2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[1]),
+	.Y(n_8388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783917 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[13]),
+	.A2(n_4486),
+	.B1(soc_top_u_top_u_core_cs_registers_i_mscratch_q[29]),
+	.B2(n_4740),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [29]),
+	.Y(n_8387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783918 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.A2(n_3921),
+	.B1(soc_top_u_top_u_core_csr_mtvec[30]),
+	.B2(n_4496),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [30]),
+	.Y(n_8386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783919 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.A2(n_3921),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[31]),
+	.B2(n_4739),
+	.C1(n_4978),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mcause_q[5]),
+	.Y(n_8385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g783920 (
+	.A(n_3981),
+	.B(n_3993),
+	.C(n_4206),
+	.D(n_6410),
+	.Y(n_8384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783921 (
+	.A1(n_4798),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
+	.X(n_8383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783922 (
+	.A1(n_4802),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
+	.X(n_8382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g783923 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.B(n_30087),
+	.C(n_6694),
+	.Y(n_8381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g783924 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.B(n_2436),
+	.C(n_6694),
+	.Y(n_8380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783925 (
+	.A1(n_4989),
+	.A2(n_1586),
+	.B1(n_27515),
+	.B2(n_1285),
+	.C1(n_5081),
+	.C2(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.Y(n_8379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783927 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4775),
+	.Y(n_8377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g783928 (
+	.A1(n_6008),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_8376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783929 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4791),
+	.Y(n_8375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783930 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4793),
+	.Y(n_8374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g783931 (
+	.A1(n_4161),
+	.A2(n_2816),
+	.B1(soc_top_u_top_u_core_csr_mstatus_mie),
+	.B2(n_30739),
+	.C1(n_4482),
+	.C2(soc_top_u_top_u_core_cs_registers_i_mtval_q[3]),
+	.Y(n_8373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g783932 (
+	.A1(n_3353),
+	.A2(n_3597),
+	.B1(n_2363),
+	.B2(\soc_top_plic_resp[d_data] [1]),
+	.C1(n_5660),
+	.X(n_8372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g783933 (
+	.A1(n_3353),
+	.A2(\soc_top_intr_controller_reg2hw[prio30][q] [0]),
+	.A3(n_3352),
+	.B1(n_2362),
+	.B2(n_5168),
+	.Y(n_8371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g783934 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.B(n_4031),
+	.X(n_8370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g783936 (
+	.A1(n_2882),
+	.A2(n_4152),
+	.B1(n_3930),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.C1(n_3810),
+	.Y(n_8368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g783937 (
+	.A1(n_5009),
+	.A2(n_2503),
+	.B1(n_5010),
+	.B2(n_2570),
+	.C1(n_2571),
+	.X(n_8367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783938 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4819),
+	.Y(n_8366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783939 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
+	.A2_N(n_5356),
+	.B1(n_3309),
+	.B2(n_4860),
+	.Y(n_8365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783940 (
+	.A1(n_4910),
+	.A2(n_1546),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
+	.X(n_8364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4819),
+	.Y(n_8363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783942 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
+	.A2_N(n_5914),
+	.B1(n_1218),
+	.B2(n_4908),
+	.Y(n_8362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783943 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
+	.A2_N(n_5914),
+	.B1(n_1569),
+	.B2(n_4908),
+	.Y(n_8361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783944 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4882),
+	.Y(n_8360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783945 (
+	.A1(n_4946),
+	.A2(n_1568),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
+	.X(n_8359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783946 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4817),
+	.Y(n_8358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783947 (
+	.A1(n_5888),
+	.A2(n_4012),
+	.B1(n_5886),
+	.B2(n_4025),
+	.Y(n_8357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783948 (
+	.A1(n_4910),
+	.A2(n_1568),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
+	.X(n_8356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783949 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4815),
+	.Y(n_8355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783950 (
+	.A1(n_4910),
+	.A2(n_1216),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
+	.X(n_8354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783951 (
+	.A1(n_4910),
+	.A2(n_1570),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
+	.X(n_8353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783952 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4773),
+	.Y(n_8352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783953 (
+	.A1(n_4910),
+	.A2(n_1233),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
+	.X(n_8351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783954 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
+	.A2_N(n_5914),
+	.B1(n_1234),
+	.B2(n_4908),
+	.Y(n_8350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783955 (
+	.A1(n_4923),
+	.A2(n_1573),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
+	.X(n_8349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783956 (
+	.A1(n_5888),
+	.A2(n_4016),
+	.B1(n_4015),
+	.B2(n_5886),
+	.Y(n_8348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783957 (
+	.A1(n_4946),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
+	.X(n_8347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783958 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4829),
+	.Y(n_8346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783959 (
+	.A1(n_30737),
+	.A2(n_5885),
+	.B1(n_4041),
+	.B2(n_5883),
+	.Y(n_8345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783960 (
+	.A1(n_4946),
+	.A2(n_1216),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
+	.X(n_8344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783961 (
+	.A1(n_4812),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
+	.X(n_8343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783962 (
+	.A1(n_4919),
+	.A2(n_1233),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
+	.X(n_8342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783963 (
+	.A1(n_4816),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
+	.X(n_8341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783964 (
+	.A1(n_4923),
+	.A2(n_1546),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
+	.X(n_8340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783965 (
+	.A1(n_4923),
+	.A2(n_1233),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
+	.X(n_8339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783966 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
+	.A2_N(n_5947),
+	.B1(n_1242),
+	.B2(n_4912),
+	.Y(n_8338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783967 (
+	.A1(n_4765),
+	.A2(n_3214),
+	.B1(n_5496),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
+	.X(n_8337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783968 (
+	.A1(n_4946),
+	.A2(n_1233),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
+	.X(n_8336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783969 (
+	.A1(n_4844),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
+	.X(n_8335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783970 (
+	.A1(n_4923),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
+	.X(n_8334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783971 (
+	.A1(n_4806),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
+	.X(n_8333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783972 (
+	.A1(n_4814),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
+	.X(n_8332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783973 (
+	.A1(n_4923),
+	.A2(n_1570),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
+	.X(n_8331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783974 (
+	.A1(n_4945),
+	.A2(n_1546),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
+	.X(n_8330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783975 (
+	.A1(n_4945),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
+	.X(n_8329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783976 (
+	.A1(n_5888),
+	.A2(n_4013),
+	.B1(n_5886),
+	.B2(n_4012),
+	.Y(n_8328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783977 (
+	.A1(n_5885),
+	.A2(n_4025),
+	.B1(n_4037),
+	.B2(n_5883),
+	.Y(n_8327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783978 (
+	.A1(n_4043),
+	.A2(n_5888),
+	.B1(n_5886),
+	.B2(n_4019),
+	.Y(n_8326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783979 (
+	.A1(n_4945),
+	.A2(n_1568),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
+	.X(n_8325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783980 (
+	.A1(n_4945),
+	.A2(n_1570),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
+	.X(n_8324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783981 (
+	.A1(n_29634),
+	.A2(n_5885),
+	.B1(n_4044),
+	.B2(n_5883),
+	.Y(n_8323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783982 (
+	.A1(n_4945),
+	.A2(n_1216),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
+	.X(n_8322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783983 (
+	.A1(n_5888),
+	.A2(n_4045),
+	.B1(n_4006),
+	.B2(n_5886),
+	.Y(n_8321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783984 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
+	.A2_N(n_5922),
+	.B1(n_1234),
+	.B2(n_4893),
+	.Y(n_8320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783985 (
+	.A1(n_4854),
+	.A2(n_3276),
+	.B1(n_5407),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
+	.X(n_8319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783986 (
+	.A1_N(n_4046),
+	.A2_N(n_5882),
+	.B1(n_4020),
+	.B2(n_5885),
+	.Y(n_8318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783987 (
+	.A1(n_4919),
+	.A2(n_1573),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
+	.X(n_8317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783988 (
+	.A1(n_4964),
+	.A2(n_1546),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
+	.X(n_8316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783989 (
+	.A1(n_4964),
+	.A2(n_1570),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
+	.X(n_8315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g783990 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
+	.A2_N(n_5499),
+	.B1(n_3255),
+	.B2(n_4834),
+	.Y(n_8314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783991 (
+	.A1(n_4964),
+	.A2(n_1568),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
+	.X(n_8313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783992 (
+	.A1(n_4919),
+	.A2(n_1546),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
+	.X(n_8312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783993 (
+	.A1(n_4917),
+	.A2(n_1233),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
+	.X(n_8311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783994 (
+	.A1(n_4964),
+	.A2(n_1216),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
+	.X(n_8310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783995 (
+	.A1(n_4919),
+	.A2(n_1568),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
+	.X(n_8309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783996 (
+	.A1(n_4961),
+	.A2(n_1568),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
+	.X(n_8308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g783997 (
+	.A1(n_4006),
+	.A2(n_5885),
+	.B1(n_4020),
+	.B2(n_5883),
+	.Y(n_8307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783998 (
+	.A1(n_4906),
+	.A2(n_1546),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
+	.X(n_8306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g783999 (
+	.A1(n_4964),
+	.A2(n_1233),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
+	.X(n_8305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784000 (
+	.A1(n_4919),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
+	.X(n_8304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784001 (
+	.A1(n_4804),
+	.A2(n_30021),
+	.B1(n_5428),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
+	.X(n_8303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784002 (
+	.A1(n_4961),
+	.A2(n_1573),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
+	.X(n_8302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784003 (
+	.A1(n_4021),
+	.A2(n_5885),
+	.B1(n_4016),
+	.B2(n_5883),
+	.Y(n_8301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784004 (
+	.A1(n_4859),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
+	.X(n_8300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784005 (
+	.A1(n_4919),
+	.A2(n_1570),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
+	.X(n_8299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784006 (
+	.A1(n_5885),
+	.A2(n_4013),
+	.B1(n_4012),
+	.B2(n_5883),
+	.Y(n_8298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784007 (
+	.A1(n_4934),
+	.A2(n_1573),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
+	.X(n_8297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784008 (
+	.A1(n_4919),
+	.A2(n_1216),
+	.B1(n_5973),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
+	.X(n_8296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784009 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4880),
+	.Y(n_8295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784010 (
+	.A1(n_4961),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
+	.X(n_8294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784011 (
+	.A1(n_4763),
+	.A2(n_3214),
+	.B1(n_5496),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
+	.X(n_8293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784012 (
+	.A1(n_4949),
+	.A2(n_1216),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
+	.X(n_8292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784013 (
+	.A1(n_4961),
+	.A2(n_1570),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
+	.X(n_8291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784014 (
+	.A1(n_4040),
+	.A2(n_5888),
+	.B1(n_5886),
+	.B2(n_4013),
+	.Y(n_8290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784015 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
+	.A2_N(n_5893),
+	.B1(n_1218),
+	.B2(n_4903),
+	.Y(n_8289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784016 (
+	.A1(n_4961),
+	.A2(n_1216),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
+	.X(n_8288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784017 (
+	.A1(n_5885),
+	.A2(n_4012),
+	.B1(n_4025),
+	.B2(n_5883),
+	.Y(n_8287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784018 (
+	.A1(n_4042),
+	.A2(n_5888),
+	.B1(n_4021),
+	.B2(n_5886),
+	.Y(n_8286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784019 (
+	.A1(n_5885),
+	.A2(n_4016),
+	.B1(n_4015),
+	.B2(n_5883),
+	.Y(n_8285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784020 (
+	.A1(n_4906),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
+	.X(n_8284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784021 (
+	.A1(n_4961),
+	.A2(n_1233),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
+	.X(n_8283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784022 (
+	.A1(n_4019),
+	.A2(n_5885),
+	.B1(n_29634),
+	.B2(n_5883),
+	.Y(n_8282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784023 (
+	.A1(n_4006),
+	.A2(n_5888),
+	.B1(n_4020),
+	.B2(n_5886),
+	.Y(n_8281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784024 (
+	.A1(n_4818),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
+	.X(n_8280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784025 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4843),
+	.Y(n_8279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784026 (
+	.A1(n_4917),
+	.A2(n_1573),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
+	.X(n_8278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784027 (
+	.A1(n_4942),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
+	.X(n_8277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784028 (
+	.A1(n_4931),
+	.A2(n_1568),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
+	.X(n_8276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784029 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4826),
+	.Y(n_8275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784030 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
+	.A2_N(n_5893),
+	.B1(n_1242),
+	.B2(n_4903),
+	.Y(n_8274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784031 (
+	.A1(n_4944),
+	.A2(n_1568),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
+	.X(n_8273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784032 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4773),
+	.Y(n_8272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784033 (
+	.A1(n_4804),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
+	.X(n_8271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784034 (
+	.A1(n_4916),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
+	.X(n_8270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784035 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
+	.A2_N(n_5898),
+	.B1(n_1234),
+	.B2(n_4898),
+	.Y(n_8269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784036 (
+	.A1(n_4943),
+	.A2(n_1233),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
+	.X(n_8268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784037 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4843),
+	.Y(n_8267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784038 (
+	.A1(n_4963),
+	.A2(n_1573),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
+	.X(n_8266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784039 (
+	.A1(n_4906),
+	.A2(n_1568),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
+	.X(n_8265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784040 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4773),
+	.Y(n_8264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784041 (
+	.A1(n_4869),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
+	.X(n_8263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784042 (
+	.A1(n_4931),
+	.A2(n_1216),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
+	.X(n_8262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784043 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4845),
+	.Y(n_8261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784044 (
+	.A1(n_4820),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
+	.X(n_8260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784045 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
+	.A2_N(n_5892),
+	.B1(n_1569),
+	.B2(n_4907),
+	.Y(n_8259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784046 (
+	.A1(n_4892),
+	.A2(n_1570),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
+	.X(n_8258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784047 (
+	.A1(n_4949),
+	.A2(n_1570),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
+	.X(n_8257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784048 (
+	.A1(n_4966),
+	.A2(n_1573),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
+	.X(n_8256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784049 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4762),
+	.Y(n_8255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784050 (
+	.A1(n_4931),
+	.A2(n_1546),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
+	.X(n_8254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784051 (
+	.A1(n_4917),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
+	.X(n_8253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784052 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4838),
+	.Y(n_8252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784053 (
+	.A1(n_4782),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
+	.X(n_8251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784054 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4845),
+	.Y(n_8250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784055 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4831),
+	.Y(n_8249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784056 (
+	.A1(n_4934),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
+	.X(n_8248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784057 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4815),
+	.Y(n_8247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784058 (
+	.A1(n_4778),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
+	.X(n_8246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784059 (
+	.A1(n_4780),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
+	.X(n_8245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784060 (
+	.A1(n_4806),
+	.A2(n_30021),
+	.B1(n_5428),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
+	.X(n_8244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784061 (
+	.A1(n_4922),
+	.A2(n_1568),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
+	.X(n_8243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784062 (
+	.A1(n_4917),
+	.A2(n_1568),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
+	.X(n_8242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784063 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
+	.A2_N(n_5893),
+	.B1(n_1571),
+	.B2(n_4903),
+	.Y(n_8241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784064 (
+	.A1(n_4854),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
+	.X(n_8240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784065 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
+	.A2_N(n_5907),
+	.B1(n_1569),
+	.B2(n_4890),
+	.Y(n_8239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784066 (
+	.A1(n_4784),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
+	.X(n_8238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784067 (
+	.A1(n_4846),
+	.A2(n_3279),
+	.B1(n_5467),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
+	.X(n_8237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784068 (
+	.A1(n_4786),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
+	.X(n_8236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784069 (
+	.A1(n_4788),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
+	.X(n_8235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784070 (
+	.A1(n_4790),
+	.A2(n_3244),
+	.B1(n_5486),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
+	.X(n_8234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784071 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4781),
+	.Y(n_8233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g784072 (
+	.A1(n_5991),
+	.A2(soc_top_u_top_u_core_fp_frm_csr[2]),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[7]),
+	.Y(n_8232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784073 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
+	.A2_N(n_5926),
+	.B1(n_1242),
+	.B2(n_4889),
+	.Y(n_8231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784074 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
+	.A2_N(n_5898),
+	.B1(n_1569),
+	.B2(n_4898),
+	.Y(n_8230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784075 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
+	.A2_N(n_5926),
+	.B1(n_1547),
+	.B2(n_4889),
+	.Y(n_8229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784076 (
+	.A1(n_4810),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
+	.X(n_8228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784077 (
+	.A1(n_4906),
+	.A2(n_1570),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
+	.X(n_8227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784078 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4832),
+	.Y(n_8226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784079 (
+	.A1(n_4871),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
+	.X(n_8225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784080 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
+	.A2_N(n_5926),
+	.B1(n_1569),
+	.B2(n_4889),
+	.Y(n_8224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784081 (
+	.A1(n_4917),
+	.A2(n_1216),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
+	.X(n_8223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784082 (
+	.A1(n_4770),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
+	.X(n_8222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784083 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
+	.A2_N(n_5893),
+	.B1(n_1234),
+	.B2(n_4903),
+	.Y(n_8221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784084 (
+	.A1(n_4965),
+	.A2(n_1568),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
+	.X(n_8220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784085 (
+	.A1(n_4915),
+	.A2(n_1216),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
+	.X(n_8219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784086 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4828),
+	.Y(n_8218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784087 (
+	.A1(n_4877),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
+	.X(n_8217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784088 (
+	.A1(n_4853),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
+	.X(n_8216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784089 (
+	.A1(n_4942),
+	.A2(n_1546),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
+	.X(n_8215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784090 (
+	.A1(n_4825),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
+	.X(n_8214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784091 (
+	.A1(n_4952),
+	.A2(n_3338),
+	.B1(n_5468),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
+	.X(n_8213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784092 (
+	.A1(n_4808),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
+	.X(n_8212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784093 (
+	.A1(n_4874),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
+	.X(n_8211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784094 (
+	.A1(n_4812),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
+	.X(n_8210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784095 (
+	.A1(n_4959),
+	.A2(n_3338),
+	.B1(n_5468),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
+	.X(n_8209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784096 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4821),
+	.Y(n_8208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784097 (
+	.A1(n_4963),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
+	.X(n_8207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784098 (
+	.A1(n_4863),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
+	.X(n_8206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784099 (
+	.A1(n_4966),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
+	.X(n_8205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784100 (
+	.A1(n_4942),
+	.A2(n_1568),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
+	.X(n_8204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784101 (
+	.A1(n_4963),
+	.A2(n_1568),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
+	.X(n_8203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784102 (
+	.A1(n_4963),
+	.A2(n_1570),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
+	.X(n_8202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784103 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
+	.A2_N(n_5898),
+	.B1(n_1571),
+	.B2(n_4898),
+	.Y(n_8201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784104 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
+	.A2_N(n_5898),
+	.B1(n_1218),
+	.B2(n_4898),
+	.Y(n_8200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784105 (
+	.A1(n_4963),
+	.A2(n_1216),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
+	.X(n_8199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784106 (
+	.A1(n_4954),
+	.A2(n_3338),
+	.B1(n_5468),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
+	.X(n_8198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784107 (
+	.A1(n_4765),
+	.A2(n_3276),
+	.B1(n_5498),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
+	.X(n_8197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784108 (
+	.A1(n_4806),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
+	.X(n_8196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784109 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4841),
+	.Y(n_8195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784110 (
+	.A1(n_4844),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
+	.X(n_8194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784111 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
+	.A2_N(n_5918),
+	.B1(n_1547),
+	.B2(n_4972),
+	.Y(n_8193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784112 (
+	.A1(n_4892),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
+	.X(n_8192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784113 (
+	.A1(n_4963),
+	.A2(n_1233),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
+	.X(n_8191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784114 (
+	.A1(n_4956),
+	.A2(n_3338),
+	.B1(n_5468),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
+	.X(n_8190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784115 (
+	.A1(n_4814),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
+	.X(n_8189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784116 (
+	.A1(n_4922),
+	.A2(n_1216),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
+	.X(n_8188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784117 (
+	.A1(n_4936),
+	.A2(n_1573),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
+	.X(n_8187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784118 (
+	.A1(n_4772),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
+	.X(n_8186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784119 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
+	.A2_N(n_5926),
+	.B1(n_1218),
+	.B2(n_4889),
+	.Y(n_8185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784120 (
+	.A1(n_4811),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
+	.X(n_8184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784121 (
+	.A1(n_4936),
+	.A2(n_1546),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
+	.X(n_8183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784122 (
+	.A1(n_4808),
+	.A2(n_30021),
+	.B1(n_5428),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
+	.X(n_8182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784123 (
+	.A1(n_4936),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
+	.X(n_8181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784124 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
+	.A2_N(n_5947),
+	.B1(n_1547),
+	.B2(n_4912),
+	.Y(n_8180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784125 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
+	.A2_N(n_5893),
+	.B1(n_1572),
+	.B2(n_4903),
+	.Y(n_8179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784126 (
+	.A1(n_4859),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
+	.X(n_8178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784127 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
+	.A2_N(n_5918),
+	.B1(n_1242),
+	.B2(n_4972),
+	.Y(n_8177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784128 (
+	.A1(n_4870),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
+	.X(n_8176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784129 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
+	.A2_N(n_5947),
+	.B1(n_1218),
+	.B2(n_4912),
+	.Y(n_8175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784130 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
+	.A2_N(n_5913),
+	.B1(n_1547),
+	.B2(n_4905),
+	.Y(n_8174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784131 (
+	.A1(n_4842),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
+	.X(n_8173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784132 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
+	.A2_N(n_5926),
+	.B1(n_1234),
+	.B2(n_4889),
+	.Y(n_8172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784133 (
+	.A1(n_4936),
+	.A2(n_1568),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
+	.X(n_8171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784134 (
+	.A1(n_4966),
+	.A2(n_1570),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
+	.X(n_8170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784135 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
+	.A2_N(n_5918),
+	.B1(n_1569),
+	.B2(n_4972),
+	.Y(n_8169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784136 (
+	.A1(n_4942),
+	.A2(n_1216),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
+	.X(n_8168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784137 (
+	.A1(n_4820),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
+	.X(n_8167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784138 (
+	.A1(n_4936),
+	.A2(n_1216),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
+	.X(n_8166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784139 (
+	.A1(n_4936),
+	.A2(n_1570),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
+	.X(n_8165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784140 (
+	.A1(n_4942),
+	.A2(n_1233),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
+	.X(n_8164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784141 (
+	.A1(n_4766),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
+	.X(n_8163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784142 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
+	.A2_N(n_5966),
+	.B1(n_1242),
+	.B2(n_4926),
+	.Y(n_8162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784143 (
+	.A1(n_4966),
+	.A2(n_1233),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
+	.X(n_8161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784144 (
+	.A1(n_4942),
+	.A2(n_1573),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
+	.X(n_8160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784145 (
+	.A1(n_4846),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
+	.X(n_8159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784146 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
+	.A2_N(n_5966),
+	.B1(n_1572),
+	.B2(n_4926),
+	.Y(n_8158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784147 (
+	.A1(n_4936),
+	.A2(n_1233),
+	.B1(n_5984),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
+	.X(n_8157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784148 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
+	.A2_N(n_5893),
+	.B1(n_1547),
+	.B2(n_4903),
+	.Y(n_8156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784149 (
+	.A1(n_4934),
+	.A2(n_1570),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
+	.X(n_8155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784150 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
+	.A2_N(n_5410),
+	.B1(n_3211),
+	.B2(n_4809),
+	.Y(n_8154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784151 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
+	.A2_N(n_5554),
+	.B1(n_3211),
+	.B2(n_4843),
+	.Y(n_8153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784152 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
+	.A2_N(n_5966),
+	.B1(n_1571),
+	.B2(n_4926),
+	.Y(n_8152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784153 (
+	.A1(n_4934),
+	.A2(n_1233),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
+	.X(n_8151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784154 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
+	.A2_N(n_5893),
+	.B1(n_1569),
+	.B2(n_4903),
+	.Y(n_8150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784155 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4881),
+	.Y(n_8149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784156 (
+	.A1(n_4883),
+	.A2(n_3256),
+	.B1(n_5349),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
+	.X(n_8148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784157 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
+	.A2_N(n_5412),
+	.B1(n_30022),
+	.B2(n_4813),
+	.Y(n_8147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784158 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4879),
+	.Y(n_8146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784159 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4838),
+	.Y(n_8145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784160 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4836),
+	.Y(n_8144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784161 (
+	.A1(n_4850),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
+	.X(n_8143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784162 (
+	.A1(n_4768),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
+	.X(n_8142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784163 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4821),
+	.Y(n_8141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784164 (
+	.A1(n_4862),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
+	.X(n_8140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784165 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4828),
+	.Y(n_8139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784166 (
+	.A1(n_4954),
+	.A2(n_3336),
+	.B1(n_5391),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
+	.X(n_8138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784167 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4841),
+	.Y(n_8137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784168 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4878),
+	.Y(n_8136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784169 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4826),
+	.Y(n_8135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784170 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4880),
+	.Y(n_8134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784171 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4951),
+	.Y(n_8133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784172 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4882),
+	.Y(n_8132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784173 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4879),
+	.Y(n_8131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784174 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4827),
+	.Y(n_8130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784175 (
+	.A1(n_4859),
+	.A2(n_3276),
+	.B1(n_5407),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
+	.X(n_8129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784176 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4829),
+	.Y(n_8128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784177 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4953),
+	.Y(n_8127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784178 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4827),
+	.Y(n_8126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784179 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4836),
+	.Y(n_8125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784180 (
+	.A1(n_4854),
+	.A2(n_30021),
+	.B1(n_5574),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
+	.X(n_8124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784181 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4821),
+	.Y(n_8123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784182 (
+	.A1(n_4857),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
+	.X(n_8122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784183 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
+	.A2_N(n_5928),
+	.B1(n_1242),
+	.B2(n_4891),
+	.Y(n_8121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784184 (
+	.A1(n_4837),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
+	.X(n_8120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784185 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4841),
+	.Y(n_8119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784186 (
+	.A1(n_4858),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
+	.X(n_8118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784187 (
+	.A1(n_4850),
+	.A2(n_30021),
+	.B1(n_5574),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
+	.X(n_8117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784188 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4881),
+	.Y(n_8116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784189 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4879),
+	.Y(n_8115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784190 (
+	.A1(n_4855),
+	.A2(n_30021),
+	.B1(n_5574),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
+	.X(n_8114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784191 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4957),
+	.Y(n_8113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784192 (
+	.A1(n_4916),
+	.A2(n_1233),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
+	.X(n_8112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784193 (
+	.A1(n_4764),
+	.A2(n_3276),
+	.B1(n_5498),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
+	.X(n_8111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784194 (
+	.A1(n_4822),
+	.A2(n_3256),
+	.B1(n_5349),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
+	.X(n_8110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784195 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4951),
+	.Y(n_8109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784196 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4849),
+	.Y(n_8108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784197 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4958),
+	.Y(n_8107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784198 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4953),
+	.Y(n_8106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784199 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
+	.A2_N(n_5892),
+	.B1(n_1218),
+	.B2(n_4907),
+	.Y(n_8105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784200 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
+	.A2_N(n_5913),
+	.B1(n_1242),
+	.B2(n_4905),
+	.Y(n_8104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784201 (
+	.A1(n_4833),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
+	.X(n_8103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784202 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4957),
+	.Y(n_8102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784203 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
+	.A2_N(n_5905),
+	.B1(n_1547),
+	.B2(n_4909),
+	.Y(n_8101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784204 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4960),
+	.Y(n_8100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784205 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4958),
+	.Y(n_8099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784206 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
+	.A2_N(n_5907),
+	.B1(n_1218),
+	.B2(n_4890),
+	.Y(n_8098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784207 (
+	.A1(n_4971),
+	.A2(n_1216),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
+	.X(n_8097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784208 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4951),
+	.Y(n_8096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784209 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4847),
+	.Y(n_8095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784210 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4838),
+	.Y(n_8094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784211 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4849),
+	.Y(n_8093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784212 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4824),
+	.Y(n_8092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784213 (
+	.A1(n_4835),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
+	.X(n_8091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784214 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4832),
+	.Y(n_8090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784215 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
+	.A2_N(n_5907),
+	.B1(n_1547),
+	.B2(n_4890),
+	.Y(n_8089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784216 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
+	.A2_N(n_5412),
+	.B1(n_30022),
+	.B2(n_4809),
+	.Y(n_8088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784217 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4817),
+	.Y(n_8087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784218 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4829),
+	.Y(n_8086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784219 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
+	.A2_N(n_5549),
+	.B1(n_3255),
+	.B2(n_4771),
+	.Y(n_8085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784220 (
+	.A1(n_4965),
+	.A2(n_1570),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
+	.X(n_8084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784221 (
+	.A1(n_4971),
+	.A2(n_1546),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
+	.X(n_8083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784222 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4878),
+	.Y(n_8082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784223 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4955),
+	.Y(n_8081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784224 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
+	.A2_N(n_5549),
+	.B1(n_3255),
+	.B2(n_4769),
+	.Y(n_8080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784225 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
+	.A2_N(n_5916),
+	.B1(n_1218),
+	.B2(n_4977),
+	.Y(n_8079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784226 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4823),
+	.Y(n_8078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784227 (
+	.A1(n_4830),
+	.A2(n_3256),
+	.B1(n_5349),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
+	.X(n_8077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784228 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4824),
+	.Y(n_8076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784229 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4834),
+	.Y(n_8075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784230 (
+	.A1(n_4804),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
+	.X(n_8074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784231 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
+	.A2_N(n_5549),
+	.B1(n_3255),
+	.B2(n_4767),
+	.Y(n_8073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784232 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4823),
+	.Y(n_8072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784233 (
+	.A1(n_4766),
+	.A2(n_30021),
+	.B1(n_5457),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
+	.X(n_8071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784234 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4823),
+	.Y(n_8070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784235 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4847),
+	.Y(n_8069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784236 (
+	.A1(n_4861),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
+	.X(n_8068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784237 (
+	.A1(n_4854),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
+	.X(n_8067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784238 (
+	.A1(n_4850),
+	.A2(n_3254),
+	.B1(n_5376),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
+	.X(n_8066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784239 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
+	.A2_N(n_5905),
+	.B1(n_1569),
+	.B2(n_4909),
+	.Y(n_8065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784240 (
+	.A1(n_4965),
+	.A2(n_1546),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
+	.X(n_8064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784241 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4880),
+	.Y(n_8063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784242 (
+	.A1(n_4855),
+	.A2(n_3276),
+	.B1(n_5407),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
+	.X(n_8062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784243 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
+	.A2_N(n_5412),
+	.B1(n_30022),
+	.B2(n_4805),
+	.Y(n_8061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784244 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4827),
+	.Y(n_8060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784245 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4824),
+	.Y(n_8059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784246 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4841),
+	.Y(n_8058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784247 (
+	.A1(n_4855),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
+	.X(n_8057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784248 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4832),
+	.Y(n_8056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784249 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4829),
+	.Y(n_8055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784250 (
+	.A1(n_4772),
+	.A2(n_30021),
+	.B1(n_5457),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
+	.X(n_8054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784251 (
+	.A1(n_4916),
+	.A2(n_1570),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
+	.X(n_8053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784252 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
+	.A2_N(n_5916),
+	.B1(n_1569),
+	.B2(n_4977),
+	.Y(n_8052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g784253 (
+	.A1_N(n_25343),
+	.A2_N(n_5617),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B2(n_4884),
+	.Y(n_8051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784254 (
+	.A1(n_4846),
+	.A2(n_3256),
+	.B1(n_5389),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
+	.X(n_8050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784255 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4834),
+	.Y(n_8049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784256 (
+	.A1(n_4868),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
+	.X(n_8048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784257 (
+	.A1(n_4906),
+	.A2(n_1216),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
+	.X(n_8047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784258 (
+	.A1(n_4861),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
+	.X(n_8046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784259 (
+	.A1(n_4962),
+	.A2(n_1546),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
+	.X(n_8045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784260 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
+	.A2_N(n_5414),
+	.B1(n_3277),
+	.B2(n_4807),
+	.Y(n_8044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784261 (
+	.A1(n_4844),
+	.A2(n_3279),
+	.B1(n_5467),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
+	.X(n_8043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784262 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
+	.A2_N(n_5914),
+	.B1(n_1547),
+	.B2(n_4908),
+	.Y(n_8042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784263 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4847),
+	.Y(n_8041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784264 (
+	.A1(n_4906),
+	.A2(n_1233),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
+	.X(n_8040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784265 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
+	.A2_N(n_5550),
+	.B1(n_3277),
+	.B2(n_4773),
+	.Y(n_8039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784266 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4824),
+	.Y(n_8038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784267 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
+	.A2_N(n_5905),
+	.B1(n_1571),
+	.B2(n_4909),
+	.Y(n_8037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784268 (
+	.A1(n_4858),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
+	.X(n_8036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784269 (
+	.A1(n_4774),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
+	.X(n_8035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784270 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4762),
+	.Y(n_8034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784271 (
+	.A1(n_4965),
+	.A2(n_1216),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
+	.X(n_8033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784272 (
+	.A1(n_4956),
+	.A2(n_3334),
+	.B1(n_5368),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
+	.X(n_8032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784273 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
+	.A2_N(n_5898),
+	.B1(n_1547),
+	.B2(n_4898),
+	.Y(n_8031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784274 (
+	.A1(n_4949),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
+	.X(n_8030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784275 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
+	.A2_N(n_5966),
+	.B1(n_1218),
+	.B2(n_4926),
+	.Y(n_8029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784276 (
+	.A1(n_4962),
+	.A2(n_1216),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
+	.X(n_8028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784277 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
+	.A2_N(n_5966),
+	.B1(n_1234),
+	.B2(n_4926),
+	.Y(n_8027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784278 (
+	.A1(n_4949),
+	.A2(n_1573),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
+	.X(n_8026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784279 (
+	.A1(n_4962),
+	.A2(n_1233),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
+	.X(n_8025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784280 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
+	.A2_N(n_5414),
+	.B1(n_3277),
+	.B2(n_4803),
+	.Y(n_8024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784281 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
+	.A2_N(n_5968),
+	.B1(n_1547),
+	.B2(n_4925),
+	.Y(n_8023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784282 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
+	.A2_N(n_5968),
+	.B1(n_1572),
+	.B2(n_4925),
+	.Y(n_8022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784283 (
+	.A1(n_4768),
+	.A2(n_3276),
+	.B1(n_5498),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
+	.X(n_8021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784284 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
+	.A2_N(n_5968),
+	.B1(n_1242),
+	.B2(n_4925),
+	.Y(n_8020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784285 (
+	.A1(n_4868),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
+	.X(n_8019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784286 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
+	.A2_N(n_5416),
+	.B1(n_3217),
+	.B2(n_4809),
+	.Y(n_8018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784287 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
+	.A2_N(n_5408),
+	.B1(n_3309),
+	.B2(n_4787),
+	.Y(n_8017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784288 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
+	.A2_N(n_5942),
+	.B1(n_1572),
+	.B2(n_4920),
+	.Y(n_8016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784289 (
+	.A1(n_4758),
+	.A2(n_3279),
+	.B1(n_5464),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
+	.X(n_8015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784290 (
+	.A1(n_4750),
+	.A2(n_3279),
+	.B1(n_5464),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
+	.X(n_8014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784291 (
+	.A1(n_4753),
+	.A2(n_3279),
+	.B1(n_5464),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
+	.X(n_8013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784292 (
+	.A1(n_4760),
+	.A2(n_3279),
+	.B1(n_5464),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
+	.X(n_8012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784293 (
+	.A1(n_4758),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
+	.X(n_8011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784294 (
+	.A1(n_4756),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
+	.X(n_8010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784295 (
+	.A1(n_4750),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
+	.X(n_8009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784296 (
+	.A1(n_4753),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
+	.X(n_8008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784297 (
+	.A1(n_4752),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
+	.X(n_8007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784298 (
+	.A1(n_4747),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
+	.X(n_8006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784299 (
+	.A1(n_4760),
+	.A2(n_3276),
+	.B1(n_5539),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
+	.X(n_8005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784300 (
+	.A1(n_4756),
+	.A2(n_3210),
+	.B1(n_5533),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
+	.X(n_8004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784301 (
+	.A1(n_4750),
+	.A2(n_3210),
+	.B1(n_5533),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
+	.X(n_8003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784302 (
+	.A1(n_4747),
+	.A2(n_3210),
+	.B1(n_5533),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
+	.X(n_8002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784303 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
+	.A2_N(n_5942),
+	.B1(n_1547),
+	.B2(n_4920),
+	.Y(n_8001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784304 (
+	.A1(n_4758),
+	.A2(n_3216),
+	.B1(n_5553),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
+	.X(n_8000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784305 (
+	.A1(n_4756),
+	.A2(n_3216),
+	.B1(n_5553),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
+	.X(n_7999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784306 (
+	.A1(n_4753),
+	.A2(n_3216),
+	.B1(n_5553),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
+	.X(n_7998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784307 (
+	.A1(n_4752),
+	.A2(n_3216),
+	.B1(n_5553),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
+	.X(n_7997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784308 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
+	.A2_N(n_5942),
+	.B1(n_1242),
+	.B2(n_4920),
+	.Y(n_7996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784309 (
+	.A1(n_4753),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
+	.X(n_7995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784310 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
+	.A2_N(n_5942),
+	.B1(n_1569),
+	.B2(n_4920),
+	.Y(n_7994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784311 (
+	.A1(n_4756),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
+	.X(n_7993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784312 (
+	.A1(n_4750),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
+	.X(n_7992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784313 (
+	.A1(n_4753),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
+	.X(n_7991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784314 (
+	.A1(n_4760),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
+	.X(n_7990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784315 (
+	.A1(n_4756),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
+	.X(n_7989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784316 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
+	.A2_N(n_5942),
+	.B1(n_1218),
+	.B2(n_4920),
+	.Y(n_7988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784317 (
+	.A1(n_4760),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
+	.X(n_7987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784318 (
+	.A1(n_4758),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
+	.X(n_7986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784319 (
+	.A1(n_4756),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
+	.X(n_7985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784320 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
+	.A2_N(n_5942),
+	.B1(n_1234),
+	.B2(n_4920),
+	.Y(n_7984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784321 (
+	.A1(n_4750),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
+	.X(n_7983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784322 (
+	.A1(n_4753),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
+	.X(n_7982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784323 (
+	.A1(n_4752),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
+	.X(n_7981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784324 (
+	.A1(n_4747),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
+	.X(n_7980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784325 (
+	.A1(n_4760),
+	.A2(n_2763),
+	.B1(n_5474),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
+	.X(n_7979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784326 (
+	.A1(n_4756),
+	.A2(n_2760),
+	.B1(n_5512),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
+	.X(n_7978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784327 (
+	.A1(n_4747),
+	.A2(n_2760),
+	.B1(n_5512),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
+	.X(n_7977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784328 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4757),
+	.Y(n_7976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784329 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4751),
+	.Y(n_7975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784330 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4748),
+	.Y(n_7974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784331 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4759),
+	.Y(n_7973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784332 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4757),
+	.Y(n_7972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784333 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4777),
+	.Y(n_7971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784334 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4754),
+	.Y(n_7970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784335 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4748),
+	.Y(n_7969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784336 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4757),
+	.Y(n_7968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784337 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4755),
+	.Y(n_7967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784338 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4749),
+	.Y(n_7966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784339 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4754),
+	.Y(n_7965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784340 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4751),
+	.Y(n_7964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784341 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4748),
+	.Y(n_7963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784342 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
+	.A2_N(n_5365),
+	.B1(n_3309),
+	.B2(n_4759),
+	.Y(n_7962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784343 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4781),
+	.Y(n_7961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784344 (
+	.A1(n_4750),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
+	.X(n_7960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784345 (
+	.A1(n_4752),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
+	.X(n_7959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784346 (
+	.A1(n_4760),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
+	.X(n_7958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784347 (
+	.A1(n_4756),
+	.A2(n_30021),
+	.B1(n_5530),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
+	.X(n_7957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784348 (
+	.A1(n_4753),
+	.A2(n_30021),
+	.B1(n_5530),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
+	.X(n_7956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784349 (
+	.A1(n_4747),
+	.A2(n_30021),
+	.B1(n_5530),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
+	.X(n_7955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784350 (
+	.A1(n_4756),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
+	.X(n_7954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784351 (
+	.A1(n_4750),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
+	.X(n_7953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784352 (
+	.A1(n_4752),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
+	.X(n_7952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784353 (
+	.A1(n_4760),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
+	.X(n_7951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784354 (
+	.A1(n_4798),
+	.A2(n_3279),
+	.B1(n_5483),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
+	.X(n_7950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784355 (
+	.A1(n_4800),
+	.A2(n_3279),
+	.B1(n_5483),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
+	.X(n_7949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784356 (
+	.A1(n_4792),
+	.A2(n_3279),
+	.B1(n_5483),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
+	.X(n_7948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784357 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4777),
+	.Y(n_7947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784358 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4801),
+	.Y(n_7946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784359 (
+	.A1(n_4782),
+	.A2(n_3223),
+	.B1(n_5515),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
+	.X(n_7945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784360 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4797),
+	.Y(n_7944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784361 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4799),
+	.Y(n_7943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784362 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4795),
+	.Y(n_7942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784363 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4793),
+	.Y(n_7941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784364 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4791),
+	.Y(n_7940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784365 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
+	.A2_N(n_5437),
+	.B1(n_3277),
+	.B2(n_4775),
+	.Y(n_7939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784366 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4797),
+	.Y(n_7938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784367 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4793),
+	.Y(n_7937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784368 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4801),
+	.Y(n_7936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784369 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4781),
+	.Y(n_7935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784370 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4797),
+	.Y(n_7934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784371 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4799),
+	.Y(n_7933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784372 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4795),
+	.Y(n_7932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784373 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4793),
+	.Y(n_7931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784374 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4791),
+	.Y(n_7930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784375 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
+	.A2_N(n_5435),
+	.B1(n_3217),
+	.B2(n_4775),
+	.Y(n_7929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784376 (
+	.A1(n_4931),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
+	.X(n_7928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784377 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
+	.A2_N(n_5909),
+	.B1(n_1571),
+	.B2(n_4941),
+	.Y(n_7927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784378 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
+	.A2_N(n_5909),
+	.B1(n_1569),
+	.B2(n_4941),
+	.Y(n_7926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784379 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
+	.A2_N(n_5909),
+	.B1(n_1547),
+	.B2(n_4941),
+	.Y(n_7925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784380 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
+	.A2_N(n_5909),
+	.B1(n_1572),
+	.B2(n_4941),
+	.Y(n_7924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784381 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4787),
+	.Y(n_7923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784382 (
+	.A1(n_4802),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
+	.X(n_7922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784383 (
+	.A1(n_4800),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
+	.X(n_7921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784384 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4785),
+	.Y(n_7920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784385 (
+	.A1(n_4796),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
+	.X(n_7919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784386 (
+	.A1(n_4794),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
+	.X(n_7918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784387 (
+	.A1(n_4768),
+	.A2(n_3216),
+	.B1(n_5502),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
+	.X(n_7917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784388 (
+	.A1(n_4776),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
+	.X(n_7916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784389 (
+	.A1(n_4798),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
+	.X(n_7915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784390 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4826),
+	.Y(n_7914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784391 (
+	.A1(n_4800),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
+	.X(n_7913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784392 (
+	.A1(n_4796),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
+	.X(n_7912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784393 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4789),
+	.Y(n_7911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784394 (
+	.A1(n_4792),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
+	.X(n_7910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784395 (
+	.A1(n_4776),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
+	.X(n_7909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784396 (
+	.A1(n_4798),
+	.A2(n_2763),
+	.B1(n_5470),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
+	.X(n_7908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784397 (
+	.A1(n_4800),
+	.A2(n_2763),
+	.B1(n_5470),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
+	.X(n_7907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784398 (
+	.A1(n_4782),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
+	.X(n_7906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784399 (
+	.A1(n_4796),
+	.A2(n_2763),
+	.B1(n_5470),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
+	.X(n_7905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784400 (
+	.A1(n_4792),
+	.A2(n_2763),
+	.B1(n_5470),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
+	.X(n_7904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784401 (
+	.A1(n_4776),
+	.A2(n_2763),
+	.B1(n_5470),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
+	.X(n_7903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784402 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4801),
+	.Y(n_7902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784403 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4797),
+	.Y(n_7901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784404 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4795),
+	.Y(n_7900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784405 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4793),
+	.Y(n_7899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784406 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4791),
+	.Y(n_7898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784407 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4828),
+	.Y(n_7897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784408 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4801),
+	.Y(n_7896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784409 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
+	.A2_N(n_5414),
+	.B1(n_3277),
+	.B2(n_4805),
+	.Y(n_7895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784410 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4797),
+	.Y(n_7894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784411 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4799),
+	.Y(n_7893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784412 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4795),
+	.Y(n_7892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784413 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4793),
+	.Y(n_7891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784414 (
+	.A1(n_4778),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
+	.X(n_7890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784415 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4791),
+	.Y(n_7889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784416 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
+	.A2_N(n_5419),
+	.B1(n_3255),
+	.B2(n_4775),
+	.Y(n_7888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784417 (
+	.A1(n_4766),
+	.A2(n_3216),
+	.B1(n_5502),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
+	.X(n_7887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784418 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
+	.A2_N(n_5905),
+	.B1(n_1234),
+	.B2(n_4909),
+	.Y(n_7886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784419 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4799),
+	.Y(n_7885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784420 (
+	.A1(n_4780),
+	.A2(n_2763),
+	.B1(n_5469),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
+	.X(n_7884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784421 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4775),
+	.Y(n_7883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784422 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4801),
+	.Y(n_7882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784423 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4797),
+	.Y(n_7881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784424 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4799),
+	.Y(n_7880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784425 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
+	.A2_N(n_5411),
+	.B1(n_3309),
+	.B2(n_4795),
+	.Y(n_7879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784426 (
+	.A1(n_4931),
+	.A2(n_1233),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
+	.X(n_7878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g784431 (
+	.A1(n_5888),
+	.A2(n_4688),
+	.B1(n_1925),
+	.B2(n_4011),
+	.X(n_8993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g784432 (
+	.A1(n_4279),
+	.A2(n_5283),
+	.B1(n_1356),
+	.Y(n_8992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784446 (
+	.A(n_6685),
+	.B(n_6686),
+	.Y(n_8990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784448 (
+	.A(n_6684),
+	.B(n_5324),
+	.Y(n_8989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g784452 (
+	.A1(n_1367),
+	.A2(n_1291),
+	.A3(n_4703),
+	.B1(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Y(n_7877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g784457 (
+	.A1(n_4017),
+	.A2(n_5885),
+	.B1(n_4020),
+	.B2(n_5888),
+	.Y(n_8987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g784461 (
+	.A(n_5614),
+	.B(n_1298),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.Y(n_8985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g784462 (
+	.A1(n_4045),
+	.A2(n_5885),
+	.B1(n_4499),
+	.B2(n_5886),
+	.X(n_8984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g784478 (
+	.A1(n_5325),
+	.A2(n_2813),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.Y(n_8983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784480 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(n_6699),
+	.Y(n_8982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g784481 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.B_N(n_6691),
+	.Y(n_8981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784482 (
+	.A_N(n_6695),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.Y(n_8980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g784483 (
+	.A_N(n_6696),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.Y(n_8979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g784491 (
+	.A(n_4267),
+	.B(n_6007),
+	.C(n_6001),
+	.Y(n_8978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g784567 (
+	.A1(n_5594),
+	.A2(n_62693),
+	.B1(n_5326),
+	.Y(n_8977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g784570 (
+	.A(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.B(n_5602),
+	.C(n_2803),
+	.X(n_8976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g784571 (
+	.A1(n_1277),
+	.A2(n_5990),
+	.B1(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_8975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g784590 (
+	.A(n_4057),
+	.B(n_6390),
+	.Y(n_8971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784591 (
+	.A(n_6689),
+	.B(n_5327),
+	.Y(n_8970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g784592 (
+	.A(n_5326),
+	.B(n_5327),
+	.C(n_5595),
+	.Y(n_8967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784594 (
+	.A(n_6689),
+	.B(n_62693),
+	.Y(n_8966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g784595 (
+	.A(n_1277),
+	.B(n_6388),
+	.Y(n_8964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g784599 (
+	.A(n_4057),
+	.B(n_6386),
+	.X(n_8962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g784600 (
+	.A(n_6013),
+	.B(n_1545),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_n_941),
+	.X(n_8961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g784601 (
+	.A1(n_6018),
+	.A2(n_64176),
+	.B1_N(n_6003),
+	.X(n_8959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g784602 (
+	.A(n_2367),
+	.B(n_66087),
+	.X(n_8958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784603 (
+	.A(n_6504),
+	.B(n_6647),
+	.X(n_8957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g784604 (
+	.A_N(n_1614),
+	.B(n_6004),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.X(n_8955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g784605 (
+	.A(n_6004),
+	.B(n_1614),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.X(n_8953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g784606 (
+	.A(n_6651),
+	.B(n_6649),
+	.X(n_8951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g784607 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B(n_6618),
+	.Y(n_8929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784609 (
+	.A(n_6670),
+	.B_N(n_2420),
+	.X(n_8913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784610 (
+	.A_N(n_30038),
+	.B(n_6671),
+	.X(n_8912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784611 (
+	.A_N(n_6674),
+	.B(n_2421),
+	.X(n_8911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784612 (
+	.A_N(n_30036),
+	.B(n_6671),
+	.X(n_8910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784613 (
+	.A_N(n_6663),
+	.B(n_2419),
+	.X(n_8909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784614 (
+	.A(n_6663),
+	.B_N(n_2420),
+	.X(n_8908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784615 (
+	.A(n_6677),
+	.B_N(n_2420),
+	.X(n_8907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784616 (
+	.A_N(n_6663),
+	.B(n_2426),
+	.X(n_8906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784617 (
+	.A_N(n_2417),
+	.B(n_6666),
+	.X(n_8905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784618 (
+	.A(n_29988),
+	.B_N(n_2420),
+	.X(n_8904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784619 (
+	.A(n_6682),
+	.B_N(n_2420),
+	.X(n_8903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784620 (
+	.A_N(n_6674),
+	.B(n_2430),
+	.X(n_8902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784621 (
+	.A_N(n_6674),
+	.B(n_2419),
+	.X(n_8901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784622 (
+	.A(n_6674),
+	.B_N(n_2420),
+	.X(n_8900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784623 (
+	.A_N(n_6674),
+	.B(n_2426),
+	.X(n_8899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784624 (
+	.A_N(n_2417),
+	.B(n_6678),
+	.X(n_8898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784625 (
+	.A(n_2425),
+	.B_N(n_6678),
+	.X(n_8897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784626 (
+	.A(n_29989),
+	.B_N(n_2420),
+	.X(n_8896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784627 (
+	.A_N(n_2417),
+	.B(n_6675),
+	.X(n_8895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784628 (
+	.A_N(n_6663),
+	.B(n_2430),
+	.X(n_8894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784629 (
+	.A(n_2434),
+	.B_N(n_6666),
+	.X(n_8893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784630 (
+	.A(n_30036),
+	.B_N(n_6666),
+	.X(n_8892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784632 (
+	.A(n_30038),
+	.B_N(n_6666),
+	.X(n_8890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784633 (
+	.A_N(n_29988),
+	.B(n_2433),
+	.X(n_8889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784634 (
+	.A(n_29988),
+	.B_N(n_2430),
+	.X(n_8888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784635 (
+	.A(n_6670),
+	.B_N(n_2430),
+	.X(n_8887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784636 (
+	.A(n_6670),
+	.B_N(n_2419),
+	.X(n_8886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784637 (
+	.A(n_29988),
+	.B_N(n_2419),
+	.X(n_8885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784638 (
+	.A(n_6682),
+	.B_N(n_2430),
+	.X(n_8884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784639 (
+	.A(n_6682),
+	.B_N(n_2419),
+	.X(n_8883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784640 (
+	.A(n_2434),
+	.B_N(n_6678),
+	.X(n_8882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784641 (
+	.A(n_30038),
+	.B_N(n_6678),
+	.X(n_8881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784642 (
+	.A(n_30036),
+	.B_N(n_6678),
+	.X(n_8880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784643 (
+	.A(n_29989),
+	.B_N(n_2430),
+	.X(n_8879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784645 (
+	.A(n_29989),
+	.B_N(n_2419),
+	.X(n_8877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784646 (
+	.A_N(n_29989),
+	.B(n_2426),
+	.X(n_8876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784647 (
+	.A_N(n_29988),
+	.B(n_2426),
+	.X(n_8875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784648 (
+	.A_N(n_2417),
+	.B(n_6679),
+	.X(n_8874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784649 (
+	.A_N(n_6663),
+	.B(n_2427),
+	.X(n_8873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784650 (
+	.A(n_6677),
+	.B_N(n_2430),
+	.X(n_8872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784651 (
+	.A(n_6677),
+	.B_N(n_2419),
+	.X(n_8871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784652 (
+	.A_N(n_30038),
+	.B(n_6675),
+	.X(n_8870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784653 (
+	.A_N(n_2434),
+	.B(n_6671),
+	.X(n_8869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784654 (
+	.A_N(n_30036),
+	.B(n_6675),
+	.X(n_8868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784655 (
+	.A_N(n_6682),
+	.B(n_2426),
+	.X(n_8867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784656 (
+	.A_N(n_30038),
+	.B(n_6679),
+	.X(n_8866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784657 (
+	.A(n_6670),
+	.B_N(n_2426),
+	.X(n_8865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784658 (
+	.A_N(n_30036),
+	.B(n_6679),
+	.X(n_8864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784660 (
+	.A_N(n_2417),
+	.B(n_6662),
+	.X(n_8862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784661 (
+	.A_N(n_6663),
+	.B(n_2433),
+	.X(n_8861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784662 (
+	.A_N(n_2417),
+	.B(n_6681),
+	.X(n_8860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784663 (
+	.A_N(n_6674),
+	.B(n_2433),
+	.X(n_8859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784664 (
+	.A_N(n_2417),
+	.B(n_6673),
+	.X(n_8858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784665 (
+	.A_N(n_2417),
+	.B(n_6671),
+	.X(n_8857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g784666 (
+	.A(n_2425),
+	.B_N(n_6666),
+	.X(n_8856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784667 (
+	.A_N(n_30038),
+	.B(n_6681),
+	.X(n_8855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784668 (
+	.A_N(n_30036),
+	.B(n_6681),
+	.X(n_8854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784669 (
+	.A_N(n_29989),
+	.B(n_2433),
+	.X(n_8853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784670 (
+	.A_N(n_6663),
+	.B(n_2421),
+	.X(n_8852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g784671 (
+	.A_N(n_6674),
+	.B(n_2427),
+	.X(n_8851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784673 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
+	.A2_N(n_5947),
+	.B1(n_1572),
+	.B2(n_4912),
+	.Y(n_7874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784674 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
+	.A2_N(n_5468),
+	.B1(n_3337),
+	.B2(n_4957),
+	.Y(n_7873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784675 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
+	.A2_N(n_5468),
+	.B1(n_3337),
+	.B2(n_4958),
+	.Y(n_7872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784676 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
+	.A2_N(n_5468),
+	.B1(n_3337),
+	.B2(n_4951),
+	.Y(n_7871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784677 (
+	.A1(n_4956),
+	.A2(n_3339),
+	.B1(n_5405),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
+	.X(n_7870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784678 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4957),
+	.Y(n_7869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784679 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
+	.A2_N(n_5405),
+	.B1(n_30020),
+	.B2(n_4953),
+	.Y(n_7868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784680 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4779),
+	.Y(n_7867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784681 (
+	.A1(n_4956),
+	.A2(n_3341),
+	.B1(n_5481),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
+	.X(n_7866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784682 (
+	.A1(n_4950),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
+	.X(n_7865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784683 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4955),
+	.Y(n_7864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784684 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
+	.A2_N(n_5481),
+	.B1(n_3342),
+	.B2(n_4960),
+	.Y(n_7863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784685 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
+	.A2_N(n_5372),
+	.B1(n_3281),
+	.B2(n_4826),
+	.Y(n_7862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784686 (
+	.A1(n_4956),
+	.A2(n_3331),
+	.B1(n_5395),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
+	.X(n_7861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784687 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4955),
+	.Y(n_7860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784688 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4879),
+	.Y(n_7859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784689 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4958),
+	.Y(n_7858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784690 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
+	.A2_N(n_5395),
+	.B1(n_3332),
+	.B2(n_4960),
+	.Y(n_7857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4881),
+	.Y(n_7856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
+	.A2_N(n_5368),
+	.B1(n_3333),
+	.B2(n_4957),
+	.Y(n_7855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784693 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
+	.A2_N(n_5368),
+	.B1(n_3333),
+	.B2(n_4951),
+	.Y(n_7854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784694 (
+	.A1(n_4959),
+	.A2(n_3334),
+	.B1(n_5368),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
+	.X(n_7853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784695 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
+	.A2_N(n_5398),
+	.B1(n_3329),
+	.B2(n_4957),
+	.Y(n_7852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784696 (
+	.A1(n_4952),
+	.A2(n_3330),
+	.B1(n_5398),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
+	.X(n_7851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
+	.A2_N(n_5398),
+	.B1(n_3329),
+	.B2(n_4951),
+	.Y(n_7850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784698 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
+	.A2_N(n_5922),
+	.B1(n_1242),
+	.B2(n_4893),
+	.Y(n_7849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784699 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
+	.A2_N(n_5391),
+	.B1(n_3335),
+	.B2(n_4957),
+	.Y(n_7848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784700 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
+	.A2_N(n_5438),
+	.B1(n_3277),
+	.B2(n_4785),
+	.Y(n_7847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784701 (
+	.A1(n_4952),
+	.A2(n_3336),
+	.B1(n_5391),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
+	.X(n_7846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784702 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
+	.A2_N(n_5391),
+	.B1(n_3335),
+	.B2(n_4951),
+	.Y(n_7845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784703 (
+	.A1(n_4956),
+	.A2(n_3344),
+	.B1(n_5420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
+	.X(n_7844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784704 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
+	.A2_N(n_5420),
+	.B1(n_3343),
+	.B2(n_4957),
+	.Y(n_7843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784705 (
+	.A1(n_4954),
+	.A2(n_3344),
+	.B1(n_5420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
+	.X(n_7842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784706 (
+	.A1(n_4952),
+	.A2(n_3344),
+	.B1(n_5420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
+	.X(n_7841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784707 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
+	.A2_N(n_5420),
+	.B1(n_3343),
+	.B2(n_4958),
+	.Y(n_7840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784708 (
+	.A1(n_4959),
+	.A2(n_3344),
+	.B1(n_5420),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
+	.X(n_7839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784709 (
+	.A1(n_4899),
+	.A2(n_1216),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
+	.X(n_7838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784710 (
+	.A1(n_4899),
+	.A2(n_1568),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
+	.X(n_7837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784711 (
+	.A1(n_4899),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
+	.X(n_7836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784712 (
+	.A1(n_4899),
+	.A2(n_1546),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
+	.X(n_7835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784713 (
+	.A1(n_4950),
+	.A2(n_1568),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
+	.X(n_7834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784714 (
+	.A1(n_4914),
+	.A2(n_1216),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
+	.X(n_7833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784715 (
+	.A1(n_4914),
+	.A2(n_1568),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
+	.X(n_7832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784716 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4827),
+	.Y(n_7831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784717 (
+	.A1(n_4915),
+	.A2(n_1233),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
+	.X(n_7830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784718 (
+	.A1(n_4915),
+	.A2(n_1570),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
+	.X(n_7829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784719 (
+	.A1(n_4915),
+	.A2(n_1568),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
+	.X(n_7828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784720 (
+	.A1(n_4915),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
+	.X(n_7827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784721 (
+	.A1(n_4915),
+	.A2(n_1573),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
+	.X(n_7826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4838),
+	.Y(n_7825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784723 (
+	.A1(n_4922),
+	.A2(n_1233),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
+	.X(n_7824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784724 (
+	.A1(n_4922),
+	.A2(n_1570),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
+	.X(n_7823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784725 (
+	.A1(n_4922),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
+	.X(n_7822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784726 (
+	.A1(n_4922),
+	.A2(n_1573),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
+	.X(n_7821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784727 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
+	.A2_N(n_5913),
+	.B1(n_1571),
+	.B2(n_4905),
+	.Y(n_7820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784728 (
+	.A1(n_4894),
+	.A2(n_1216),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
+	.X(n_7819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784729 (
+	.A1(n_4894),
+	.A2(n_1570),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
+	.X(n_7818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784730 (
+	.A1(n_4894),
+	.A2(n_1568),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
+	.X(n_7817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784731 (
+	.A1(n_4894),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
+	.X(n_7816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784732 (
+	.A1(n_4894),
+	.A2(n_1546),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
+	.X(n_7815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784733 (
+	.A1(n_4894),
+	.A2(n_1573),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
+	.X(n_7814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784734 (
+	.A1(n_4766),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
+	.X(n_7813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784735 (
+	.A1(n_4895),
+	.A2(n_1233),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
+	.X(n_7812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784736 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
+	.A2_N(n_5913),
+	.B1(n_1569),
+	.B2(n_4905),
+	.Y(n_7811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784737 (
+	.A1(n_4895),
+	.A2(n_1216),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
+	.X(n_7810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784738 (
+	.A1(n_4895),
+	.A2(n_1570),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
+	.X(n_7809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784739 (
+	.A1(n_4895),
+	.A2(n_1568),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
+	.X(n_7808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784740 (
+	.A1(n_4895),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
+	.X(n_7807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784741 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4834),
+	.Y(n_7806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784742 (
+	.A1(n_4895),
+	.A2(n_1546),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
+	.X(n_7805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784743 (
+	.A1(n_4895),
+	.A2(n_1573),
+	.B1(n_5900),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
+	.X(n_7804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784744 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
+	.A2_N(n_5430),
+	.B1(n_3255),
+	.B2(n_4807),
+	.Y(n_7803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784745 (
+	.A1(n_4906),
+	.A2(n_1573),
+	.B1(n_5933),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
+	.X(n_7802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784746 (
+	.A1(n_4947),
+	.A2(n_1216),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
+	.X(n_7801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784747 (
+	.A1(n_4947),
+	.A2(n_1570),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
+	.X(n_7800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784748 (
+	.A1(n_4947),
+	.A2(n_1568),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
+	.X(n_7799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784749 (
+	.A1(n_4947),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
+	.X(n_7798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784750 (
+	.A1(n_4947),
+	.A2(n_1546),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
+	.X(n_7797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784751 (
+	.A1(n_4949),
+	.A2(n_1546),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
+	.X(n_7796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784752 (
+	.A1(n_4768),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
+	.X(n_7795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784753 (
+	.A1(n_4962),
+	.A2(n_1570),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
+	.X(n_7794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784754 (
+	.A1(n_4962),
+	.A2(n_1568),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
+	.X(n_7793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784755 (
+	.A1(n_4962),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
+	.X(n_7792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784756 (
+	.A1(n_4962),
+	.A2(n_1573),
+	.B1(n_5958),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
+	.X(n_7791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784757 (
+	.A1(n_4965),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
+	.X(n_7790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784758 (
+	.A1(n_4931),
+	.A2(n_1570),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
+	.X(n_7789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784759 (
+	.A1(n_4942),
+	.A2(n_1570),
+	.B1(n_5937),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
+	.X(n_7788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784760 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4881),
+	.Y(n_7787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784761 (
+	.A1(n_4943),
+	.A2(n_1546),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
+	.X(n_7786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784762 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4762),
+	.Y(n_7785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784763 (
+	.A1(n_4928),
+	.A2(n_1216),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
+	.X(n_7784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784764 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
+	.A2_N(n_5575),
+	.B1(n_2761),
+	.B2(n_4815),
+	.Y(n_7783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784765 (
+	.A1(n_4917),
+	.A2(n_1570),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
+	.X(n_7782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784766 (
+	.A1(n_4917),
+	.A2(n_1546),
+	.B1(n_5972),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
+	.X(n_7781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784767 (
+	.A1(n_4923),
+	.A2(n_1216),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
+	.X(n_7780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784768 (
+	.A1(n_4923),
+	.A2(n_1568),
+	.B1(n_5962),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
+	.X(n_7779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784769 (
+	.A1(n_4792),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
+	.X(n_7778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784770 (
+	.A1(n_4794),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
+	.X(n_7777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784771 (
+	.A1(n_4900),
+	.A2(n_1233),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
+	.X(n_7776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784772 (
+	.A1(n_4900),
+	.A2(n_1568),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
+	.X(n_7775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784773 (
+	.A1(n_4796),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
+	.X(n_7774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784774 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
+	.A2_N(n_5913),
+	.B1(n_1234),
+	.B2(n_4905),
+	.Y(n_7773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784775 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
+	.A2_N(n_5913),
+	.B1(n_1218),
+	.B2(n_4905),
+	.Y(n_7772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784776 (
+	.A1(n_4910),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
+	.X(n_7771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784777 (
+	.A1(n_4910),
+	.A2(n_1573),
+	.B1(n_5932),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
+	.X(n_7770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784778 (
+	.A1(n_4933),
+	.A2(n_1233),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
+	.X(n_7769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784779 (
+	.A1(n_4802),
+	.A2(n_3280),
+	.B1(n_5482),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
+	.X(n_7768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784780 (
+	.A1(n_4933),
+	.A2(n_1216),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
+	.X(n_7767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784781 (
+	.A1(n_4933),
+	.A2(n_1570),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
+	.X(n_7766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784782 (
+	.A1(n_4933),
+	.A2(n_1568),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
+	.X(n_7765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784783 (
+	.A1(n_4933),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
+	.X(n_7764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784784 (
+	.A1(n_4933),
+	.A2(n_1546),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
+	.X(n_7763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784785 (
+	.A1(n_4933),
+	.A2(n_1573),
+	.B1(n_5982),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
+	.X(n_7762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784786 (
+	.A1(n_4948),
+	.A2(n_1233),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
+	.X(n_7761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784787 (
+	.A1(n_4948),
+	.A2(n_1570),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
+	.X(n_7760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784788 (
+	.A1(n_4948),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
+	.X(n_7759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784789 (
+	.A1(n_4948),
+	.A2(n_1573),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
+	.X(n_7758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784790 (
+	.A1(n_4950),
+	.A2(n_1233),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
+	.X(n_7757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784791 (
+	.A1(n_4950),
+	.A2(n_1216),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
+	.X(n_7756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784792 (
+	.A1(n_4950),
+	.A2(n_1570),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
+	.X(n_7755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784793 (
+	.A1(n_4950),
+	.A2(n_1546),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
+	.X(n_7754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784794 (
+	.A1(n_4950),
+	.A2(n_1573),
+	.B1(n_5959),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
+	.X(n_7753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784795 (
+	.A1(n_4966),
+	.A2(n_1216),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
+	.X(n_7752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784796 (
+	.A1(n_4966),
+	.A2(n_1568),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
+	.X(n_7751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784797 (
+	.A1(n_4966),
+	.A2(n_1546),
+	.B1(n_5955),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
+	.X(n_7750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784798 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
+	.A2_N(n_5922),
+	.B1(n_1572),
+	.B2(n_4893),
+	.Y(n_7749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784799 (
+	.A1(n_4935),
+	.A2(n_1233),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
+	.X(n_7748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784800 (
+	.A1(n_4935),
+	.A2(n_1570),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
+	.X(n_7747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784801 (
+	.A1(n_4935),
+	.A2(n_1568),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
+	.X(n_7746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784802 (
+	.A1(n_4935),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
+	.X(n_7745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784803 (
+	.A1(n_4935),
+	.A2(n_1546),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
+	.X(n_7744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784804 (
+	.A1(n_4935),
+	.A2(n_1573),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
+	.X(n_7743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784805 (
+	.A1(n_4944),
+	.A2(n_1233),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
+	.X(n_7742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784806 (
+	.A1(n_4944),
+	.A2(n_1570),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
+	.X(n_7741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784807 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
+	.A2_N(n_5476),
+	.B1(n_3212),
+	.B2(n_4795),
+	.Y(n_7740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784808 (
+	.A1(n_4944),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
+	.X(n_7739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784809 (
+	.A1(n_4944),
+	.A2(n_1573),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
+	.X(n_7738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784810 (
+	.A1(n_4932),
+	.A2(n_1233),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
+	.X(n_7737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784811 (
+	.A1(n_4932),
+	.A2(n_1216),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
+	.X(n_7736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784812 (
+	.A1(n_4932),
+	.A2(n_1570),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
+	.X(n_7735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784813 (
+	.A1(n_4932),
+	.A2(n_1568),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
+	.X(n_7734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784814 (
+	.A1(n_4932),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
+	.X(n_7733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784815 (
+	.A1(n_4932),
+	.A2(n_1546),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
+	.X(n_7732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784816 (
+	.A1(n_4932),
+	.A2(n_1573),
+	.B1(n_5940),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
+	.X(n_7731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784817 (
+	.A1(n_4934),
+	.A2(n_1216),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
+	.X(n_7730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784818 (
+	.A1(n_4934),
+	.A2(n_1568),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
+	.X(n_7729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784819 (
+	.A1(n_4934),
+	.A2(n_1546),
+	.B1(n_5977),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
+	.X(n_7728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g784820 (
+	.A1_N(n_5106),
+	.A2_N(n_2363),
+	.B1(soc_top_intr_controller_u_reg_le_1_le_33_qs),
+	.B2(n_3998),
+	.Y(n_7727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784821 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
+	.A2_N(n_5914),
+	.B1(n_1571),
+	.B2(n_4908),
+	.Y(n_7726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784822 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
+	.A2_N(n_5914),
+	.B1(n_1242),
+	.B2(n_4908),
+	.Y(n_7725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784823 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
+	.A2_N(n_5914),
+	.B1(n_1572),
+	.B2(n_4908),
+	.Y(n_7724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784824 (
+	.A1(n_4918),
+	.A2(n_1233),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
+	.X(n_7723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784825 (
+	.A1(n_4918),
+	.A2(n_1216),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
+	.X(n_7722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784826 (
+	.A1(n_4918),
+	.A2(n_1570),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
+	.X(n_7721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784827 (
+	.A1(n_4792),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
+	.X(n_7720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784828 (
+	.A1(n_4918),
+	.A2(n_1568),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
+	.X(n_7719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784829 (
+	.A1(n_4918),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
+	.X(n_7718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784830 (
+	.A1(n_4918),
+	.A2(n_1546),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
+	.X(n_7717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784831 (
+	.A1(n_4918),
+	.A2(n_1573),
+	.B1(n_5975),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
+	.X(n_7716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784832 (
+	.A1(n_4916),
+	.A2(n_1216),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
+	.X(n_7715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784833 (
+	.A1(n_4916),
+	.A2(n_1568),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
+	.X(n_7714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784834 (
+	.A1(n_4916),
+	.A2(n_1546),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
+	.X(n_7713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784835 (
+	.A1(n_4916),
+	.A2(n_1573),
+	.B1(n_5971),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
+	.X(n_7712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784836 (
+	.A1(n_4921),
+	.A2(n_1233),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
+	.X(n_7711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784837 (
+	.A1(n_4921),
+	.A2(n_1216),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
+	.X(n_7710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784838 (
+	.A1(n_4921),
+	.A2(n_1570),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
+	.X(n_7709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784839 (
+	.A1(n_4921),
+	.A2(n_1568),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
+	.X(n_7708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784840 (
+	.A1(n_4921),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
+	.X(n_7707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784841 (
+	.A1(n_4921),
+	.A2(n_1546),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
+	.X(n_7706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784842 (
+	.A1(n_4921),
+	.A2(n_1573),
+	.B1(n_5964),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
+	.X(n_7705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784843 (
+	.A1(n_4776),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
+	.X(n_7704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784844 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
+	.A2_N(n_5892),
+	.B1(n_1234),
+	.B2(n_4907),
+	.Y(n_7703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784845 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
+	.A2_N(n_5892),
+	.B1(n_1571),
+	.B2(n_4907),
+	.Y(n_7702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784846 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
+	.A2_N(n_5892),
+	.B1(n_1242),
+	.B2(n_4907),
+	.Y(n_7701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784847 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
+	.A2_N(n_5892),
+	.B1(n_1572),
+	.B2(n_4907),
+	.Y(n_7700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784848 (
+	.A1(n_4897),
+	.A2(n_1233),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
+	.X(n_7699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784849 (
+	.A1(n_4897),
+	.A2(n_1216),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
+	.X(n_7698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784850 (
+	.A1(n_4897),
+	.A2(n_1570),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
+	.X(n_7697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784851 (
+	.A1(n_4897),
+	.A2(n_1568),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
+	.X(n_7696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784852 (
+	.A1(n_4897),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
+	.X(n_7695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784853 (
+	.A1(n_4897),
+	.A2(n_1546),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
+	.X(n_7694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784854 (
+	.A1(n_4794),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
+	.X(n_7693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784855 (
+	.A1(n_4901),
+	.A2(n_1216),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
+	.X(n_7692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784856 (
+	.A1(n_4901),
+	.A2(n_1568),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
+	.X(n_7691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784857 (
+	.A1(n_4902),
+	.A2(n_1233),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
+	.X(n_7690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784858 (
+	.A1(n_4902),
+	.A2(n_1216),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
+	.X(n_7689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784859 (
+	.A1(n_4902),
+	.A2(n_1570),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
+	.X(n_7688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784860 (
+	.A1(n_4902),
+	.A2(n_1568),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
+	.X(n_7687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784861 (
+	.A1(n_4902),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
+	.X(n_7686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784862 (
+	.A1(n_4902),
+	.A2(n_1546),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
+	.X(n_7685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784863 (
+	.A1(n_4800),
+	.A2(n_3244),
+	.B1(n_5485),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
+	.X(n_7684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784864 (
+	.A1(n_4902),
+	.A2(n_1573),
+	.B1(n_5931),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
+	.X(n_7683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784865 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
+	.A2_N(n_5968),
+	.B1(n_1571),
+	.B2(n_4925),
+	.Y(n_7682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784866 (
+	.A1(n_4963),
+	.A2(n_1546),
+	.B1(n_5957),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
+	.X(n_7681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784867 (
+	.A1(n_4915),
+	.A2(n_1546),
+	.B1(n_5976),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
+	.X(n_7680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784868 (
+	.A1(n_4961),
+	.A2(n_1546),
+	.B1(n_5956),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
+	.X(n_7679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784869 (
+	.A1(n_4964),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
+	.X(n_7678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784870 (
+	.A1(n_4964),
+	.A2(n_1573),
+	.B1(n_5953),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
+	.X(n_7677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784871 (
+	.A1(n_4945),
+	.A2(n_1233),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
+	.X(n_7676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784872 (
+	.A1(n_4945),
+	.A2(n_1573),
+	.B1(n_5949),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
+	.X(n_7675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784873 (
+	.A1(n_4929),
+	.A2(n_1568),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
+	.X(n_7674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784874 (
+	.A1(n_4965),
+	.A2(n_1233),
+	.B1(n_5954),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
+	.X(n_7673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784875 (
+	.A1(n_4937),
+	.A2(n_1546),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
+	.X(n_7672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784876 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
+	.A2_N(n_5950),
+	.B1(n_1218),
+	.B2(n_4911),
+	.Y(n_7671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784877 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
+	.A2_N(n_5414),
+	.B1(n_3277),
+	.B2(n_4813),
+	.Y(n_7670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784878 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
+	.A2_N(n_5414),
+	.B1(n_3277),
+	.B2(n_4809),
+	.Y(n_7669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784879 (
+	.A1(n_4811),
+	.A2(n_3210),
+	.B1(n_5410),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
+	.X(n_7668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784880 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
+	.A2_N(n_5410),
+	.B1(n_3211),
+	.B2(n_4813),
+	.Y(n_7667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784881 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
+	.A2_N(n_5410),
+	.B1(n_3211),
+	.B2(n_4805),
+	.Y(n_7666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784882 (
+	.A1(n_4812),
+	.A2(n_3210),
+	.B1(n_5410),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
+	.X(n_7665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784883 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
+	.A2_N(n_5410),
+	.B1(n_3211),
+	.B2(n_4807),
+	.Y(n_7664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784884 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
+	.A2_N(n_5410),
+	.B1(n_3211),
+	.B2(n_4803),
+	.Y(n_7663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784885 (
+	.A1(n_4811),
+	.A2(n_3216),
+	.B1(n_5416),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
+	.X(n_7662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784886 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
+	.A2_N(n_5416),
+	.B1(n_3217),
+	.B2(n_4805),
+	.Y(n_7661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784887 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
+	.A2_N(n_5416),
+	.B1(n_3217),
+	.B2(n_4803),
+	.Y(n_7660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784888 (
+	.A1(n_4811),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
+	.X(n_7659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784889 (
+	.A1(n_4814),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
+	.X(n_7658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784890 (
+	.A1(n_4806),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
+	.X(n_7657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784891 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4791),
+	.Y(n_7656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784892 (
+	.A1(n_4812),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
+	.X(n_7655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784893 (
+	.A1(n_4808),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
+	.X(n_7654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784894 (
+	.A1(n_4810),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
+	.X(n_7653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784895 (
+	.A1(n_4804),
+	.A2(n_3304),
+	.B1(n_5460),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
+	.X(n_7652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784896 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4793),
+	.Y(n_7651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784897 (
+	.A1(n_4810),
+	.A2(n_3256),
+	.B1(n_5458),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
+	.X(n_7650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784898 (
+	.A1(n_4811),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
+	.X(n_7649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784899 (
+	.A1(n_4812),
+	.A2(n_3276),
+	.B1(n_5414),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
+	.X(n_7648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784900 (
+	.A1(n_4814),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
+	.X(n_7647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784901 (
+	.A1(n_4806),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
+	.X(n_7646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784902 (
+	.A1(n_4812),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
+	.X(n_7645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784903 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4795),
+	.Y(n_7644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784904 (
+	.A1(n_4808),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
+	.X(n_7643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784905 (
+	.A1(n_4810),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
+	.X(n_7642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784906 (
+	.A1(n_4804),
+	.A2(n_3223),
+	.B1(n_5459),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
+	.X(n_7641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784907 (
+	.A1(n_4811),
+	.A2(n_2763),
+	.B1(n_5423),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
+	.X(n_7640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784908 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
+	.A2_N(n_5968),
+	.B1(n_1234),
+	.B2(n_4925),
+	.Y(n_7639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784909 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
+	.A2_N(n_5423),
+	.B1(n_2762),
+	.B2(n_4807),
+	.Y(n_7638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784910 (
+	.A1(n_4811),
+	.A2(n_2760),
+	.B1(n_5409),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
+	.X(n_7637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784911 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4797),
+	.Y(n_7636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784912 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
+	.A2_N(n_5409),
+	.B1(n_2761),
+	.B2(n_4813),
+	.Y(n_7635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784913 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
+	.A2_N(n_5409),
+	.B1(n_2761),
+	.B2(n_4805),
+	.Y(n_7634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784914 (
+	.A1(n_4812),
+	.A2(n_2760),
+	.B1(n_5409),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
+	.X(n_7633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784915 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
+	.A2_N(n_5409),
+	.B1(n_2761),
+	.B2(n_4807),
+	.Y(n_7632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784916 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
+	.A2_N(n_5409),
+	.B1(n_2761),
+	.B2(n_4809),
+	.Y(n_7631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784917 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
+	.A2_N(n_5409),
+	.B1(n_2761),
+	.B2(n_4803),
+	.Y(n_7630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784918 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
+	.A2_N(n_5418),
+	.B1(n_3219),
+	.B2(n_4801),
+	.Y(n_7629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784919 (
+	.A1(n_4812),
+	.A2(n_3254),
+	.B1(n_5430),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
+	.X(n_7628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784920 (
+	.A1(n_4811),
+	.A2(n_3218),
+	.B1(n_5432),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
+	.X(n_7627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784921 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
+	.A2_N(n_5432),
+	.B1(n_3219),
+	.B2(n_4813),
+	.Y(n_7626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784922 (
+	.A1(n_4947),
+	.A2(n_1573),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
+	.X(n_7625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784923 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
+	.A2_N(n_5432),
+	.B1(n_3219),
+	.B2(n_4805),
+	.Y(n_7624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784924 (
+	.A1(n_4812),
+	.A2(n_3218),
+	.B1(n_5432),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
+	.X(n_7623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784925 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
+	.A2_N(n_5432),
+	.B1(n_3219),
+	.B2(n_4807),
+	.Y(n_7622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784926 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
+	.A2_N(n_5432),
+	.B1(n_3219),
+	.B2(n_4809),
+	.Y(n_7621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784927 (
+	.A1(n_4948),
+	.A2(n_1546),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
+	.X(n_7620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784928 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
+	.A2_N(n_5432),
+	.B1(n_3219),
+	.B2(n_4803),
+	.Y(n_7619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784929 (
+	.A1(n_4937),
+	.A2(n_1233),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
+	.X(n_7618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784930 (
+	.A1(n_4937),
+	.A2(n_1570),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
+	.X(n_7617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784931 (
+	.A1(n_4937),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
+	.X(n_7616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784932 (
+	.A1(n_4937),
+	.A2(n_1573),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
+	.X(n_7615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784933 (
+	.A1(n_4811),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
+	.X(n_7614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784934 (
+	.A1(n_4814),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
+	.X(n_7613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784935 (
+	.A1(n_4806),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
+	.X(n_7612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784936 (
+	.A1(n_4812),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
+	.X(n_7611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784937 (
+	.A1(n_4808),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
+	.X(n_7610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784938 (
+	.A1(n_4810),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
+	.X(n_7609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784939 (
+	.A1(n_4804),
+	.A2(n_3244),
+	.B1(n_5436),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
+	.X(n_7608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784940 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
+	.A2_N(n_5400),
+	.B1(n_3217),
+	.B2(n_4856),
+	.Y(n_7607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784941 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
+	.A2_N(n_5428),
+	.B1(n_3212),
+	.B2(n_4813),
+	.Y(n_7606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784942 (
+	.A1(n_4812),
+	.A2(n_30021),
+	.B1(n_5428),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
+	.X(n_7605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784943 (
+	.A1(n_4948),
+	.A2(n_1568),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
+	.X(n_7604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784944 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
+	.A2_N(n_5428),
+	.B1(n_3212),
+	.B2(n_4809),
+	.Y(n_7603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784945 (
+	.A1(n_4811),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
+	.X(n_7602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784946 (
+	.A1(n_4814),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
+	.X(n_7601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784947 (
+	.A1(n_4806),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
+	.X(n_7600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784948 (
+	.A1(n_4812),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
+	.X(n_7599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784949 (
+	.A1(n_4808),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
+	.X(n_7598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784950 (
+	.A1(n_4810),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
+	.X(n_7597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784951 (
+	.A1(n_4804),
+	.A2(n_3280),
+	.B1(n_5433),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
+	.X(n_7596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784952 (
+	.A1(n_4811),
+	.A2(n_3214),
+	.B1(n_5412),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
+	.X(n_7595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784953 (
+	.A1(n_4812),
+	.A2(n_3214),
+	.B1(n_5412),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
+	.X(n_7594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784954 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
+	.A2_N(n_5412),
+	.B1(n_30022),
+	.B2(n_4807),
+	.Y(n_7593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784955 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
+	.A2_N(n_5412),
+	.B1(n_30022),
+	.B2(n_4803),
+	.Y(n_7592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784956 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4836),
+	.Y(n_7591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784957 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
+	.A2_N(n_5369),
+	.B1(n_3278),
+	.B2(n_4839),
+	.Y(n_7590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784958 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4839),
+	.Y(n_7589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784959 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
+	.A2_N(n_5341),
+	.B1(n_3277),
+	.B2(n_4823),
+	.Y(n_7588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784960 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4832),
+	.Y(n_7587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784961 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4836),
+	.Y(n_7586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784962 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4841),
+	.Y(n_7585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784963 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4839),
+	.Y(n_7584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784964 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4824),
+	.Y(n_7583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784965 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4823),
+	.Y(n_7582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784966 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
+	.A2_N(n_5363),
+	.B1(n_3211),
+	.B2(n_4847),
+	.Y(n_7581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784967 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4832),
+	.Y(n_7580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784968 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4775),
+	.Y(n_7579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784969 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4839),
+	.Y(n_7578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784970 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4824),
+	.Y(n_7577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784971 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
+	.A2_N(n_5338),
+	.B1(n_3217),
+	.B2(n_4847),
+	.Y(n_7576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784972 (
+	.A1(n_4833),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
+	.X(n_7575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784973 (
+	.A1(n_4837),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
+	.X(n_7574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784974 (
+	.A1(n_4842),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
+	.X(n_7573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784975 (
+	.A1(n_4840),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
+	.X(n_7572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784976 (
+	.A1(n_4825),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
+	.X(n_7571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784977 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
+	.A2_N(n_5345),
+	.B1(n_2940),
+	.B2(n_4823),
+	.Y(n_7570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784978 (
+	.A1(n_4848),
+	.A2(n_3304),
+	.B1(n_5345),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
+	.X(n_7569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784979 (
+	.A1(n_4840),
+	.A2(n_3256),
+	.B1(n_5347),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
+	.X(n_7568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784980 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
+	.A2_N(n_5347),
+	.B1(n_3258),
+	.B2(n_4823),
+	.Y(n_7567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784981 (
+	.A1(n_4833),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
+	.X(n_7566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784982 (
+	.A1(n_4837),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
+	.X(n_7565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784983 (
+	.A1(n_4842),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
+	.X(n_7564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784984 (
+	.A1(n_4840),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
+	.X(n_7563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784985 (
+	.A1(n_4825),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
+	.X(n_7562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784986 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
+	.A2_N(n_5348),
+	.B1(n_29635),
+	.B2(n_4823),
+	.Y(n_7561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g784987 (
+	.A1(n_4848),
+	.A2(n_3223),
+	.B1(n_5348),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
+	.X(n_7560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784988 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
+	.A2_N(n_5455),
+	.B1(n_2761),
+	.B2(n_4799),
+	.Y(n_7559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784989 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4832),
+	.Y(n_7558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784990 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4841),
+	.Y(n_7557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784991 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4847),
+	.Y(n_7556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784992 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
+	.A2_N(n_5920),
+	.B1(n_1234),
+	.B2(n_4938),
+	.Y(n_7555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784993 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
+	.A2_N(n_5920),
+	.B1(n_1218),
+	.B2(n_4938),
+	.Y(n_7554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784994 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
+	.A2_N(n_5920),
+	.B1(n_1571),
+	.B2(n_4938),
+	.Y(n_7553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784995 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
+	.A2_N(n_5920),
+	.B1(n_1569),
+	.B2(n_4938),
+	.Y(n_7552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784996 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
+	.A2_N(n_5920),
+	.B1(n_1242),
+	.B2(n_4938),
+	.Y(n_7551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784997 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
+	.A2_N(n_5920),
+	.B1(n_1547),
+	.B2(n_4938),
+	.Y(n_7550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784998 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
+	.A2_N(n_5920),
+	.B1(n_1572),
+	.B2(n_4938),
+	.Y(n_7549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g784999 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4836),
+	.Y(n_7548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785000 (
+	.A1(n_4949),
+	.A2(n_1233),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
+	.X(n_7547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785001 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4839),
+	.Y(n_7546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785002 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4823),
+	.Y(n_7545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785003 (
+	.A1(n_4802),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
+	.X(n_7544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785004 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
+	.A2_N(n_5470),
+	.B1(n_2762),
+	.B2(n_4793),
+	.Y(n_7543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785005 (
+	.A1(n_4931),
+	.A2(n_1573),
+	.B1(n_5944),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
+	.X(n_7542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785006 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4832),
+	.Y(n_7541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785007 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4836),
+	.Y(n_7540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785008 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4841),
+	.Y(n_7539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785009 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4839),
+	.Y(n_7538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785010 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4824),
+	.Y(n_7537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785011 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4823),
+	.Y(n_7536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785012 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
+	.A2_N(n_5568),
+	.B1(n_3219),
+	.B2(n_4847),
+	.Y(n_7535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785013 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
+	.A2_N(n_5907),
+	.B1(n_1234),
+	.B2(n_4890),
+	.Y(n_7534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785014 (
+	.A1(n_4928),
+	.A2(n_1573),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
+	.X(n_7533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785015 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
+	.A2_N(n_5907),
+	.B1(n_1571),
+	.B2(n_4890),
+	.Y(n_7532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785016 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
+	.A2_N(n_5907),
+	.B1(n_1242),
+	.B2(n_4890),
+	.Y(n_7531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785017 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
+	.A2_N(n_5907),
+	.B1(n_1572),
+	.B2(n_4890),
+	.Y(n_7530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785018 (
+	.A1(n_4833),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
+	.X(n_7529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785019 (
+	.A1(n_4837),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
+	.X(n_7528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785020 (
+	.A1(n_4842),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
+	.X(n_7527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785021 (
+	.A1(n_4840),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
+	.X(n_7526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785022 (
+	.A1(n_4825),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
+	.X(n_7525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785023 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
+	.A2_N(n_5359),
+	.B1(n_3233),
+	.B2(n_4823),
+	.Y(n_7524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785024 (
+	.A1(n_4848),
+	.A2(n_3244),
+	.B1(n_5359),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
+	.X(n_7523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785025 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4836),
+	.Y(n_7522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785026 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4839),
+	.Y(n_7521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785027 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
+	.A2_N(n_5470),
+	.B1(n_2762),
+	.B2(n_4801),
+	.Y(n_7520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785028 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4823),
+	.Y(n_7519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785029 (
+	.A1(n_4833),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
+	.X(n_7518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785030 (
+	.A1(n_4837),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
+	.X(n_7517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785031 (
+	.A1(n_4842),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
+	.X(n_7516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785032 (
+	.A1(n_4840),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
+	.X(n_7515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785033 (
+	.A1(n_4825),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
+	.X(n_7514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785034 (
+	.A1(n_4848),
+	.A2(n_3280),
+	.B1(n_5373),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
+	.X(n_7513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785035 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4832),
+	.Y(n_7512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785036 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4831),
+	.Y(n_7511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785037 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4841),
+	.Y(n_7510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785038 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4824),
+	.Y(n_7509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785039 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4847),
+	.Y(n_7508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785040 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4878),
+	.Y(n_7507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785041 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4826),
+	.Y(n_7506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785042 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4882),
+	.Y(n_7505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785043 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
+	.A2_N(n_5370),
+	.B1(n_3278),
+	.B2(n_4880),
+	.Y(n_7504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785044 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4878),
+	.Y(n_7503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785045 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4826),
+	.Y(n_7502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785046 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4882),
+	.Y(n_7501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785047 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
+	.A2_N(n_5340),
+	.B1(n_3277),
+	.B2(n_4880),
+	.Y(n_7500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785048 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4829),
+	.Y(n_7499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785049 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4878),
+	.Y(n_7498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785050 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4879),
+	.Y(n_7497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785051 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4826),
+	.Y(n_7496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785052 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4882),
+	.Y(n_7495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785053 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4880),
+	.Y(n_7494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785054 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
+	.A2_N(n_5362),
+	.B1(n_3211),
+	.B2(n_4821),
+	.Y(n_7493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785055 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4829),
+	.Y(n_7492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785056 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4879),
+	.Y(n_7491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785057 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4826),
+	.Y(n_7490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785058 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4882),
+	.Y(n_7489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785059 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
+	.A2_N(n_5337),
+	.B1(n_3217),
+	.B2(n_4821),
+	.Y(n_7488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785060 (
+	.A1(n_4830),
+	.A2(n_3304),
+	.B1(n_5346),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
+	.X(n_7487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785061 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
+	.A2_N(n_5346),
+	.B1(n_2940),
+	.B2(n_4878),
+	.Y(n_7486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785062 (
+	.A1(n_4794),
+	.A2(n_3223),
+	.B1(n_5516),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
+	.X(n_7485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785063 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
+	.A2_N(n_5346),
+	.B1(n_2940),
+	.B2(n_4879),
+	.Y(n_7484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785064 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
+	.A2_N(n_5346),
+	.B1(n_2940),
+	.B2(n_4826),
+	.Y(n_7483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785065 (
+	.A1(n_4883),
+	.A2(n_3304),
+	.B1(n_5346),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
+	.X(n_7482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785066 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
+	.A2_N(n_5346),
+	.B1(n_2940),
+	.B2(n_4880),
+	.Y(n_7481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785067 (
+	.A1(n_4822),
+	.A2(n_3304),
+	.B1(n_5346),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
+	.X(n_7480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785068 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
+	.A2_N(n_5349),
+	.B1(n_3258),
+	.B2(n_4878),
+	.Y(n_7479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785069 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
+	.A2_N(n_5349),
+	.B1(n_3258),
+	.B2(n_4879),
+	.Y(n_7478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785070 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
+	.A2_N(n_5349),
+	.B1(n_3258),
+	.B2(n_4826),
+	.Y(n_7477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785071 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
+	.A2_N(n_5349),
+	.B1(n_3258),
+	.B2(n_4880),
+	.Y(n_7476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785072 (
+	.A1(n_4830),
+	.A2(n_3223),
+	.B1(n_5350),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
+	.X(n_7475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785073 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
+	.A2_N(n_5350),
+	.B1(n_29635),
+	.B2(n_4878),
+	.Y(n_7474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785074 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
+	.A2_N(n_5350),
+	.B1(n_29635),
+	.B2(n_4879),
+	.Y(n_7473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785075 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
+	.A2_N(n_5350),
+	.B1(n_29635),
+	.B2(n_4826),
+	.Y(n_7472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785076 (
+	.A1(n_4883),
+	.A2(n_3223),
+	.B1(n_5350),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
+	.X(n_7471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785077 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
+	.A2_N(n_5350),
+	.B1(n_29635),
+	.B2(n_4880),
+	.Y(n_7470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785078 (
+	.A1(n_4822),
+	.A2(n_3223),
+	.B1(n_5350),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
+	.X(n_7469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785079 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4829),
+	.Y(n_7468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785080 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4878),
+	.Y(n_7467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785081 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4879),
+	.Y(n_7466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785082 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4882),
+	.Y(n_7465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785083 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
+	.A2_N(n_5392),
+	.B1(n_2762),
+	.B2(n_4821),
+	.Y(n_7464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785084 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4829),
+	.Y(n_7463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785085 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4878),
+	.Y(n_7462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785086 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4879),
+	.Y(n_7461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785087 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4826),
+	.Y(n_7460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785088 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4882),
+	.Y(n_7459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785089 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4880),
+	.Y(n_7458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785090 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
+	.A2_N(n_5518),
+	.B1(n_2761),
+	.B2(n_4821),
+	.Y(n_7457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785091 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4878),
+	.Y(n_7456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785092 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4829),
+	.Y(n_7455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785093 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4878),
+	.Y(n_7454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785094 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4879),
+	.Y(n_7453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785095 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4826),
+	.Y(n_7452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785096 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4882),
+	.Y(n_7451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785097 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
+	.A2_N(n_5566),
+	.B1(n_3219),
+	.B2(n_4821),
+	.Y(n_7450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785098 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
+	.A2_N(n_5909),
+	.B1(n_1218),
+	.B2(n_4941),
+	.Y(n_7449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785099 (
+	.A1(n_4792),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
+	.X(n_7448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785100 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
+	.A2_N(n_5905),
+	.B1(n_1572),
+	.B2(n_4909),
+	.Y(n_7447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785101 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
+	.A2_N(n_5928),
+	.B1(n_1234),
+	.B2(n_4891),
+	.Y(n_7446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785102 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
+	.A2_N(n_5928),
+	.B1(n_1218),
+	.B2(n_4891),
+	.Y(n_7445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785103 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
+	.A2_N(n_5928),
+	.B1(n_1571),
+	.B2(n_4891),
+	.Y(n_7444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785104 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
+	.A2_N(n_5928),
+	.B1(n_1569),
+	.B2(n_4891),
+	.Y(n_7443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785105 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
+	.A2_N(n_5928),
+	.B1(n_1547),
+	.B2(n_4891),
+	.Y(n_7442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785106 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
+	.A2_N(n_5928),
+	.B1(n_1572),
+	.B2(n_4891),
+	.Y(n_7441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785107 (
+	.A1(n_4798),
+	.A2(n_3256),
+	.B1(n_5513),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
+	.X(n_7440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785108 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
+	.A2_N(n_5385),
+	.B1(n_3212),
+	.B2(n_4880),
+	.Y(n_7439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785109 (
+	.A1(n_4830),
+	.A2(n_3280),
+	.B1(n_5372),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
+	.X(n_7438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785110 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
+	.A2_N(n_5372),
+	.B1(n_3281),
+	.B2(n_4878),
+	.Y(n_7437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785111 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
+	.A2_N(n_5372),
+	.B1(n_3281),
+	.B2(n_4879),
+	.Y(n_7436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785112 (
+	.A1(n_4883),
+	.A2(n_3280),
+	.B1(n_5372),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
+	.X(n_7435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785113 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
+	.A2_N(n_5372),
+	.B1(n_3281),
+	.B2(n_4880),
+	.Y(n_7434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785114 (
+	.A1(n_4822),
+	.A2(n_3280),
+	.B1(n_5372),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
+	.X(n_7433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785115 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4829),
+	.Y(n_7432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785116 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
+	.A2_N(n_5344),
+	.B1(n_30022),
+	.B2(n_4882),
+	.Y(n_7431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785117 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4821),
+	.Y(n_7430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785118 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4828),
+	.Y(n_7429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785119 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4849),
+	.Y(n_7428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785120 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4828),
+	.Y(n_7427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785121 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
+	.A2_N(n_5339),
+	.B1(n_3277),
+	.B2(n_4831),
+	.Y(n_7426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785122 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4838),
+	.Y(n_7425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785123 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4849),
+	.Y(n_7424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785124 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4881),
+	.Y(n_7423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785125 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4828),
+	.Y(n_7422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785126 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4827),
+	.Y(n_7421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785127 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4831),
+	.Y(n_7420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785128 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
+	.A2_N(n_5361),
+	.B1(n_3211),
+	.B2(n_4762),
+	.Y(n_7419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785129 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
+	.A2_N(n_5916),
+	.B1(n_1234),
+	.B2(n_4977),
+	.Y(n_7418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785130 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
+	.A2_N(n_5909),
+	.B1(n_1242),
+	.B2(n_4941),
+	.Y(n_7417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785131 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
+	.A2_N(n_5916),
+	.B1(n_1571),
+	.B2(n_4977),
+	.Y(n_7416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785132 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
+	.A2_N(n_5916),
+	.B1(n_1242),
+	.B2(n_4977),
+	.Y(n_7415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785133 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
+	.A2_N(n_5936),
+	.B1(n_1234),
+	.B2(n_4976),
+	.Y(n_7414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785134 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
+	.A2_N(n_5936),
+	.B1(n_1218),
+	.B2(n_4976),
+	.Y(n_7413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785135 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
+	.A2_N(n_5936),
+	.B1(n_1571),
+	.B2(n_4976),
+	.Y(n_7412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785136 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
+	.A2_N(n_5936),
+	.B1(n_1569),
+	.B2(n_4976),
+	.Y(n_7411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785137 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
+	.A2_N(n_5936),
+	.B1(n_1242),
+	.B2(n_4976),
+	.Y(n_7410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785138 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
+	.A2_N(n_5936),
+	.B1(n_1547),
+	.B2(n_4976),
+	.Y(n_7409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785139 (
+	.A1(n_4761),
+	.A2(n_3304),
+	.B1(n_5936),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
+	.X(n_7408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785140 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4838),
+	.Y(n_7407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785141 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4849),
+	.Y(n_7406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785142 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4828),
+	.Y(n_7405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785143 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
+	.A2_N(n_5351),
+	.B1(n_3258),
+	.B2(n_4831),
+	.Y(n_7404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785144 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4838),
+	.Y(n_7403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785145 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4849),
+	.Y(n_7402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785146 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4881),
+	.Y(n_7401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785147 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4828),
+	.Y(n_7400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785148 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4827),
+	.Y(n_7399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785149 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
+	.A2_N(n_5352),
+	.B1(n_29635),
+	.B2(n_4831),
+	.Y(n_7398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785150 (
+	.A1(n_4761),
+	.A2(n_3223),
+	.B1(n_5352),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
+	.X(n_7397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785151 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4838),
+	.Y(n_7396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785152 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4881),
+	.Y(n_7395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785153 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4827),
+	.Y(n_7394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785154 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
+	.A2_N(n_5909),
+	.B1(n_1234),
+	.B2(n_4941),
+	.Y(n_7393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785155 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
+	.A2_N(n_5393),
+	.B1(n_2762),
+	.B2(n_4762),
+	.Y(n_7392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785156 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4838),
+	.Y(n_7391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785157 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4849),
+	.Y(n_7390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785158 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4881),
+	.Y(n_7389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785159 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4828),
+	.Y(n_7388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785160 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4827),
+	.Y(n_7387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785161 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4831),
+	.Y(n_7386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785162 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
+	.A2_N(n_5517),
+	.B1(n_2761),
+	.B2(n_4762),
+	.Y(n_7385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785163 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4849),
+	.Y(n_7384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785164 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4828),
+	.Y(n_7383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785165 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4831),
+	.Y(n_7382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785166 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
+	.A2_N(n_5565),
+	.B1(n_3255),
+	.B2(n_4762),
+	.Y(n_7381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785167 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4838),
+	.Y(n_7380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785168 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4849),
+	.Y(n_7379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785169 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4881),
+	.Y(n_7378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785170 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4828),
+	.Y(n_7377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785171 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4827),
+	.Y(n_7376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785172 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4831),
+	.Y(n_7375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785173 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
+	.A2_N(n_5564),
+	.B1(n_3219),
+	.B2(n_4762),
+	.Y(n_7374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785174 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4838),
+	.Y(n_7373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785175 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4881),
+	.Y(n_7372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785176 (
+	.A1(n_4943),
+	.A2(n_1570),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
+	.X(n_7371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785177 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4827),
+	.Y(n_7370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785178 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4831),
+	.Y(n_7369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785179 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
+	.A2_N(n_5531),
+	.B1(n_3309),
+	.B2(n_4762),
+	.Y(n_7368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785180 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4838),
+	.Y(n_7367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785181 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4849),
+	.Y(n_7366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785182 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4881),
+	.Y(n_7365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785183 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4828),
+	.Y(n_7364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785184 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4827),
+	.Y(n_7363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785185 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
+	.A2_N(n_5360),
+	.B1(n_3233),
+	.B2(n_4831),
+	.Y(n_7362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785186 (
+	.A1(n_4761),
+	.A2(n_3244),
+	.B1(n_5360),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
+	.X(n_7361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785187 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4849),
+	.Y(n_7360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785188 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4828),
+	.Y(n_7359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785189 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4831),
+	.Y(n_7358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785190 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4838),
+	.Y(n_7357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785191 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4849),
+	.Y(n_7356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785192 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4881),
+	.Y(n_7355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785193 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
+	.A2_N(n_5483),
+	.B1(n_3278),
+	.B2(n_4793),
+	.Y(n_7354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785194 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4828),
+	.Y(n_7353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785195 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4827),
+	.Y(n_7352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785196 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
+	.A2_N(n_5374),
+	.B1(n_3281),
+	.B2(n_4831),
+	.Y(n_7351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785197 (
+	.A1(n_4761),
+	.A2(n_3280),
+	.B1(n_5374),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
+	.X(n_7350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785198 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4881),
+	.Y(n_7349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785199 (
+	.A1(n_4948),
+	.A2(n_1216),
+	.B1(n_5961),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
+	.X(n_7348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785200 (
+	.A1(n_4765),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
+	.X(n_7347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785201 (
+	.A1(n_4763),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
+	.X(n_7346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785202 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
+	.A2_N(n_5498),
+	.B1(n_3277),
+	.B2(n_4769),
+	.Y(n_7345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785203 (
+	.A1(n_4862),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
+	.X(n_7344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785204 (
+	.A1(n_4766),
+	.A2(n_3276),
+	.B1(n_5498),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
+	.X(n_7343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785205 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
+	.A2_N(n_5498),
+	.B1(n_3277),
+	.B2(n_4771),
+	.Y(n_7342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785206 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4791),
+	.Y(n_7341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785207 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
+	.A2_N(n_5494),
+	.B1(n_3211),
+	.B2(n_4767),
+	.Y(n_7340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785208 (
+	.A1(n_4764),
+	.A2(n_3210),
+	.B1(n_5494),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
+	.X(n_7339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785209 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
+	.A2_N(n_5494),
+	.B1(n_3211),
+	.B2(n_4769),
+	.Y(n_7338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785210 (
+	.A1(n_4765),
+	.A2(n_3210),
+	.B1(n_5494),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
+	.X(n_7337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785211 (
+	.A1(n_4766),
+	.A2(n_3210),
+	.B1(n_5494),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
+	.X(n_7336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785212 (
+	.A1(n_4763),
+	.A2(n_3210),
+	.B1(n_5494),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
+	.X(n_7335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785213 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
+	.A2_N(n_5494),
+	.B1(n_3211),
+	.B2(n_4771),
+	.Y(n_7334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785214 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4775),
+	.Y(n_7333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785215 (
+	.A1(n_4764),
+	.A2(n_3216),
+	.B1(n_5502),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
+	.X(n_7332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785216 (
+	.A1(n_4765),
+	.A2(n_3216),
+	.B1(n_5502),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
+	.X(n_7331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785217 (
+	.A1(n_4763),
+	.A2(n_3216),
+	.B1(n_5502),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
+	.X(n_7330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785218 (
+	.A1(n_4865),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
+	.X(n_7329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785219 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
+	.A2_N(n_5502),
+	.B1(n_3217),
+	.B2(n_4771),
+	.Y(n_7328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785220 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4795),
+	.Y(n_7327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785221 (
+	.A1(n_4768),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
+	.X(n_7326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785222 (
+	.A1(n_4764),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
+	.X(n_7325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785223 (
+	.A1(n_4770),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
+	.X(n_7324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785224 (
+	.A1(n_4765),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
+	.X(n_7323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785225 (
+	.A1(n_4766),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
+	.X(n_7322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785226 (
+	.A1(n_4763),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
+	.X(n_7321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785227 (
+	.A1(n_4772),
+	.A2(n_3304),
+	.B1(n_5422),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
+	.X(n_7320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785228 (
+	.A1(n_4764),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
+	.X(n_7319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785229 (
+	.A1(n_4765),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
+	.X(n_7318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785230 (
+	.A1(n_4763),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
+	.X(n_7317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785231 (
+	.A1(n_4772),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
+	.X(n_7316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785232 (
+	.A1(n_4768),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
+	.X(n_7315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785233 (
+	.A1(n_4764),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
+	.X(n_7314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785234 (
+	.A1(n_4770),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
+	.X(n_7313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785235 (
+	.A1(n_4765),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
+	.X(n_7312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785236 (
+	.A1(n_4766),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
+	.X(n_7311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785237 (
+	.A1(n_4763),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
+	.X(n_7310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785238 (
+	.A1(n_4772),
+	.A2(n_3223),
+	.B1(n_5425),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
+	.X(n_7309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785239 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4799),
+	.Y(n_7308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785240 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
+	.A2_N(n_5911),
+	.B1(n_1234),
+	.B2(n_4940),
+	.Y(n_7307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785241 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
+	.A2_N(n_5911),
+	.B1(n_1571),
+	.B2(n_4940),
+	.Y(n_7306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785242 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
+	.A2_N(n_5911),
+	.B1(n_1242),
+	.B2(n_4940),
+	.Y(n_7305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785243 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
+	.A2_N(n_5911),
+	.B1(n_1547),
+	.B2(n_4940),
+	.Y(n_7304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785244 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
+	.A2_N(n_5911),
+	.B1(n_1572),
+	.B2(n_4940),
+	.Y(n_7303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785245 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
+	.A2_N(n_5479),
+	.B1(n_2761),
+	.B2(n_4767),
+	.Y(n_7302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785246 (
+	.A1(n_4764),
+	.A2(n_2760),
+	.B1(n_5479),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
+	.X(n_7301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785247 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
+	.A2_N(n_5479),
+	.B1(n_2761),
+	.B2(n_4769),
+	.Y(n_7300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785248 (
+	.A1(n_4765),
+	.A2(n_2760),
+	.B1(n_5479),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
+	.X(n_7299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785249 (
+	.A1(n_4766),
+	.A2(n_2760),
+	.B1(n_5479),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
+	.X(n_7298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785250 (
+	.A1(n_4763),
+	.A2(n_2760),
+	.B1(n_5479),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
+	.X(n_7297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785251 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
+	.A2_N(n_5479),
+	.B1(n_2761),
+	.B2(n_4771),
+	.Y(n_7296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785252 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
+	.A2_N(n_5443),
+	.B1(n_3211),
+	.B2(n_4801),
+	.Y(n_7295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785253 (
+	.A1(n_4764),
+	.A2(n_3254),
+	.B1(n_5549),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
+	.X(n_7294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785254 (
+	.A1(n_4765),
+	.A2(n_3254),
+	.B1(n_5549),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
+	.X(n_7293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785255 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4783),
+	.Y(n_7292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785256 (
+	.A1(n_4766),
+	.A2(n_3254),
+	.B1(n_5549),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
+	.X(n_7291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785257 (
+	.A1(n_4763),
+	.A2(n_3254),
+	.B1(n_5549),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
+	.X(n_7290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785258 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
+	.A2_N(n_5547),
+	.B1(n_3219),
+	.B2(n_4767),
+	.Y(n_7289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785259 (
+	.A1(n_4764),
+	.A2(n_3218),
+	.B1(n_5547),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
+	.X(n_7288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785260 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
+	.A2_N(n_5547),
+	.B1(n_3219),
+	.B2(n_4769),
+	.Y(n_7287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785261 (
+	.A1(n_4765),
+	.A2(n_3218),
+	.B1(n_5547),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
+	.X(n_7286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785262 (
+	.A1(n_4766),
+	.A2(n_3218),
+	.B1(n_5547),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
+	.X(n_7285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785263 (
+	.A1(n_4763),
+	.A2(n_3218),
+	.B1(n_5547),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
+	.X(n_7284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785264 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
+	.A2_N(n_5547),
+	.B1(n_3219),
+	.B2(n_4771),
+	.Y(n_7283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785265 (
+	.A1(n_4971),
+	.A2(n_1233),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
+	.X(n_7282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785266 (
+	.A1(n_4971),
+	.A2(n_1570),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
+	.X(n_7281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785267 (
+	.A1(n_4971),
+	.A2(n_1568),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
+	.X(n_7280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785268 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
+	.A2_N(n_5454),
+	.B1(n_2761),
+	.B2(n_4779),
+	.Y(n_7279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785269 (
+	.A1(n_4971),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
+	.X(n_7278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785270 (
+	.A1(n_4971),
+	.A2(n_1573),
+	.B1(n_5934),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
+	.X(n_7277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785271 (
+	.A1(n_4768),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
+	.X(n_7276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785272 (
+	.A1(n_4764),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
+	.X(n_7275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785273 (
+	.A1(n_4770),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
+	.X(n_7274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785274 (
+	.A1(n_4765),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
+	.X(n_7273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785275 (
+	.A1(n_4766),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
+	.X(n_7272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785276 (
+	.A1(n_4763),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
+	.X(n_7271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785277 (
+	.A1(n_4772),
+	.A2(n_3244),
+	.B1(n_5445),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
+	.X(n_7270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785278 (
+	.A1(n_4764),
+	.A2(n_30021),
+	.B1(n_5457),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
+	.X(n_7269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785279 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
+	.A2_N(n_5457),
+	.B1(n_3212),
+	.B2(n_4769),
+	.Y(n_7268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785280 (
+	.A1(n_4765),
+	.A2(n_30021),
+	.B1(n_5457),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
+	.X(n_7267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785281 (
+	.A1(n_4763),
+	.A2(n_30021),
+	.B1(n_5457),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
+	.X(n_7266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785282 (
+	.A1(n_4768),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
+	.X(n_7265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785283 (
+	.A1(n_4764),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
+	.X(n_7264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785284 (
+	.A1(n_4770),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
+	.X(n_7263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785285 (
+	.A1(n_4765),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
+	.X(n_7262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785286 (
+	.A1(n_4766),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
+	.X(n_7261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785287 (
+	.A1(n_4763),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
+	.X(n_7260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785288 (
+	.A1(n_4772),
+	.A2(n_3280),
+	.B1(n_5453),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
+	.X(n_7259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785289 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
+	.A2_N(n_5496),
+	.B1(n_30022),
+	.B2(n_4767),
+	.Y(n_7258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785290 (
+	.A1(n_4764),
+	.A2(n_3214),
+	.B1(n_5496),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
+	.X(n_7257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785291 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
+	.A2_N(n_5496),
+	.B1(n_30022),
+	.B2(n_4769),
+	.Y(n_7256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785292 (
+	.A1(n_4766),
+	.A2(n_3214),
+	.B1(n_5496),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
+	.X(n_7255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785293 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
+	.A2_N(n_5496),
+	.B1(n_30022),
+	.B2(n_4771),
+	.Y(n_7254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785294 (
+	.A1(n_4858),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
+	.X(n_7253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785295 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
+	.A2_N(n_5558),
+	.B1(n_3278),
+	.B2(n_4856),
+	.Y(n_7252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785296 (
+	.A1(n_4850),
+	.A2(n_3279),
+	.B1(n_5558),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
+	.X(n_7251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785297 (
+	.A1(n_4858),
+	.A2(n_3276),
+	.B1(n_5407),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
+	.X(n_7250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785298 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
+	.A2_N(n_5407),
+	.B1(n_3277),
+	.B2(n_4856),
+	.Y(n_7249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785299 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
+	.A2_N(n_5407),
+	.B1(n_3277),
+	.B2(n_4860),
+	.Y(n_7248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785300 (
+	.A1(n_4850),
+	.A2(n_3276),
+	.B1(n_5407),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
+	.X(n_7247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785301 (
+	.A1(n_4859),
+	.A2(n_3210),
+	.B1(n_5593),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
+	.X(n_7246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785302 (
+	.A1(n_4858),
+	.A2(n_3210),
+	.B1(n_5593),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
+	.X(n_7245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785303 (
+	.A1(n_4854),
+	.A2(n_3210),
+	.B1(n_5593),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
+	.X(n_7244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785304 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
+	.A2_N(n_5593),
+	.B1(n_3211),
+	.B2(n_4856),
+	.Y(n_7243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785305 (
+	.A1(n_4855),
+	.A2(n_3210),
+	.B1(n_5593),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
+	.X(n_7242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785306 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
+	.A2_N(n_5593),
+	.B1(n_3211),
+	.B2(n_4860),
+	.Y(n_7241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785307 (
+	.A1(n_4850),
+	.A2(n_3210),
+	.B1(n_5593),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
+	.X(n_7240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785308 (
+	.A1(n_4859),
+	.A2(n_3216),
+	.B1(n_5400),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
+	.X(n_7239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785309 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
+	.A2_N(n_5483),
+	.B1(n_3278),
+	.B2(n_4775),
+	.Y(n_7238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785310 (
+	.A1(n_4854),
+	.A2(n_3216),
+	.B1(n_5400),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
+	.X(n_7237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785311 (
+	.A1(n_4855),
+	.A2(n_3216),
+	.B1(n_5400),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
+	.X(n_7236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785312 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
+	.A2_N(n_5400),
+	.B1(n_3217),
+	.B2(n_4860),
+	.Y(n_7235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785313 (
+	.A1(n_4850),
+	.A2(n_3216),
+	.B1(n_5400),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
+	.X(n_7234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785314 (
+	.A1(n_4859),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
+	.X(n_7233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785315 (
+	.A1(n_4858),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
+	.X(n_7232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785316 (
+	.A1(n_4854),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
+	.X(n_7231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785317 (
+	.A1(n_4857),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
+	.X(n_7230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785318 (
+	.A1(n_4855),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
+	.X(n_7229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785319 (
+	.A1(n_4861),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
+	.X(n_7228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785320 (
+	.A1(n_4850),
+	.A2(n_3304),
+	.B1(n_5492),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
+	.X(n_7227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785321 (
+	.A1(n_4858),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
+	.X(n_7226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785322 (
+	.A1(n_4857),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
+	.X(n_7225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785323 (
+	.A1(n_4855),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
+	.X(n_7224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785324 (
+	.A1(n_4861),
+	.A2(n_3256),
+	.B1(n_5510),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
+	.X(n_7223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785325 (
+	.A1(n_4887),
+	.A2(n_1233),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
+	.X(n_7222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785326 (
+	.A1(n_4887),
+	.A2(n_1216),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
+	.X(n_7221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785327 (
+	.A1(n_4887),
+	.A2(n_1570),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
+	.X(n_7220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785328 (
+	.A1(n_4887),
+	.A2(n_1568),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
+	.X(n_7219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785329 (
+	.A1(n_4887),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
+	.X(n_7218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785330 (
+	.A1(n_4887),
+	.A2(n_1546),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
+	.X(n_7217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785331 (
+	.A1(n_4887),
+	.A2(n_1573),
+	.B1(n_5923),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
+	.X(n_7216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785332 (
+	.A1(n_4859),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
+	.X(n_7215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785333 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
+	.A2_N(n_5483),
+	.B1(n_3278),
+	.B2(n_4795),
+	.Y(n_7214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785334 (
+	.A1(n_4854),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
+	.X(n_7213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785335 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
+	.A2_N(n_5581),
+	.B1(n_2762),
+	.B2(n_4856),
+	.Y(n_7212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785336 (
+	.A1(n_4855),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
+	.X(n_7211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785337 (
+	.A1(n_4850),
+	.A2(n_2763),
+	.B1(n_5581),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
+	.X(n_7210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785338 (
+	.A1(n_4859),
+	.A2(n_2760),
+	.B1(n_5522),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
+	.X(n_7209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785339 (
+	.A1(n_4858),
+	.A2(n_2760),
+	.B1(n_5522),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
+	.X(n_7208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785340 (
+	.A1(n_4854),
+	.A2(n_2760),
+	.B1(n_5522),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
+	.X(n_7207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785341 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
+	.A2_N(n_5522),
+	.B1(n_2761),
+	.B2(n_4856),
+	.Y(n_7206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785342 (
+	.A1(n_4855),
+	.A2(n_2760),
+	.B1(n_5522),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
+	.X(n_7205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785343 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
+	.A2_N(n_5522),
+	.B1(n_2761),
+	.B2(n_4860),
+	.Y(n_7204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785344 (
+	.A1(n_4850),
+	.A2(n_2760),
+	.B1(n_5522),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
+	.X(n_7203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785345 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
+	.A2_N(n_5483),
+	.B1(n_3278),
+	.B2(n_4801),
+	.Y(n_7202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785346 (
+	.A1(n_4858),
+	.A2(n_3254),
+	.B1(n_5376),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
+	.X(n_7201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785347 (
+	.A1(n_4854),
+	.A2(n_3254),
+	.B1(n_5376),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
+	.X(n_7200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785348 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
+	.A2_N(n_5376),
+	.B1(n_3255),
+	.B2(n_4856),
+	.Y(n_7199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785349 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
+	.A2_N(n_5376),
+	.B1(n_3255),
+	.B2(n_4860),
+	.Y(n_7198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785350 (
+	.A1(n_4859),
+	.A2(n_3218),
+	.B1(n_5378),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
+	.X(n_7197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785351 (
+	.A1(n_4858),
+	.A2(n_3218),
+	.B1(n_5378),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
+	.X(n_7196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785352 (
+	.A1(n_4854),
+	.A2(n_3218),
+	.B1(n_5378),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
+	.X(n_7195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785353 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
+	.A2_N(n_5378),
+	.B1(n_3219),
+	.B2(n_4856),
+	.Y(n_7194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785354 (
+	.A1(n_4855),
+	.A2(n_3218),
+	.B1(n_5378),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
+	.X(n_7193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785355 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
+	.A2_N(n_5378),
+	.B1(n_3219),
+	.B2(n_4860),
+	.Y(n_7192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785356 (
+	.A1(n_4850),
+	.A2(n_3218),
+	.B1(n_5378),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
+	.X(n_7191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785357 (
+	.A1(n_4859),
+	.A2(n_3308),
+	.B1(n_5356),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
+	.X(n_7190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785358 (
+	.A1(n_4858),
+	.A2(n_3308),
+	.B1(n_5356),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
+	.X(n_7189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785359 (
+	.A1(n_4854),
+	.A2(n_3308),
+	.B1(n_5356),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
+	.X(n_7188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785360 (
+	.A1(n_4855),
+	.A2(n_3308),
+	.B1(n_5356),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
+	.X(n_7187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785361 (
+	.A1(n_4850),
+	.A2(n_3308),
+	.B1(n_5356),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
+	.X(n_7186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785362 (
+	.A1(n_4859),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
+	.X(n_7185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785363 (
+	.A1(n_4858),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
+	.X(n_7184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785364 (
+	.A1(n_4854),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
+	.X(n_7183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785365 (
+	.A1(n_4857),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
+	.X(n_7182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785366 (
+	.A1(n_4855),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
+	.X(n_7181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785367 (
+	.A1(n_4861),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
+	.X(n_7180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785368 (
+	.A1(n_4850),
+	.A2(n_3244),
+	.B1(n_5545),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
+	.X(n_7179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785369 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4748),
+	.Y(n_7178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785370 (
+	.A1(n_4859),
+	.A2(n_30021),
+	.B1(n_5574),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
+	.X(n_7177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785371 (
+	.A1(n_4858),
+	.A2(n_30021),
+	.B1(n_5574),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
+	.X(n_7176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785372 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4759),
+	.Y(n_7175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785373 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
+	.A2_N(n_5574),
+	.B1(n_3212),
+	.B2(n_4856),
+	.Y(n_7174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785374 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
+	.A2_N(n_5574),
+	.B1(n_3212),
+	.B2(n_4860),
+	.Y(n_7173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785375 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4754),
+	.Y(n_7172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785376 (
+	.A1(n_4886),
+	.A2(n_1233),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
+	.X(n_7171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785377 (
+	.A1(n_4886),
+	.A2(n_1216),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
+	.X(n_7170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785378 (
+	.A1(n_4886),
+	.A2(n_1570),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
+	.X(n_7169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785379 (
+	.A1(n_4886),
+	.A2(n_1568),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
+	.X(n_7168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785380 (
+	.A1(n_4886),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
+	.X(n_7167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785381 (
+	.A1(n_4886),
+	.A2(n_1546),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
+	.X(n_7166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785382 (
+	.A1(n_4886),
+	.A2(n_1573),
+	.B1(n_5930),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
+	.X(n_7165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785383 (
+	.A1(n_4859),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
+	.X(n_7164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785384 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4751),
+	.Y(n_7163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785385 (
+	.A1(n_4854),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
+	.X(n_7162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785386 (
+	.A1(n_4855),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
+	.X(n_7161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785387 (
+	.A1(n_4850),
+	.A2(n_3214),
+	.B1(n_5585),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
+	.X(n_7160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785388 (
+	.A1(n_4870),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
+	.X(n_7159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785389 (
+	.A1(n_4869),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
+	.X(n_7158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785390 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4755),
+	.Y(n_7157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785391 (
+	.A1(n_4867),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
+	.X(n_7156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785392 (
+	.A1(n_4868),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
+	.X(n_7155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785393 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4749),
+	.Y(n_7154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785394 (
+	.A1(n_4870),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
+	.X(n_7153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785395 (
+	.A1(n_4869),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
+	.X(n_7152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785396 (
+	.A1(n_4867),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
+	.X(n_7151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785397 (
+	.A1(n_4868),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
+	.X(n_7150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785398 (
+	.A1(n_4862),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
+	.X(n_7149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785399 (
+	.A1(n_4870),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
+	.X(n_7148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785400 (
+	.A1(n_4865),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
+	.X(n_7147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785401 (
+	.A1(n_4869),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
+	.X(n_7146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785402 (
+	.A1(n_4867),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
+	.X(n_7145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785403 (
+	.A1(n_4868),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
+	.X(n_7144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785404 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
+	.A2_N(n_5537),
+	.B1(n_30022),
+	.B2(n_4757),
+	.Y(n_7143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785405 (
+	.A1(n_4852),
+	.A2(n_3210),
+	.B1(n_5334),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
+	.X(n_7142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785406 (
+	.A1(n_4862),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
+	.X(n_7141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785407 (
+	.A1(n_4865),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
+	.X(n_7140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785408 (
+	.A1(n_4869),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
+	.X(n_7139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785409 (
+	.A1(n_4867),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
+	.X(n_7138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785410 (
+	.A1(n_4852),
+	.A2(n_3216),
+	.B1(n_5397),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
+	.X(n_7137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785411 (
+	.A1(n_4862),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
+	.X(n_7136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785412 (
+	.A1(n_4870),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
+	.X(n_7135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785413 (
+	.A1(n_4865),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
+	.X(n_7134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785414 (
+	.A1(n_4869),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
+	.X(n_7133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785415 (
+	.A1(n_4867),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
+	.X(n_7132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785416 (
+	.A1(n_4868),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
+	.X(n_7131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785417 (
+	.A1(n_4852),
+	.A2(n_3304),
+	.B1(n_5490),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
+	.X(n_7130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785418 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
+	.A2_N(n_5922),
+	.B1(n_1218),
+	.B2(n_4893),
+	.Y(n_7129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785419 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
+	.A2_N(n_5922),
+	.B1(n_1571),
+	.B2(n_4893),
+	.Y(n_7128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785420 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
+	.A2_N(n_5922),
+	.B1(n_1569),
+	.B2(n_4893),
+	.Y(n_7127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785421 (
+	.A1(n_4747),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
+	.X(n_7126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785422 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
+	.A2_N(n_5922),
+	.B1(n_1547),
+	.B2(n_4893),
+	.Y(n_7125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785423 (
+	.A1(n_4862),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
+	.X(n_7124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785424 (
+	.A1(n_4870),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
+	.X(n_7123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785425 (
+	.A1(n_4865),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
+	.X(n_7122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785426 (
+	.A1(n_4869),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
+	.X(n_7121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785427 (
+	.A1(n_4867),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
+	.X(n_7120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785428 (
+	.A1(n_4868),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
+	.X(n_7119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785429 (
+	.A1(n_4852),
+	.A2(n_3223),
+	.B1(n_5508),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
+	.X(n_7118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785430 (
+	.A1(n_4862),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
+	.X(n_7117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785431 (
+	.A1(n_4870),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
+	.X(n_7116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785432 (
+	.A1(n_4865),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
+	.X(n_7115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785433 (
+	.A1(n_4753),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
+	.X(n_7114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785434 (
+	.A1(n_4867),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
+	.X(n_7113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785435 (
+	.A1(n_4852),
+	.A2(n_2763),
+	.B1(n_5579),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
+	.X(n_7112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785436 (
+	.A1(n_4862),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
+	.X(n_7111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785437 (
+	.A1(n_4870),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
+	.X(n_7110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785438 (
+	.A1(n_4865),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
+	.X(n_7109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785439 (
+	.A1(n_4869),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
+	.X(n_7108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785440 (
+	.A1(n_4867),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
+	.X(n_7107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785441 (
+	.A1(n_4868),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
+	.X(n_7106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785442 (
+	.A1(n_4852),
+	.A2(n_2760),
+	.B1(n_5524),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
+	.X(n_7105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785443 (
+	.A1(n_4892),
+	.A2(n_1233),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
+	.X(n_7104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785444 (
+	.A1(n_4892),
+	.A2(n_1216),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
+	.X(n_7103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785445 (
+	.A1(n_4892),
+	.A2(n_1568),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
+	.X(n_7102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785446 (
+	.A1(n_4892),
+	.A2(n_1546),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
+	.X(n_7101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785447 (
+	.A1(n_4862),
+	.A2(n_3218),
+	.B1(n_5380),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
+	.X(n_7100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785448 (
+	.A1(n_4758),
+	.A2(n_3280),
+	.B1(n_5466),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
+	.X(n_7099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785449 (
+	.A1(n_4870),
+	.A2(n_3218),
+	.B1(n_5380),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
+	.X(n_7098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785450 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
+	.A2_N(n_5380),
+	.B1(n_3219),
+	.B2(n_4864),
+	.Y(n_7097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785451 (
+	.A1(n_4869),
+	.A2(n_3218),
+	.B1(n_5380),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
+	.X(n_7096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785452 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
+	.A2_N(n_5380),
+	.B1(n_3219),
+	.B2(n_4866),
+	.Y(n_7095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785453 (
+	.A1(n_4868),
+	.A2(n_3218),
+	.B1(n_5380),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
+	.X(n_7094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785454 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
+	.A2_N(n_5380),
+	.B1(n_3219),
+	.B2(n_4851),
+	.Y(n_7093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785455 (
+	.A1(n_4862),
+	.A2(n_3308),
+	.B1(n_5358),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
+	.X(n_7092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785456 (
+	.A1(n_4870),
+	.A2(n_3308),
+	.B1(n_5358),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
+	.X(n_7091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785457 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
+	.A2_N(n_5358),
+	.B1(n_3309),
+	.B2(n_4864),
+	.Y(n_7090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785458 (
+	.A1(n_4869),
+	.A2(n_3308),
+	.B1(n_5358),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
+	.X(n_7089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785459 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
+	.A2_N(n_5358),
+	.B1(n_3309),
+	.B2(n_4866),
+	.Y(n_7088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785460 (
+	.A1(n_4868),
+	.A2(n_3308),
+	.B1(n_5358),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
+	.X(n_7087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785461 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
+	.A2_N(n_5358),
+	.B1(n_3309),
+	.B2(n_4851),
+	.Y(n_7086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785462 (
+	.A1(n_4862),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
+	.X(n_7085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785463 (
+	.A1(n_4870),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
+	.X(n_7084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785464 (
+	.A1(n_4865),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
+	.X(n_7083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785465 (
+	.A1(n_4869),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
+	.X(n_7082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785466 (
+	.A1(n_4867),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
+	.X(n_7081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785467 (
+	.A1(n_4868),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
+	.X(n_7080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785468 (
+	.A1(n_4852),
+	.A2(n_3244),
+	.B1(n_5541),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
+	.X(n_7079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785469 (
+	.A1(n_4862),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
+	.X(n_7078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785470 (
+	.A1(n_4870),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
+	.X(n_7077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785471 (
+	.A1(n_4865),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
+	.X(n_7076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785472 (
+	.A1(n_4869),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
+	.X(n_7075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785473 (
+	.A1(n_4867),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
+	.X(n_7074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785474 (
+	.A1(n_4868),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
+	.X(n_7073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785475 (
+	.A1(n_4852),
+	.A2(n_30021),
+	.B1(n_5572),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
+	.X(n_7072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785476 (
+	.A1(n_4862),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
+	.X(n_7071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785477 (
+	.A1(n_4870),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
+	.X(n_7070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785478 (
+	.A1(n_4865),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
+	.X(n_7069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785479 (
+	.A1(n_4869),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
+	.X(n_7068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785480 (
+	.A1(n_4867),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
+	.X(n_7067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785481 (
+	.A1(n_4868),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
+	.X(n_7066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785482 (
+	.A1(n_4852),
+	.A2(n_3280),
+	.B1(n_5562),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
+	.X(n_7065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785483 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
+	.A2_N(n_5530),
+	.B1(n_3212),
+	.B2(n_4751),
+	.Y(n_7064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785484 (
+	.A1(n_4870),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
+	.X(n_7063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785485 (
+	.A1(n_4865),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
+	.X(n_7062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785486 (
+	.A1(n_4867),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
+	.X(n_7061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785487 (
+	.A1(n_4868),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
+	.X(n_7060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785488 (
+	.A1(n_4852),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
+	.X(n_7059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785489 (
+	.A1(n_4904),
+	.A2(n_1233),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
+	.X(n_7058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785490 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
+	.A2_N(n_5530),
+	.B1(n_3212),
+	.B2(n_4759),
+	.Y(n_7057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785491 (
+	.A1(n_4904),
+	.A2(n_1568),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
+	.X(n_7056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785492 (
+	.A1(n_4904),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
+	.X(n_7055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785493 (
+	.A1(n_4904),
+	.A2(n_1573),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
+	.X(n_7054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785494 (
+	.A1(n_4888),
+	.A2(n_1216),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
+	.X(n_7053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785495 (
+	.A1(n_4888),
+	.A2(n_1570),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
+	.X(n_7052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785496 (
+	.A1(n_4888),
+	.A2(n_1568),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
+	.X(n_7051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785497 (
+	.A1(n_4888),
+	.A2(n_1546),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
+	.X(n_7050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785498 (
+	.A1(n_4888),
+	.A2(n_1573),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
+	.X(n_7049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785499 (
+	.A1(n_4877),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
+	.X(n_7048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785500 (
+	.A1(n_4874),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
+	.X(n_7047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785501 (
+	.A1(n_4871),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
+	.X(n_7046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785502 (
+	.A1(n_4873),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
+	.X(n_7045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785503 (
+	.A1(n_4863),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
+	.X(n_7044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785504 (
+	.A1(n_4853),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
+	.X(n_7043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785505 (
+	.A1(n_4877),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
+	.X(n_7042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785506 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
+	.A2_N(n_5475),
+	.B1(n_3212),
+	.B2(n_4783),
+	.Y(n_7041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785507 (
+	.A1(n_4874),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
+	.X(n_7040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785508 (
+	.A1(n_4871),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
+	.X(n_7039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785509 (
+	.A1(n_4873),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
+	.X(n_7038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785510 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
+	.A2_N(n_5368),
+	.B1(n_3333),
+	.B2(n_4958),
+	.Y(n_7037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785511 (
+	.A1(n_4876),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
+	.X(n_7036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785512 (
+	.A1(n_4863),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
+	.X(n_7035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785513 (
+	.A1(n_4853),
+	.A2(n_3216),
+	.B1(n_5402),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
+	.X(n_7034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785514 (
+	.A1(n_4877),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
+	.X(n_7033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785515 (
+	.A1(n_4874),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
+	.X(n_7032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785516 (
+	.A1(n_4871),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
+	.X(n_7031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785517 (
+	.A1(n_4873),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
+	.X(n_7030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785518 (
+	.A1(n_4876),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
+	.X(n_7029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785519 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
+	.A2_N(n_5530),
+	.B1(n_3212),
+	.B2(n_4749),
+	.Y(n_7028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785520 (
+	.A1(n_4863),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
+	.X(n_7027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785521 (
+	.A1(n_4853),
+	.A2(n_3304),
+	.B1(n_5488),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
+	.X(n_7026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785522 (
+	.A1(n_4877),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
+	.X(n_7025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785523 (
+	.A1(n_4874),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
+	.X(n_7024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785524 (
+	.A1(n_4871),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
+	.X(n_7023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785525 (
+	.A1(n_4876),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
+	.X(n_7022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785526 (
+	.A1(n_4863),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
+	.X(n_7021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785527 (
+	.A1(n_4877),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
+	.X(n_7020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785528 (
+	.A1(n_4874),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
+	.X(n_7019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785529 (
+	.A1(n_4871),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
+	.X(n_7018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785530 (
+	.A1(n_4873),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
+	.X(n_7017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785531 (
+	.A1(n_4876),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
+	.X(n_7016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785532 (
+	.A1(n_4863),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
+	.X(n_7015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785533 (
+	.A1(n_4853),
+	.A2(n_3223),
+	.B1(n_5504),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
+	.X(n_7014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785534 (
+	.A1(n_4877),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
+	.X(n_7013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785535 (
+	.A1(n_4874),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
+	.X(n_7012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785536 (
+	.A1(n_4871),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
+	.X(n_7011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785537 (
+	.A1(n_4873),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
+	.X(n_7010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785538 (
+	.A1(n_4876),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
+	.X(n_7009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785539 (
+	.A1(n_4863),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
+	.X(n_7008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785540 (
+	.A1(n_4853),
+	.A2(n_2763),
+	.B1(n_5577),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
+	.X(n_7007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785541 (
+	.A1(n_4877),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
+	.X(n_7006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785542 (
+	.A1(n_4874),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
+	.X(n_7005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785543 (
+	.A1(n_4871),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
+	.X(n_7004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785544 (
+	.A1(n_4873),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
+	.X(n_7003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785545 (
+	.A1(n_4876),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
+	.X(n_7002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785546 (
+	.A1(n_4863),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
+	.X(n_7001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785547 (
+	.A1(n_4853),
+	.A2(n_2760),
+	.B1(n_5520),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
+	.X(n_7000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785548 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
+	.A2_N(n_5530),
+	.B1(n_3212),
+	.B2(n_4757),
+	.Y(n_6999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785549 (
+	.A1(n_4874),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
+	.X(n_6998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785550 (
+	.A1(n_4873),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
+	.X(n_6997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785551 (
+	.A1(n_4863),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
+	.X(n_6996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785552 (
+	.A1(n_4853),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
+	.X(n_6995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785553 (
+	.A1(n_4877),
+	.A2(n_3218),
+	.B1(n_5384),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
+	.X(n_6994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785554 (
+	.A1(n_4874),
+	.A2(n_3218),
+	.B1(n_5384),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
+	.X(n_6993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785555 (
+	.A1(n_4871),
+	.A2(n_3218),
+	.B1(n_5384),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
+	.X(n_6992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785556 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
+	.A2_N(n_5384),
+	.B1(n_3219),
+	.B2(n_4872),
+	.Y(n_6991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785557 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
+	.A2_N(n_5384),
+	.B1(n_3219),
+	.B2(n_4875),
+	.Y(n_6990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785558 (
+	.A1(n_4863),
+	.A2(n_3218),
+	.B1(n_5384),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
+	.X(n_6989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785559 (
+	.A1(n_4853),
+	.A2(n_3218),
+	.B1(n_5384),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
+	.X(n_6988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785560 (
+	.A1(n_4877),
+	.A2(n_3308),
+	.B1(n_5354),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
+	.X(n_6987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785561 (
+	.A1(n_4871),
+	.A2(n_3308),
+	.B1(n_5354),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
+	.X(n_6986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785562 (
+	.A1(n_4747),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
+	.X(n_6985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785563 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
+	.A2_N(n_5354),
+	.B1(n_3309),
+	.B2(n_4875),
+	.Y(n_6984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785564 (
+	.A1(n_4853),
+	.A2(n_3308),
+	.B1(n_5354),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
+	.X(n_6983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785565 (
+	.A1(n_4877),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
+	.X(n_6982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785566 (
+	.A1(n_4874),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
+	.X(n_6981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785567 (
+	.A1(n_4871),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
+	.X(n_6980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785568 (
+	.A1(n_4873),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
+	.X(n_6979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785569 (
+	.A1(n_4876),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
+	.X(n_6978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785570 (
+	.A1(n_4863),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
+	.X(n_6977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785571 (
+	.A1(n_4853),
+	.A2(n_3244),
+	.B1(n_5543),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
+	.X(n_6976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785572 (
+	.A1(n_4873),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
+	.X(n_6975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785573 (
+	.A1(n_4863),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
+	.X(n_6974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785574 (
+	.A1(n_4753),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
+	.X(n_6973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785575 (
+	.A1(n_4877),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
+	.X(n_6972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785576 (
+	.A1(n_4874),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
+	.X(n_6971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785577 (
+	.A1(n_4871),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
+	.X(n_6970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785578 (
+	.A1(n_4873),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
+	.X(n_6969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785579 (
+	.A1(n_4876),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
+	.X(n_6968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785580 (
+	.A1(n_4863),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
+	.X(n_6967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785581 (
+	.A1(n_4853),
+	.A2(n_3280),
+	.B1(n_5560),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
+	.X(n_6966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785582 (
+	.A1(n_4877),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
+	.X(n_6965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785583 (
+	.A1(n_4871),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
+	.X(n_6964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785584 (
+	.A1(n_4756),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
+	.X(n_6963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785585 (
+	.A1(n_4853),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
+	.X(n_6962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785586 (
+	.A1(n_4758),
+	.A2(n_3244),
+	.B1(n_5462),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
+	.X(n_6961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785587 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4827),
+	.Y(n_6960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785588 (
+	.A1(n_4764),
+	.A2(n_3279),
+	.B1(n_5451),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
+	.X(n_6959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785589 (
+	.A1(n_4869),
+	.A2(n_3214),
+	.B1(n_5591),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
+	.X(n_6958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785590 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
+	.A2_N(n_5475),
+	.B1(n_3212),
+	.B2(n_4787),
+	.Y(n_6957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785591 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4755),
+	.Y(n_6956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785592 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
+	.A2_N(n_5417),
+	.B1(n_3255),
+	.B2(n_4779),
+	.Y(n_6955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785593 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
+	.A2_N(n_5475),
+	.B1(n_3212),
+	.B2(n_4785),
+	.Y(n_6954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785594 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4759),
+	.Y(n_6953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785595 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4751),
+	.Y(n_6952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785596 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
+	.A2_N(n_5589),
+	.B1(n_3219),
+	.B2(n_4749),
+	.Y(n_6951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785597 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4749),
+	.Y(n_6950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785598 (
+	.A1(n_4752),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
+	.X(n_6949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785599 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4754),
+	.Y(n_6948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785600 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
+	.A2_N(n_5587),
+	.B1(n_3255),
+	.B2(n_4755),
+	.Y(n_6947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785601 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
+	.A2_N(n_5512),
+	.B1(n_2761),
+	.B2(n_4754),
+	.Y(n_6946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785602 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
+	.A2_N(n_5512),
+	.B1(n_2761),
+	.B2(n_4759),
+	.Y(n_6945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785603 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
+	.A2_N(n_5512),
+	.B1(n_2761),
+	.B2(n_4751),
+	.Y(n_6944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785604 (
+	.A1(n_4952),
+	.A2(n_3334),
+	.B1(n_5368),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
+	.X(n_6943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785605 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
+	.A2_N(n_5512),
+	.B1(n_2761),
+	.B2(n_4749),
+	.Y(n_6942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785606 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
+	.A2_N(n_5512),
+	.B1(n_2761),
+	.B2(n_4757),
+	.Y(n_6941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785607 (
+	.A1(n_4937),
+	.A2(n_1216),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
+	.X(n_6940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785608 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4824),
+	.Y(n_6939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785609 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
+	.A2_N(n_5387),
+	.B1(n_3212),
+	.B2(n_4762),
+	.Y(n_6938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785610 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4832),
+	.Y(n_6937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785611 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4839),
+	.Y(n_6936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785612 (
+	.A1(n_4747),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
+	.X(n_6935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785613 (
+	.A1(n_4753),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
+	.X(n_6934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785614 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
+	.A2_N(n_5343),
+	.B1(n_30022),
+	.B2(n_4836),
+	.Y(n_6933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785615 (
+	.A1(n_4901),
+	.A2(n_1573),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
+	.X(n_6932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785616 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
+	.A2_N(n_5373),
+	.B1(n_3281),
+	.B2(n_4823),
+	.Y(n_6931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785617 (
+	.A1(n_4750),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
+	.X(n_6930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785618 (
+	.A1(n_4758),
+	.A2(n_3223),
+	.B1(n_5449),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
+	.X(n_6929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785619 (
+	.A1(n_4852),
+	.A2(n_3276),
+	.B1(n_5472),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
+	.X(n_6928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785620 (
+	.A1(n_4901),
+	.A2(n_1546),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
+	.X(n_6927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785621 (
+	.A1(n_4752),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
+	.X(n_6926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785622 (
+	.A1(n_4901),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
+	.X(n_6925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785623 (
+	.A1(n_4747),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
+	.X(n_6924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785624 (
+	.A1(n_4852),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
+	.X(n_6923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785625 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4849),
+	.Y(n_6922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785626 (
+	.A1(n_4758),
+	.A2(n_3256),
+	.B1(n_5447),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
+	.X(n_6921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785627 (
+	.A1(n_4901),
+	.A2(n_1570),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
+	.X(n_6920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785628 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
+	.A2_N(n_5342),
+	.B1(n_30022),
+	.B2(n_4838),
+	.Y(n_6919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785629 (
+	.A1(n_4747),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
+	.X(n_6918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785630 (
+	.A1(n_4760),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
+	.X(n_6917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785631 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
+	.A2_N(n_5585),
+	.B1(n_30022),
+	.B2(n_4860),
+	.Y(n_6916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785632 (
+	.A1(n_4752),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
+	.X(n_6915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785633 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4831),
+	.Y(n_6914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785634 (
+	.A1(n_4756),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
+	.X(n_6913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785635 (
+	.A1(n_4901),
+	.A2(n_1233),
+	.B1(n_5921),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
+	.X(n_6912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785636 (
+	.A1(n_4862),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
+	.X(n_6911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785637 (
+	.A1(n_4750),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
+	.X(n_6910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785638 (
+	.A1(n_4758),
+	.A2(n_3304),
+	.B1(n_5441),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
+	.X(n_6909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785639 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
+	.A2_N(n_5553),
+	.B1(n_3217),
+	.B2(n_4759),
+	.Y(n_6908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785640 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
+	.A2_N(n_5553),
+	.B1(n_3217),
+	.B2(n_4748),
+	.Y(n_6907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785641 (
+	.A1(n_4897),
+	.A2(n_1573),
+	.B1(n_5901),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
+	.X(n_6906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785642 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4823),
+	.Y(n_6905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785643 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
+	.A2_N(n_5553),
+	.B1(n_3217),
+	.B2(n_4749),
+	.Y(n_6904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785644 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4839),
+	.Y(n_6903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785645 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
+	.A2_N(n_5386),
+	.B1(n_3212),
+	.B2(n_4847),
+	.Y(n_6902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785646 (
+	.A1(n_4865),
+	.A2(n_3279),
+	.B1(n_5556),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
+	.X(n_6901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785647 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
+	.A2_N(n_5533),
+	.B1(n_3211),
+	.B2(n_4759),
+	.Y(n_6900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785648 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
+	.A2_N(n_5533),
+	.B1(n_3211),
+	.B2(n_4751),
+	.Y(n_6899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785649 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
+	.A2_N(n_5533),
+	.B1(n_3211),
+	.B2(n_4754),
+	.Y(n_6898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785650 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
+	.A2_N(n_5533),
+	.B1(n_3211),
+	.B2(n_4757),
+	.Y(n_6897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785651 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
+	.A2_N(n_5464),
+	.B1(n_3278),
+	.B2(n_4748),
+	.Y(n_6896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785652 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
+	.A2_N(n_5464),
+	.B1(n_3278),
+	.B2(n_4751),
+	.Y(n_6895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785653 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
+	.A2_N(n_5464),
+	.B1(n_3278),
+	.B2(n_4755),
+	.Y(n_6894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785654 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
+	.A2_N(n_5551),
+	.B1(n_30022),
+	.B2(n_4834),
+	.Y(n_6893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785655 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
+	.A2_N(n_5970),
+	.B1(n_1569),
+	.B2(n_4924),
+	.Y(n_6892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785656 (
+	.A1(n_4899),
+	.A2(n_1233),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
+	.X(n_6891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785657 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
+	.A2_N(n_5970),
+	.B1(n_1242),
+	.B2(n_4924),
+	.Y(n_6890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785658 (
+	.A1(n_4954),
+	.A2(n_3334),
+	.B1(n_5368),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
+	.X(n_6889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785659 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
+	.A2_N(n_5970),
+	.B1(n_1547),
+	.B2(n_4924),
+	.Y(n_6888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785660 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4817),
+	.Y(n_6887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785661 (
+	.A1(n_4904),
+	.A2(n_1570),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
+	.X(n_6886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785662 (
+	.A1(n_4904),
+	.A2(n_1546),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
+	.X(n_6885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785663 (
+	.A1(n_4900),
+	.A2(n_1573),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
+	.X(n_6884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785664 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4843),
+	.Y(n_6883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785665 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
+	.A2_N(n_5970),
+	.B1(n_1571),
+	.B2(n_4924),
+	.Y(n_6882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785666 (
+	.A1(n_4888),
+	.A2(n_1233),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
+	.X(n_6881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785667 (
+	.A1(n_4811),
+	.A2(n_3276),
+	.B1(n_5414),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
+	.X(n_6880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785668 (
+	.A1(n_4904),
+	.A2(n_1216),
+	.B1(n_5929),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
+	.X(n_6879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785669 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
+	.A2_N(n_5970),
+	.B1(n_1218),
+	.B2(n_4924),
+	.Y(n_6878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785670 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
+	.A2_N(n_5970),
+	.B1(n_1234),
+	.B2(n_4924),
+	.Y(n_6877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785671 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
+	.A2_N(n_5420),
+	.B1(n_3343),
+	.B2(n_4951),
+	.Y(n_6876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785672 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
+	.A2_N(n_5950),
+	.B1(n_1547),
+	.B2(n_4911),
+	.Y(n_6875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785673 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
+	.A2_N(n_5950),
+	.B1(n_1572),
+	.B2(n_4911),
+	.Y(n_6874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785674 (
+	.A1(n_4888),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5945),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
+	.X(n_6873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785675 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
+	.A2_N(n_5477),
+	.B1(n_3309),
+	.B2(n_4815),
+	.Y(n_6872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785676 (
+	.A1(n_4853),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
+	.X(n_6871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785677 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
+	.A2_N(n_5950),
+	.B1(n_1242),
+	.B2(n_4911),
+	.Y(n_6870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785678 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
+	.A2_N(n_5903),
+	.B1(n_1218),
+	.B2(n_4939),
+	.Y(n_6869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785679 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4787),
+	.Y(n_6868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785680 (
+	.A1(n_4900),
+	.A2(n_1546),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
+	.X(n_6867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785681 (
+	.A1(n_4900),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
+	.X(n_6866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785682 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4785),
+	.Y(n_6865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785683 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4789),
+	.Y(n_6864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785684 (
+	.A1(n_4900),
+	.A2(n_1570),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
+	.X(n_6863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785685 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
+	.A2_N(n_5903),
+	.B1(n_1572),
+	.B2(n_4939),
+	.Y(n_6862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785686 (
+	.A1(n_4900),
+	.A2(n_1216),
+	.B1(n_5924),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
+	.X(n_6861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785687 (
+	.A1(n_4944),
+	.A2(n_1216),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
+	.X(n_6860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785688 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
+	.A2_N(n_5439),
+	.B1(n_30022),
+	.B2(n_4777),
+	.Y(n_6859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785689 (
+	.A1(n_4947),
+	.A2(n_1233),
+	.B1(n_5983),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
+	.X(n_6858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785690 (
+	.A1(n_4896),
+	.A2(n_1573),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
+	.X(n_6857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785691 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
+	.A2_N(n_5467),
+	.B1(n_3278),
+	.B2(n_4834),
+	.Y(n_6856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785692 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
+	.A2_N(n_5467),
+	.B1(n_3278),
+	.B2(n_4817),
+	.Y(n_6855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785693 (
+	.A1(n_4876),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
+	.X(n_6854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785694 (
+	.A1(n_4896),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
+	.X(n_6853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785695 (
+	.A1(n_4896),
+	.A2(n_1546),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
+	.X(n_6852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785696 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
+	.A2_N(n_5467),
+	.B1(n_3278),
+	.B2(n_4815),
+	.Y(n_6851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785697 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
+	.A2_N(n_5898),
+	.B1(n_1242),
+	.B2(n_4898),
+	.Y(n_6850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785698 (
+	.A1(n_4896),
+	.A2(n_1570),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
+	.X(n_6849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785699 (
+	.A1(n_4896),
+	.A2(n_1568),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
+	.X(n_6848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785700 (
+	.A1(n_4876),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
+	.X(n_6847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785701 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4819),
+	.Y(n_6846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785702 (
+	.A1(n_4943),
+	.A2(n_1216),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
+	.X(n_6845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785703 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4815),
+	.Y(n_6844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785704 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
+	.A2_N(n_5534),
+	.B1(n_3217),
+	.B2(n_4817),
+	.Y(n_6843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785705 (
+	.A1(n_4896),
+	.A2(n_1233),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
+	.X(n_6842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785706 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
+	.A2_N(n_5892),
+	.B1(n_1547),
+	.B2(n_4907),
+	.Y(n_6841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785707 (
+	.A1(n_4812),
+	.A2(n_3216),
+	.B1(n_5416),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
+	.X(n_6840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785708 (
+	.A1(n_4928),
+	.A2(n_1546),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
+	.X(n_6839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785709 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
+	.A2_N(n_5950),
+	.B1(n_1234),
+	.B2(n_4911),
+	.Y(n_6838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785710 (
+	.A1(n_4874),
+	.A2(n_3308),
+	.B1(n_5354),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
+	.X(n_6837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785711 (
+	.A1(n_4914),
+	.A2(n_1546),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
+	.X(n_6836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785712 (
+	.A1(n_4863),
+	.A2(n_3308),
+	.B1(n_5354),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
+	.X(n_6835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785713 (
+	.A1(n_4896),
+	.A2(n_1216),
+	.B1(n_5938),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
+	.X(n_6834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785714 (
+	.A1(n_4876),
+	.A2(n_3210),
+	.B1(n_5336),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
+	.X(n_6833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785715 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
+	.A2_N(n_5950),
+	.B1(n_1569),
+	.B2(n_4911),
+	.Y(n_6832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785716 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
+	.A2_N(n_5354),
+	.B1(n_3309),
+	.B2(n_4872),
+	.Y(n_6831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785717 (
+	.A1(n_4871),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
+	.X(n_6830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785718 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
+	.A2_N(n_5898),
+	.B1(n_1572),
+	.B2(n_4898),
+	.Y(n_6829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785719 (
+	.A1(n_4946),
+	.A2(n_1546),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
+	.X(n_6828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785720 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
+	.A2_N(n_5563),
+	.B1(n_3255),
+	.B2(n_4841),
+	.Y(n_6827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785721 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
+	.A2_N(n_5950),
+	.B1(n_1571),
+	.B2(n_4911),
+	.Y(n_6826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785722 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4879),
+	.Y(n_6825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785723 (
+	.A1(n_4928),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
+	.X(n_6824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785724 (
+	.A1(n_4928),
+	.A2(n_1568),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
+	.X(n_6823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785725 (
+	.A1(n_4877),
+	.A2(n_3254),
+	.B1(n_5382),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
+	.X(n_6822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785726 (
+	.A1(n_4914),
+	.A2(n_1573),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
+	.X(n_6821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785727 (
+	.A1(n_4914),
+	.A2(n_1570),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
+	.X(n_6820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785728 (
+	.A1(n_4954),
+	.A2(n_3330),
+	.B1(n_5398),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
+	.X(n_6819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785729 (
+	.A1(n_4914),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
+	.X(n_6818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785730 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
+	.A2_N(n_5905),
+	.B1(n_1242),
+	.B2(n_4909),
+	.Y(n_6817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785731 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
+	.A2_N(n_5416),
+	.B1(n_3217),
+	.B2(n_4813),
+	.Y(n_6816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785732 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
+	.A2_N(n_5527),
+	.B1(n_3212),
+	.B2(n_4815),
+	.Y(n_6815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785733 (
+	.A1(n_4956),
+	.A2(n_3336),
+	.B1(n_5391),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
+	.X(n_6814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785734 (
+	.A1(n_4922),
+	.A2(n_1546),
+	.B1(n_5963),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
+	.X(n_6813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785735 (
+	.A1(n_4959),
+	.A2(n_3330),
+	.B1(n_5398),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
+	.X(n_6812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785736 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
+	.A2_N(n_5398),
+	.B1(n_3329),
+	.B2(n_4958),
+	.Y(n_6811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785737 (
+	.A1(n_4930),
+	.A2(n_1546),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
+	.X(n_6810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785738 (
+	.A1(n_4956),
+	.A2(n_3330),
+	.B1(n_5398),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
+	.X(n_6809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785739 (
+	.A1(n_4930),
+	.A2(n_1568),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
+	.X(n_6808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785740 (
+	.A1(n_4930),
+	.A2(n_1573),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
+	.X(n_6807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785741 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
+	.A2_N(n_5897),
+	.B1(n_1572),
+	.B2(n_4969),
+	.Y(n_6806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785742 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
+	.A2_N(n_5897),
+	.B1(n_1242),
+	.B2(n_4969),
+	.Y(n_6805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785743 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
+	.A2_N(n_5897),
+	.B1(n_1547),
+	.B2(n_4969),
+	.Y(n_6804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785744 (
+	.A1(n_4774),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
+	.X(n_6803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785745 (
+	.A1(n_4914),
+	.A2(n_1233),
+	.B1(n_5974),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
+	.X(n_6802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785746 (
+	.A1(n_4844),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
+	.X(n_6801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785747 (
+	.A1(n_4930),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
+	.X(n_6800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785748 (
+	.A1(n_4949),
+	.A2(n_1568),
+	.B1(n_5960),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
+	.X(n_6799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785749 (
+	.A1(n_4899),
+	.A2(n_1573),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
+	.X(n_6798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785750 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
+	.A2_N(n_5897),
+	.B1(n_1569),
+	.B2(n_4969),
+	.Y(n_6797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785751 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
+	.A2_N(n_5390),
+	.B1(n_2762),
+	.B2(n_4836),
+	.Y(n_6796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785752 (
+	.A1(n_4930),
+	.A2(n_1570),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
+	.X(n_6795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785753 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
+	.A2_N(n_5457),
+	.B1(n_3212),
+	.B2(n_4767),
+	.Y(n_6794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785754 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
+	.A2_N(n_5371),
+	.B1(n_3278),
+	.B2(n_4881),
+	.Y(n_6793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785755 (
+	.A1(n_4859),
+	.A2(n_3254),
+	.B1(n_5376),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
+	.X(n_6792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785756 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
+	.A2_N(n_5897),
+	.B1(n_1571),
+	.B2(n_4969),
+	.Y(n_6791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785757 (
+	.A1(n_4876),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
+	.X(n_6790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785758 (
+	.A1(n_4930),
+	.A2(n_1233),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
+	.X(n_6789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785759 (
+	.A1(n_4930),
+	.A2(n_1216),
+	.B1(n_5978),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
+	.X(n_6788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785760 (
+	.A1(n_4892),
+	.A2(n_1573),
+	.B1(n_5985),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
+	.X(n_6787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785761 (
+	.A1(n_4874),
+	.A2(n_30021),
+	.B1(n_5570),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
+	.X(n_6786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785762 (
+	.A1(n_4873),
+	.A2(n_3214),
+	.B1(n_5583),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
+	.X(n_6785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785763 (
+	.A1(n_4929),
+	.A2(n_1546),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
+	.X(n_6784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785764 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
+	.A2_N(n_5897),
+	.B1(n_1234),
+	.B2(n_4969),
+	.Y(n_6783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785765 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
+	.A2_N(n_5500),
+	.B1(n_3219),
+	.B2(n_4817),
+	.Y(n_6782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785766 (
+	.A1(n_4816),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
+	.X(n_6781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785767 (
+	.A1(n_4873),
+	.A2(n_3256),
+	.B1(n_5506),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
+	.X(n_6780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785768 (
+	.A1(n_4899),
+	.A2(n_1570),
+	.B1(n_5912),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
+	.X(n_6779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785769 (
+	.A1(n_4774),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
+	.X(n_6778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785770 (
+	.A1(n_4929),
+	.A2(n_1573),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
+	.X(n_6777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785771 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[1]),
+	.A2_N(n_5995),
+	.B1(n_0),
+	.B2(n_5996),
+	.Y(n_6776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785772 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[2]),
+	.A2_N(n_5995),
+	.B1(n_658),
+	.B2(n_5996),
+	.Y(n_6775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785773 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[3]),
+	.A2_N(n_5995),
+	.B1(n_2),
+	.B2(n_5996),
+	.Y(n_6774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785774 (
+	.A1_N(soc_top_u_spi_host_spi_host_ctrl[4]),
+	.A2_N(n_5995),
+	.B1(n_659),
+	.B2(n_5996),
+	.Y(n_6773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785775 (
+	.A1(n_4818),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
+	.X(n_6772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785776 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
+	.A2_N(n_5895),
+	.B1(n_1547),
+	.B2(n_4968),
+	.Y(n_6771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785777 (
+	.A1(n_4811),
+	.A2(n_3254),
+	.B1(n_5430),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
+	.X(n_6770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785778 (
+	.A1(n_4929),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
+	.X(n_6769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785779 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[0]),
+	.A2_N(n_5989),
+	.B1(n_1),
+	.B2(n_5988),
+	.Y(n_6768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785780 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[1]),
+	.A2_N(n_5989),
+	.B1(n_0),
+	.B2(n_5988),
+	.Y(n_6767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785781 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[2]),
+	.A2_N(n_5989),
+	.B1(n_658),
+	.B2(n_5988),
+	.Y(n_6766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785782 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[3]),
+	.A2_N(n_5989),
+	.B1(n_2),
+	.B2(n_5988),
+	.Y(n_6765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785783 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[4]),
+	.A2_N(n_5989),
+	.B1(n_659),
+	.B2(n_5988),
+	.Y(n_6764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785784 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[5]),
+	.A2_N(n_5989),
+	.B1(n_4),
+	.B2(n_5988),
+	.Y(n_6763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785785 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[6]),
+	.A2_N(n_5989),
+	.B1(n_14),
+	.B2(n_5988),
+	.Y(n_6762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785786 (
+	.A1_N(soc_top_u_spi_host_spi_host_divider[7]),
+	.A2_N(n_5989),
+	.B1(n_9),
+	.B2(n_5988),
+	.Y(n_6761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785787 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
+	.A2_N(n_5895),
+	.B1(n_1569),
+	.B2(n_4968),
+	.Y(n_6760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785788 (
+	.A1(n_4816),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
+	.X(n_6759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785789 (
+	.A1(n_4818),
+	.A2(n_3223),
+	.B1(n_5388),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
+	.X(n_6758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785790 (
+	.A1(n_4929),
+	.A2(n_1570),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
+	.X(n_6757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785791 (
+	.A1(n_4835),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
+	.X(n_6756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785792 (
+	.A1_N(soc_top_u_spi_host_spi_host_ss[0]),
+	.A2_N(n_5997),
+	.B1(n_1),
+	.B2(n_5998),
+	.Y(n_6755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785793 (
+	.A1_N(soc_top_u_spi_host_spi_host_ss[1]),
+	.A2_N(n_5997),
+	.B1(n_0),
+	.B2(n_5998),
+	.Y(n_6754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785794 (
+	.A1_N(soc_top_u_spi_host_spi_host_ss[2]),
+	.A2_N(n_5997),
+	.B1(n_658),
+	.B2(n_5998),
+	.Y(n_6753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785795 (
+	.A1(n_4929),
+	.A2(n_1216),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
+	.X(n_6752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785796 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
+	.A2_N(n_5981),
+	.B1(n_1242),
+	.B2(n_4913),
+	.Y(n_6751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785797 (
+	.A1_N(soc_top_u_spi_host_spi_host_ss[3]),
+	.A2_N(n_5997),
+	.B1(n_2),
+	.B2(n_5998),
+	.Y(n_6750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785798 (
+	.A1(n_4820),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
+	.X(n_6749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785799 (
+	.A1(n_4959),
+	.A2(n_3336),
+	.B1(n_5391),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
+	.X(n_6748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785800 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
+	.A2_N(n_5895),
+	.B1(n_1218),
+	.B2(n_4968),
+	.Y(n_6747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785801 (
+	.A1(n_4928),
+	.A2(n_1570),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
+	.X(n_6746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785802 (
+	.A1(n_4929),
+	.A2(n_1233),
+	.B1(n_5951),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
+	.X(n_6745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785803 (
+	.A1(n_4937),
+	.A2(n_1568),
+	.B1(n_5910),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
+	.X(n_6744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785804 (
+	.A1(n_4818),
+	.A2(n_3280),
+	.B1(n_5528),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
+	.X(n_6743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785805 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
+	.A2_N(n_5391),
+	.B1(n_3335),
+	.B2(n_4958),
+	.Y(n_6742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785806 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
+	.A2_N(n_5981),
+	.B1(n_1572),
+	.B2(n_4913),
+	.Y(n_6741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785807 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
+	.A2_N(n_5430),
+	.B1(n_3255),
+	.B2(n_4805),
+	.Y(n_6740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785808 (
+	.A1(n_4946),
+	.A2(n_1573),
+	.B1(n_5939),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
+	.X(n_6739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785809 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
+	.A2_N(n_5430),
+	.B1(n_3255),
+	.B2(n_4813),
+	.Y(n_6738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785810 (
+	.A1(n_4928),
+	.A2(n_1233),
+	.B1(n_5979),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
+	.X(n_6737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785811 (
+	.A1(n_4894),
+	.A2(n_1233),
+	.B1(n_5891),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
+	.X(n_6736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785812 (
+	.A1(n_4770),
+	.A2(n_3256),
+	.B1(n_5427),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
+	.X(n_6735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785813 (
+	.A1(n_4943),
+	.A2(n_1573),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
+	.X(n_6734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785814 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
+	.A2_N(n_5916),
+	.B1(n_1547),
+	.B2(n_4977),
+	.Y(n_6733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785815 (
+	.A1(n_4943),
+	.A2(n_1568),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
+	.X(n_6732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785816 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
+	.A2_N(n_5981),
+	.B1(n_1569),
+	.B2(n_4913),
+	.Y(n_6731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785817 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
+	.A2_N(n_5430),
+	.B1(n_3255),
+	.B2(n_4809),
+	.Y(n_6730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785818 (
+	.A1(n_4943),
+	.A2(FE_DBTN98_n_1242),
+	.B1(n_5948),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
+	.X(n_6729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785819 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
+	.A2_N(n_5981),
+	.B1(n_1571),
+	.B2(n_4913),
+	.Y(n_6728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785820 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
+	.A2_N(n_5567),
+	.B1(n_3255),
+	.B2(n_4880),
+	.Y(n_6727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785821 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
+	.A2_N(n_5916),
+	.B1(n_3217),
+	.B2(n_4762),
+	.Y(n_6726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785822 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
+	.A2_N(n_5981),
+	.B1(n_1218),
+	.B2(n_4913),
+	.Y(n_6725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785823 (
+	.A1(n_4935),
+	.A2(n_1216),
+	.B1(n_5943),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
+	.X(n_6724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785824 (
+	.A1_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [32]),
+	.A2_N(n_4738),
+	.B1(n_1595),
+	.B2(n_6003),
+	.Y(n_6723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785825 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
+	.A2_N(n_5981),
+	.B1(n_1234),
+	.B2(n_4913),
+	.Y(n_6722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785826 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
+	.A2_N(n_5903),
+	.B1(n_1547),
+	.B2(n_4939),
+	.Y(n_6721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785827 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
+	.A2_N(n_5502),
+	.B1(n_3217),
+	.B2(n_4769),
+	.Y(n_6720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785828 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
+	.A2_N(n_5903),
+	.B1(n_1242),
+	.B2(n_4939),
+	.Y(n_6719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785829 (
+	.A1(n_4846),
+	.A2(n_3244),
+	.B1(n_5403),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
+	.X(n_6718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785830 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
+	.A2_N(n_5903),
+	.B1(n_1569),
+	.B2(n_4939),
+	.Y(n_6717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785831 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
+	.A2_N(n_5903),
+	.B1(n_1571),
+	.B2(n_4939),
+	.Y(n_6716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785832 (
+	.A1(n_4944),
+	.A2(n_1546),
+	.B1(n_5952),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
+	.X(n_6715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785833 (
+	.A1(n_4811),
+	.A2(n_30021),
+	.B1(n_5428),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
+	.X(n_6714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785834 (
+	.A1_N(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
+	.A2_N(n_5903),
+	.B1(n_1234),
+	.B2(n_4939),
+	.Y(n_6713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g785835 (
+	.A1(n_5990),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.X(n_6712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g785836 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5852),
+	.X(n_6711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g785837 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5856),
+	.X(n_6710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4bb_1 g785839 (
+	.A(n_61618),
+	.B(n_62694),
+	.C_N(n_5366),
+	.D_N(n_5331),
+	.Y(n_7875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g785840 (
+	.A1_N(n_73723),
+	.A2_N(n_1966),
+	.B1(n_3025),
+	.B2(n_67808),
+	.Y(n_6708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785841 (
+	.A(n_6701),
+	.Y(n_6702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g785843 (
+	.A(n_6685),
+	.Y(n_6684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785844 (
+	.A(n_6682),
+	.Y(n_6681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785845 (
+	.A(n_29988),
+	.Y(n_6679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g785846 (
+	.A(n_6678),
+	.Y(n_6677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785847 (
+	.A(n_29989),
+	.Y(n_6675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785848 (
+	.A(n_6674),
+	.Y(n_6673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785849 (
+	.A(n_6670),
+	.Y(n_6671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g785850 (
+	.A(n_29991),
+	.Y(n_6668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g785852 (
+	.A(n_6665),
+	.Y(n_6664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785853 (
+	.A(n_6663),
+	.Y(n_6662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g785854 (
+	.A(n_6661),
+	.Y(n_6660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g785855 (
+	.A(n_6659),
+	.Y(n_6658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785856 (
+	.A(n_6651),
+	.Y(n_6650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785857 (
+	.A(n_6649),
+	.Y(n_6648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g785858 (
+	.A(n_6647),
+	.Y(n_6646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g785881 (
+	.A(n_6618),
+	.Y(n_6619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g785887 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(n_4010),
+	.COUT(n_6615),
+	.SUM(n_6616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g785888 (
+	.A(n_5105),
+	.B(n_4108),
+	.C(n_4188),
+	.Y(n_6614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785889 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [4]),
+	.C1(n_5290),
+	.Y(n_6613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785890 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [4]),
+	.C1(n_5291),
+	.Y(n_6612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785891 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [4]),
+	.C1(n_5293),
+	.Y(n_6611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785892 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [4]),
+	.C1(n_5294),
+	.Y(n_6610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785893 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [4]),
+	.C1(n_5295),
+	.Y(n_6609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785894 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [4]),
+	.C1(n_5296),
+	.Y(n_6608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785895 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [4]),
+	.C1(n_5297),
+	.Y(n_6607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785896 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [4]),
+	.C1(n_5298),
+	.Y(n_6606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785897 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [4]),
+	.C1(n_5299),
+	.Y(n_6605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785898 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [4]),
+	.C1(n_5300),
+	.Y(n_6604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785899 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [4]),
+	.C1(n_5301),
+	.Y(n_6603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785900 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [4]),
+	.C1(n_5302),
+	.Y(n_6602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785901 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [4]),
+	.C1(n_5303),
+	.Y(n_6601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785902 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [4]),
+	.C1(n_5304),
+	.Y(n_6600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785903 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [4]),
+	.C1(n_5305),
+	.Y(n_6599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785904 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [4]),
+	.C1(n_5306),
+	.Y(n_6598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785905 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [4]),
+	.C1(n_5307),
+	.Y(n_6597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785906 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [4]),
+	.C1(n_5308),
+	.Y(n_6596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785907 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [3]),
+	.C1(n_5309),
+	.Y(n_6595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785908 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [3]),
+	.C1(n_5311),
+	.Y(n_6594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785909 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [3]),
+	.C1(n_5312),
+	.Y(n_6593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785910 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [3]),
+	.C1(n_5313),
+	.Y(n_6592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785911 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [3]),
+	.C1(n_5314),
+	.Y(n_6591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785912 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [3]),
+	.C1(n_5315),
+	.Y(n_6590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785913 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [3]),
+	.C1(n_5316),
+	.Y(n_6589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785914 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [3]),
+	.C1(n_5319),
+	.Y(n_6588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785915 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [3]),
+	.C1(n_5320),
+	.Y(n_6587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785916 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [3]),
+	.C1(n_5798),
+	.Y(n_6586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785917 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [3]),
+	.C1(n_5800),
+	.Y(n_6585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785918 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [3]),
+	.C1(n_5799),
+	.Y(n_6584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785919 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [3]),
+	.C1(n_5797),
+	.Y(n_6583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785920 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [3]),
+	.C1(n_5795),
+	.Y(n_6582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785921 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [3]),
+	.C1(n_5794),
+	.Y(n_6581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785922 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [3]),
+	.C1(n_5792),
+	.Y(n_6580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785923 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [3]),
+	.C1(n_5791),
+	.Y(n_6579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785924 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [3]),
+	.C1(n_5789),
+	.Y(n_6578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785925 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [3]),
+	.C1(n_5788),
+	.Y(n_6577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785926 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [3]),
+	.C1(n_5787),
+	.Y(n_6576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785927 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [3]),
+	.C1(n_5786),
+	.Y(n_6575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785928 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [3]),
+	.C1(n_5785),
+	.Y(n_6574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785929 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [3]),
+	.C1(n_5175),
+	.Y(n_6573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785930 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [3]),
+	.C1(n_5784),
+	.Y(n_6572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785931 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [3]),
+	.C1(n_5782),
+	.Y(n_6571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785932 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [3]),
+	.C1(n_5781),
+	.Y(n_6570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785933 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [3]),
+	.C1(n_5780),
+	.Y(n_6569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785934 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [2]),
+	.C1(n_5779),
+	.Y(n_6568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785935 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [2]),
+	.C1(n_5778),
+	.Y(n_6567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785936 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [2]),
+	.C1(n_5777),
+	.Y(n_6566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785937 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [2]),
+	.C1(n_5776),
+	.Y(n_6565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785938 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [2]),
+	.C1(n_5775),
+	.Y(n_6564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785939 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [2]),
+	.C1(n_5774),
+	.Y(n_6563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785940 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [2]),
+	.C1(n_5773),
+	.Y(n_6562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785941 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [2]),
+	.C1(n_5772),
+	.Y(n_6561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785942 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [2]),
+	.C1(n_5771),
+	.Y(n_6560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785943 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [2]),
+	.C1(n_5770),
+	.Y(n_6559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785944 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [2]),
+	.C1(n_5768),
+	.Y(n_6558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785945 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [2]),
+	.C1(n_5767),
+	.Y(n_6557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785946 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [2]),
+	.C1(n_5754),
+	.Y(n_6556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785947 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [2]),
+	.C1(n_5753),
+	.Y(n_6555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785948 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [2]),
+	.C1(n_5752),
+	.Y(n_6554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785949 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [2]),
+	.C1(n_5751),
+	.Y(n_6553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785950 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [2]),
+	.C1(n_5748),
+	.Y(n_6552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785951 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [2]),
+	.C1(n_5744),
+	.Y(n_6551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785952 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [2]),
+	.C1(n_5733),
+	.Y(n_6550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785953 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [2]),
+	.C1(n_5696),
+	.Y(n_6549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785954 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [2]),
+	.C1(n_5692),
+	.Y(n_6548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785955 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [2]),
+	.C1(n_5689),
+	.Y(n_6547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785956 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [2]),
+	.C1(n_5638),
+	.Y(n_6546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785957 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [2]),
+	.C1(n_5637),
+	.Y(n_6545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785958 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [2]),
+	.C1(n_5636),
+	.Y(n_6544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785959 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [6]),
+	.C1(n_5234),
+	.Y(n_6543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785960 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [2]),
+	.C1(n_5635),
+	.Y(n_6542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785961 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [2]),
+	.C1(n_5633),
+	.Y(n_6541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785962 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [6]),
+	.C1(n_5231),
+	.Y(n_6540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785963 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [2]),
+	.C1(n_5624),
+	.Y(n_6539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785964 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [2]),
+	.C1(n_5627),
+	.Y(n_6538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785965 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [2]),
+	.C1(n_5639),
+	.Y(n_6537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785966 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [2]),
+	.C1(n_5640),
+	.Y(n_6536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785967 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [1]),
+	.C1(n_5648),
+	.Y(n_6535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785968 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [1]),
+	.C1(n_5650),
+	.Y(n_6534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785969 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [1]),
+	.C1(n_5184),
+	.Y(n_6533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785970 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [1]),
+	.C1(n_5651),
+	.Y(n_6532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785971 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [1]),
+	.C1(n_5653),
+	.Y(n_6531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785972 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [1]),
+	.C1(n_5655),
+	.Y(n_6530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785973 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [1]),
+	.C1(n_5658),
+	.Y(n_6529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785974 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [1]),
+	.C1(n_5659),
+	.Y(n_6528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785975 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [1]),
+	.C1(n_5183),
+	.Y(n_6527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785976 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [1]),
+	.C1(n_5182),
+	.Y(n_6526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785977 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [1]),
+	.C1(n_5662),
+	.Y(n_6525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785978 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [1]),
+	.C1(n_5179),
+	.Y(n_6524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785979 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [1]),
+	.C1(n_5663),
+	.Y(n_6523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785980 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [1]),
+	.C1(n_5665),
+	.Y(n_6522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785981 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [1]),
+	.C1(n_5666),
+	.Y(n_6521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785982 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [1]),
+	.C1(n_5667),
+	.Y(n_6520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785983 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [1]),
+	.C1(n_5668),
+	.Y(n_6519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g785984 (
+	.A(n_3990),
+	.B(n_30725),
+	.X(n_6518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g785985 (
+	.A(n_3822),
+	.B(n_5883),
+	.Y(n_6517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785986 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [1]),
+	.C1(n_5669),
+	.Y(n_6516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g785987 (
+	.A_N(n_4984),
+	.B(n_5144),
+	.Y(n_6515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785988 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [1]),
+	.C1(n_5671),
+	.Y(n_6514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785989 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [1]),
+	.C1(n_5178),
+	.Y(n_6513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785990 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [1]),
+	.C1(n_5673),
+	.Y(n_6512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g785991 (
+	.A(n_6026),
+	.B(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Y(n_6511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785992 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [1]),
+	.C1(n_5675),
+	.Y(n_6510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785993 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [1]),
+	.C1(n_5676),
+	.Y(n_6509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785994 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [1]),
+	.C1(n_5677),
+	.Y(n_6508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785995 (
+	.A1(n_3997),
+	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [1]),
+	.B1(n_4026),
+	.B2(\soc_top_intr_controller_reg2hw[prio21][q] [1]),
+	.C1(n_5162),
+	.Y(n_6507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785996 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [1]),
+	.C1(n_5678),
+	.Y(n_6506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785997 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [1]),
+	.C1(n_5679),
+	.Y(n_6505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g785998 (
+	.A(n_26383),
+	.B(n_5602),
+	.Y(n_6504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g785999 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [1]),
+	.C1(n_5680),
+	.Y(n_6503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786000 (
+	.A_N(n_5987),
+	.B(n_2478),
+	.Y(n_6502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786001 (
+	.A_N(n_5599),
+	.B(n_2478),
+	.Y(n_6501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786002 (
+	.A(n_3823),
+	.B(n_5883),
+	.Y(n_6500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786003 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [1]),
+	.C1(n_5681),
+	.Y(n_6499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786004 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [6]),
+	.C1(n_5233),
+	.Y(n_6498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786005 (
+	.A_N(n_5598),
+	.B(n_2478),
+	.Y(n_6497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786006 (
+	.A(n_5605),
+	.B(n_2478),
+	.Y(n_6496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786007 (
+	.A(n_5605),
+	.B(n_2455),
+	.Y(n_6495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786008 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [0]),
+	.C1(n_5682),
+	.Y(n_6494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786009 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [0]),
+	.C1(n_5683),
+	.Y(n_6493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786010 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [0]),
+	.C1(n_5684),
+	.Y(n_6492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786011 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [0]),
+	.C1(n_5685),
+	.Y(n_6491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786012 (
+	.A_N(n_2513),
+	.B(n_5614),
+	.Y(n_6490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786013 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [0]),
+	.C1(n_5687),
+	.Y(n_6489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786014 (
+	.A(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.B_N(n_5821),
+	.Y(n_6488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786015 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [0]),
+	.C1(n_5688),
+	.Y(n_6487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786016 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [0]),
+	.C1(n_5690),
+	.Y(n_6486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786017 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [0]),
+	.C1(n_5691),
+	.Y(n_6485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786018 (
+	.A_N(n_5598),
+	.B(n_2455),
+	.Y(n_6484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786019 (
+	.A_N(n_5599),
+	.B(n_2455),
+	.Y(n_6483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786020 (
+	.A_N(n_5987),
+	.B(n_2455),
+	.Y(n_6482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786021 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [0]),
+	.C1(n_5694),
+	.Y(n_6481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786022 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [0]),
+	.C1(n_5695),
+	.Y(n_6480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786023 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [0]),
+	.C1(n_5697),
+	.Y(n_6479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786024 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [0]),
+	.C1(n_5698),
+	.Y(n_6478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786025 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [0]),
+	.C1(n_5699),
+	.Y(n_6477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g786026 (
+	.A(n_2463),
+	.B(n_5886),
+	.X(n_6476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786027 (
+	.A(n_1303),
+	.B(n_5026),
+	.Y(n_6475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786028 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [0]),
+	.C1(n_5700),
+	.Y(n_6474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786029 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [0]),
+	.C1(n_5701),
+	.Y(n_6473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g786030 (
+	.A(n_2436),
+	.B(n_5604),
+	.X(n_6472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786031 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [0]),
+	.C1(n_5702),
+	.Y(n_6471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786032 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [0]),
+	.C1(n_5703),
+	.Y(n_6470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786033 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [0]),
+	.C1(n_5704),
+	.Y(n_6469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786034 (
+	.A(n_4037),
+	.B(n_5885),
+	.Y(n_6468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g786035 (
+	.A(n_4043),
+	.B(n_5885),
+	.X(n_6467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g786036 (
+	.A(n_4021),
+	.B(n_5883),
+	.X(n_6466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786037 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [0]),
+	.C1(n_5180),
+	.Y(n_6465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g786038 (
+	.A(n_5886),
+	.B(n_29634),
+	.X(n_6464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g786039 (
+	.A(n_5886),
+	.B(n_4016),
+	.X(n_6463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786040 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [0]),
+	.C1(n_5181),
+	.Y(n_6462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g786041 (
+	.A(n_2436),
+	.B(n_5987),
+	.X(n_6461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g786042 (
+	.A(n_2436),
+	.B(n_5598),
+	.X(n_6460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g786043 (
+	.A(n_2436),
+	.B(n_5599),
+	.X(n_6459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786044 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [0]),
+	.C1(n_5705),
+	.Y(n_6458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786045 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [0]),
+	.C1(n_5706),
+	.Y(n_6457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786046 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [0]),
+	.C1(n_5707),
+	.X(n_6456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786047 (
+	.A(n_4494),
+	.B(n_5612),
+	.Y(n_6455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786048 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [0]),
+	.C1(n_5709),
+	.Y(n_6454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786049 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [0]),
+	.C1(n_5710),
+	.Y(n_6453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786050 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [0]),
+	.C1(n_5711),
+	.Y(n_6452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786051 (
+	.A(n_1587),
+	.B_N(n_6008),
+	.Y(n_6451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g786053 (
+	.A(n_2464),
+	.B(n_5604),
+	.X(n_6449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786054 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [0]),
+	.C1(n_5712),
+	.X(n_6448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g786055 (
+	.A(n_4080),
+	.B(n_4081),
+	.C(n_3853),
+	.D(n_4694),
+	.Y(n_6447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786056 (
+	.A(n_5095),
+	.B(n_4079),
+	.C(n_4078),
+	.Y(n_6446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786057 (
+	.A(n_5094),
+	.B(n_4077),
+	.C(n_4076),
+	.Y(n_6445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786058 (
+	.A(n_5093),
+	.B(n_4075),
+	.C(n_4074),
+	.Y(n_6444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786059 (
+	.A(n_5092),
+	.B(n_4073),
+	.C(n_4072),
+	.Y(n_6443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786060 (
+	.A(n_5091),
+	.B(n_4071),
+	.C(n_4069),
+	.Y(n_6442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786061 (
+	.A(n_5090),
+	.B(n_4068),
+	.C(n_4067),
+	.Y(n_6441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786062 (
+	.A(n_5089),
+	.B(n_4066),
+	.C(n_4065),
+	.Y(n_6440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786063 (
+	.A(n_5088),
+	.B(n_4064),
+	.C(n_4063),
+	.Y(n_6439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786064 (
+	.A(n_5087),
+	.B(n_4062),
+	.C(n_4070),
+	.Y(n_6438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786065 (
+	.A(n_5086),
+	.B(n_4061),
+	.C(n_4060),
+	.Y(n_6437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786066 (
+	.A(n_5097),
+	.B(n_4172),
+	.C(n_4182),
+	.Y(n_6436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786067 (
+	.A(n_5085),
+	.B(n_4083),
+	.C(n_4185),
+	.Y(n_6435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786068 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [0]),
+	.C1(n_5713),
+	.X(n_6434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g786069 (
+	.A1(n_3768),
+	.A2(n_2026),
+	.A3(n_1689),
+	.B1(n_3827),
+	.Y(n_6433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786070 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [0]),
+	.C1(n_5714),
+	.X(n_6432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786071 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[31]),
+	.B1(n_2392),
+	.B2(n_29248),
+	.C1(n_5075),
+	.Y(n_6431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786072 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[0]),
+	.B1(n_5188),
+	.Y(n_6430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786073 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[1]),
+	.B1(n_5757),
+	.Y(n_6429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786074 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[2]),
+	.B1(n_5756),
+	.Y(n_6428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786075 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[3]),
+	.B1(n_5749),
+	.Y(n_6427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786076 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[4]),
+	.B1(n_5747),
+	.Y(n_6426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786077 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[5]),
+	.B1(n_5746),
+	.Y(n_6425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786078 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[6]),
+	.B1(n_5745),
+	.Y(n_6424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786079 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[7]),
+	.B1(n_5742),
+	.Y(n_6423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g786080 (
+	.A1(n_3356),
+	.A2(n_2394),
+	.A3(\soc_top_intr_controller_reg2hw[prio4][q] [0]),
+	.B1(n_5029),
+	.X(n_6422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786081 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[8]),
+	.B1(n_5741),
+	.Y(n_6421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786082 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[9]),
+	.B1(n_5740),
+	.Y(n_6420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786083 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[11]),
+	.B1(n_5738),
+	.Y(n_6419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786084 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[12]),
+	.B1(n_5737),
+	.Y(n_6418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786085 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[13]),
+	.B1(n_5736),
+	.Y(n_6417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786086 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[14]),
+	.B1(n_5793),
+	.Y(n_6416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786087 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[15]),
+	.B1(n_5734),
+	.Y(n_6415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786088 (
+	.A1(n_3955),
+	.A2(soc_top_GPIO_cio_gpio_en_q[10]),
+	.B1(n_5739),
+	.Y(n_6414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786089 (
+	.A1(n_30738),
+	.A2(soc_top_u_top_u_core_csr_mepc[30]),
+	.B1(n_2392),
+	.B2(n_29247),
+	.C1(n_5074),
+	.Y(n_6413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786090 (
+	.A1(n_2496),
+	.A2(n_4987),
+	.B1(n_6015),
+	.Y(n_6412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786091 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[27]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [27]),
+	.C1(n_5070),
+	.Y(n_6411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g786092 (
+	.A(n_5245),
+	.B(n_4277),
+	.C(n_3986),
+	.D(n_3982),
+	.Y(n_6410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g786093 (
+	.A(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.B(n_25343),
+	.C(n_4513),
+	.X(n_6409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786094 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [5]),
+	.C1(n_5264),
+	.Y(n_6408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786095 (
+	.A1(n_3372),
+	.A2(\soc_top_intr_controller_reg2hw[prio5][q] [0]),
+	.B1(n_3416),
+	.B2(\soc_top_intr_controller_reg2hw[prio9][q] [0]),
+	.C1(n_4681),
+	.X(n_6407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786096 (
+	.A1(n_4017),
+	.A2(n_4018),
+	.B1(n_5883),
+	.Y(n_6406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g786097 (
+	.A_N(n_5615),
+	.B(n_4047),
+	.C(n_2463),
+	.Y(n_6405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786098 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [6]),
+	.C1(n_5716),
+	.Y(n_6404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786099 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.C1(n_5033),
+	.X(n_6403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 g786100 (
+	.A1(n_1643),
+	.A2(n_3783),
+	.A3(n_2880),
+	.B1(n_4007),
+	.C1(n_4335),
+	.Y(n_6402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786101 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [6]),
+	.C1(n_5223),
+	.Y(n_6401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g786102 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B1(n_3810),
+	.C1(n_4207),
+	.Y(n_6400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786103 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [7]),
+	.C1(n_5205),
+	.Y(n_6399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786104 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [6]),
+	.C1(n_5220),
+	.Y(n_6398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786105 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [5]),
+	.C1(n_5267),
+	.Y(n_6397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786106 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [6]),
+	.C1(n_5249),
+	.Y(n_6396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786107 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [5]),
+	.C1(n_5269),
+	.Y(n_6395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g786110 (
+	.A_N(n_4988),
+	.B(n_3445),
+	.C(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.X(n_6707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786122 (
+	.A(n_5616),
+	.B(n_4494),
+	.Y(n_6705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786137 (
+	.A(n_43384),
+	.B_N(n_4997),
+	.Y(n_6704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786145 (
+	.A(n_5889),
+	.B(n_25292),
+	.Y(n_6703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786151 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B_N(n_6027),
+	.Y(n_6701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g786201 (
+	.A1(n_67255),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.B1(n_4176),
+	.C1(n_61279),
+	.Y(n_6700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786204 (
+	.A(n_5603),
+	.B(n_2411),
+	.Y(n_6699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786206 (
+	.A(n_5525),
+	.B(n_5329),
+	.Y(n_6698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786207 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[3]),
+	.B(n_4031),
+	.Y(n_6697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786208 (
+	.A_N(n_6009),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.Y(n_6696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786209 (
+	.A_N(n_6010),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.Y(n_6695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786210 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B(n_6024),
+	.Y(n_6694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786211 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_5602),
+	.Y(n_6692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786212 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B_N(n_6008),
+	.Y(n_6691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786213 (
+	.A(n_5331),
+	.B(n_5367),
+	.Y(n_6690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786214 (
+	.A(n_5595),
+	.B(n_5326),
+	.Y(n_6689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786216 (
+	.A(n_5325),
+	.B(n_5595),
+	.Y(n_6688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786217 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.B_N(n_6013),
+	.Y(n_6687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786218 (
+	.A(n_62693),
+	.B(n_5595),
+	.Y(n_6686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786219 (
+	.A(n_5326),
+	.B(n_5594),
+	.Y(n_6685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786222 (
+	.A(n_29994),
+	.B(n_1305),
+	.Y(n_6683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786224 (
+	.A(n_6021),
+	.B(n_756),
+	.Y(n_6682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786226 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B(n_6019),
+	.Y(n_6678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786228 (
+	.A(n_6021),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_6674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786229 (
+	.A(n_5367),
+	.B(n_5332),
+	.Y(n_6672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786230 (
+	.A(n_6025),
+	.B(n_756),
+	.Y(n_6670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g786232 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B(n_29993),
+	.Y(n_6666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786233 (
+	.A(n_29994),
+	.B(n_1652),
+	.Y(n_6665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786234 (
+	.A(n_6025),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_6663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786235 (
+	.A(n_29994),
+	.B(n_1350),
+	.Y(n_6661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g786237 (
+	.A_N(n_3990),
+	.B(n_6007),
+	.C(n_4705),
+	.X(n_6659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g786238 (
+	.A_N(n_6015),
+	.B(n_672),
+	.X(n_6657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g786239 (
+	.A(n_6012),
+	.B(n_64176),
+	.X(n_6656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g786240 (
+	.A(n_5881),
+	.B(n_1595),
+	.X(n_6655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g786241 (
+	.A_N(n_1595),
+	.B(n_5881),
+	.X(n_6654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g786242 (
+	.A_N(n_5620),
+	.B(n_2364),
+	.X(n_6653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g786243 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(n_6003),
+	.X(n_6652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g786244 (
+	.A_N(n_25144),
+	.B(n_5890),
+	.X(n_6651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g786245 (
+	.A_N(n_26383),
+	.B(n_5890),
+	.X(n_6649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g786246 (
+	.A_N(n_25144),
+	.B(n_5603),
+	.X(n_6647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786247 (
+	.A(n_122),
+	.B(n_29280),
+	.C(n_4199),
+	.Y(n_6618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g786249 (
+	.A(n_6243),
+	.Y(n_6394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786252 (
+	.A(n_6383),
+	.Y(n_6382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786253 (
+	.A1(n_4275),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.B1(n_1285),
+	.B2(n_27514),
+	.C1(n_4552),
+	.X(n_6379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786254 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [4]),
+	.C1(n_5289),
+	.Y(n_6378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786255 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [4]),
+	.C1(n_5288),
+	.Y(n_6377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786256 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [4]),
+	.C1(n_5287),
+	.Y(n_6376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786257 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [4]),
+	.C1(n_5286),
+	.Y(n_6375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786258 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [4]),
+	.C1(n_5284),
+	.Y(n_6374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786259 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [4]),
+	.C1(n_5282),
+	.Y(n_6373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786260 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [4]),
+	.C1(n_5281),
+	.Y(n_6372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786261 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [4]),
+	.C1(n_5279),
+	.Y(n_6371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786262 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [4]),
+	.C1(n_5278),
+	.Y(n_6370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786263 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [4]),
+	.C1(n_5277),
+	.Y(n_6369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786264 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [5]),
+	.C1(n_5177),
+	.Y(n_6368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786265 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [5]),
+	.C1(n_5276),
+	.Y(n_6367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786266 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [5]),
+	.C1(n_5275),
+	.Y(n_6366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786267 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [5]),
+	.C1(n_5274),
+	.Y(n_6365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786268 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [5]),
+	.C1(n_5270),
+	.Y(n_6364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786269 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [5]),
+	.C1(n_5165),
+	.Y(n_6363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786270 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [5]),
+	.C1(n_5760),
+	.Y(n_6362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786271 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [5]),
+	.C1(n_5265),
+	.Y(n_6361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786272 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [5]),
+	.C1(n_5176),
+	.Y(n_6360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786273 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [5]),
+	.C1(n_5755),
+	.Y(n_6359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786274 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [5]),
+	.C1(n_5263),
+	.Y(n_6358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786275 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [5]),
+	.C1(n_5262),
+	.Y(n_6357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786276 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [5]),
+	.C1(n_5261),
+	.Y(n_6356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786277 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [5]),
+	.C1(n_5258),
+	.Y(n_6355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786278 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [5]),
+	.C1(n_5257),
+	.Y(n_6354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786279 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [5]),
+	.C1(n_5256),
+	.Y(n_6353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786280 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [5]),
+	.C1(n_5255),
+	.Y(n_6352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786281 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [5]),
+	.C1(n_5254),
+	.Y(n_6351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786282 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [5]),
+	.C1(n_5253),
+	.Y(n_6350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786283 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [5]),
+	.C1(n_5252),
+	.Y(n_6349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786284 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [5]),
+	.C1(n_5251),
+	.Y(n_6348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786285 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [5]),
+	.C1(n_5250),
+	.Y(n_6347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786286 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [6]),
+	.C1(n_5248),
+	.Y(n_6346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786287 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [6]),
+	.C1(n_5247),
+	.Y(n_6345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786288 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [6]),
+	.C1(n_5246),
+	.Y(n_6344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786289 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [6]),
+	.C1(n_5244),
+	.Y(n_6343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786290 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [6]),
+	.C1(n_5243),
+	.Y(n_6342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786291 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [6]),
+	.C1(n_5242),
+	.Y(n_6341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786292 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [6]),
+	.C1(n_5241),
+	.Y(n_6340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786293 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [6]),
+	.C1(n_5240),
+	.Y(n_6339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786294 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [6]),
+	.C1(n_5239),
+	.Y(n_6338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786295 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [6]),
+	.C1(n_5238),
+	.Y(n_6337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786296 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [6]),
+	.C1(n_5237),
+	.Y(n_6336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786297 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [6]),
+	.C1(n_5236),
+	.Y(n_6335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786298 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [6]),
+	.C1(n_5235),
+	.Y(n_6334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786299 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [6]),
+	.C1(n_5232),
+	.Y(n_6333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786300 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [6]),
+	.C1(n_5750),
+	.Y(n_6332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786301 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [6]),
+	.C1(n_5230),
+	.Y(n_6331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786302 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [6]),
+	.C1(n_5228),
+	.Y(n_6330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786303 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [6]),
+	.C1(n_5227),
+	.Y(n_6329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786304 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [6]),
+	.C1(n_5226),
+	.Y(n_6328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786305 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [6]),
+	.C1(n_5224),
+	.Y(n_6327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786306 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [6]),
+	.C1(n_5221),
+	.Y(n_6326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786307 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [6]),
+	.C1(n_5219),
+	.Y(n_6325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786308 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [6]),
+	.C1(n_5225),
+	.Y(n_6324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786309 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [7]),
+	.C1(n_5218),
+	.Y(n_6323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786310 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [7]),
+	.C1(n_5216),
+	.Y(n_6322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786311 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [7]),
+	.C1(n_5215),
+	.Y(n_6321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786312 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [7]),
+	.C1(n_5214),
+	.Y(n_6320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786313 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [7]),
+	.C1(n_5185),
+	.Y(n_6319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786314 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [7]),
+	.C1(n_5213),
+	.Y(n_6318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786315 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [7]),
+	.C1(n_5743),
+	.Y(n_6317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786316 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [7]),
+	.C1(n_5211),
+	.Y(n_6316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786317 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [7]),
+	.C1(n_5210),
+	.Y(n_6315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786318 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [7]),
+	.C1(n_5208),
+	.Y(n_6314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786319 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [7]),
+	.C1(n_5173),
+	.Y(n_6313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786320 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [7]),
+	.C1(n_5217),
+	.Y(n_6312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786321 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [7]),
+	.C1(n_5207),
+	.Y(n_6311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786322 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [7]),
+	.C1(n_5206),
+	.Y(n_6310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786323 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [7]),
+	.C1(n_5204),
+	.Y(n_6309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786324 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [7]),
+	.C1(n_5203),
+	.Y(n_6308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786325 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [7]),
+	.C1(n_5202),
+	.Y(n_6307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786326 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [5]),
+	.C1(n_5271),
+	.Y(n_6306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786327 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [7]),
+	.C1(n_5197),
+	.Y(n_6305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786328 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [7]),
+	.C1(n_5196),
+	.Y(n_6304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786329 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [7]),
+	.C1(n_5195),
+	.Y(n_6303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786330 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [7]),
+	.C1(n_5194),
+	.Y(n_6302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786331 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [7]),
+	.C1(n_5192),
+	.Y(n_6301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786332 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [7]),
+	.C1(n_5191),
+	.Y(n_6300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786333 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [7]),
+	.C1(n_5190),
+	.Y(n_6299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786334 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [0]),
+	.C1(n_5686),
+	.Y(n_6298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786335 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [3]),
+	.C1(n_5310),
+	.Y(n_6297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786336 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [3]),
+	.C1(n_5318),
+	.Y(n_6296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786337 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [2]),
+	.C1(n_5647),
+	.Y(n_6295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786338 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [3]),
+	.C1(n_5790),
+	.Y(n_6294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786339 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [0]),
+	.C1(n_5693),
+	.Y(n_6293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786340 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [7]),
+	.C1(n_5212),
+	.Y(n_6292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786341 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [1]),
+	.C1(n_5664),
+	.Y(n_6291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786342 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [1]),
+	.C1(n_5670),
+	.Y(n_6290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786343 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [1]),
+	.C1(n_5672),
+	.Y(n_6289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786344 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [1]),
+	.C1(n_5674),
+	.Y(n_6288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786345 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [6]),
+	.C1(n_5222),
+	.Y(n_6287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786346 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [5]),
+	.C1(n_5268),
+	.Y(n_6286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786347 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [4]),
+	.C1(n_5280),
+	.Y(n_6285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786348 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [4]),
+	.C1(n_5285),
+	.Y(n_6284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786349 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [7]),
+	.C1(n_5193),
+	.Y(n_6283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786350 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [4]),
+	.C1(n_5292),
+	.Y(n_6282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786351 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [0]),
+	.C1(n_5708),
+	.X(n_6281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786352 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [7]),
+	.C1(n_5198),
+	.Y(n_6280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786353 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [7]),
+	.C1(n_5199),
+	.Y(n_6279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786354 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [3]),
+	.C1(n_5783),
+	.Y(n_6278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786355 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [3]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [3]),
+	.C1(n_5796),
+	.Y(n_6277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786356 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [7]),
+	.C1(n_5200),
+	.Y(n_6276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786357 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [7]),
+	.C1(n_5201),
+	.Y(n_6275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786358 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [7]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [7]),
+	.C1(n_5209),
+	.Y(n_6274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786359 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [5]),
+	.C1(n_5272),
+	.Y(n_6273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786360 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [5]),
+	.C1(n_5273),
+	.Y(n_6272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786361 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [6]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [6]),
+	.C1(n_5229),
+	.Y(n_6271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786362 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [5]),
+	.C1(n_5259),
+	.Y(n_6270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786363 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [5]),
+	.C1(n_5266),
+	.Y(n_6269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786364 (
+	.A1(n_1381),
+	.A2(n_4210),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Y(n_6268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786365 (
+	.A1(n_3997),
+	.A2(\soc_top_intr_controller_reg2hw[prio17][q] [0]),
+	.B1(n_4026),
+	.B2(\soc_top_intr_controller_reg2hw[prio21][q] [0]),
+	.C1(n_5171),
+	.X(n_6267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786366 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [5]),
+	.C1(n_5167),
+	.Y(n_6266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g786367 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_4708),
+	.X(n_6265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g786368 (
+	.A1(n_773),
+	.A2(soc_top_u_pwm_pwm_core_period_2[8]),
+	.A3(n_3832),
+	.B1(n_2658),
+	.Y(n_6264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786369 (
+	.A1(n_4154),
+	.A2(n_2817),
+	.B1(n_3809),
+	.Y(n_6263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786370 (
+	.A1(n_4091),
+	.A2(n_2817),
+	.B1(n_3809),
+	.Y(n_6262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 g786371 (
+	.A1(n_30306),
+	.A2(n_3413),
+	.A3(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.A4(n_29691),
+	.B1(n_5763),
+	.Y(n_6261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g786372 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5011),
+	.X(n_6260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786373 (
+	.A(n_5098),
+	.B(n_4082),
+	.C(n_4190),
+	.Y(n_6259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786374 (
+	.A(n_5096),
+	.B(n_4104),
+	.C(n_4189),
+	.Y(n_6258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g786375 (
+	.A(n_3372),
+	.B(n_3989),
+	.C(n_3997),
+	.D(n_5189),
+	.Y(n_6257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g786376 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B(n_4518),
+	.Y(n_6256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786377 (
+	.A1(n_4989),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_6255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786378 (
+	.A1(n_3760),
+	.A2(n_2017),
+	.B1(n_1375),
+	.B2(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.C1(n_2660),
+	.Y(n_6254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g786379 (
+	.A(n_2477),
+	.B(n_5010),
+	.X(n_6253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g786381 (
+	.A(n_1893),
+	.B(n_1908),
+	.C(n_1892),
+	.D(n_4271),
+	.X(n_6251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786382 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.A2(n_3930),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [0]),
+	.B2(n_1260),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.Y(n_6250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786383 (
+	.A1(\soc_top_intr_controller_reg2hw[ie0][34][q] ),
+	.A2(n_4003),
+	.B1(\soc_top_plic_resp[d_data] [2]),
+	.B2(n_2363),
+	.C1(n_3977),
+	.C2(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.Y(n_6249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786384 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [0]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[8]),
+	.Y(n_6248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786385 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [1]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[9]),
+	.Y(n_6247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786386 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [2]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[10]),
+	.Y(n_6246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786387 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [3]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[11]),
+	.Y(n_6245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786388 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [4]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[12]),
+	.Y(n_6244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786389 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.A2(n_3921),
+	.B1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[3]),
+	.B2(n_3915),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.Y(n_6243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786390 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [5]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[13]),
+	.Y(n_6242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g786391 (
+	.A1(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.A2(n_2443),
+	.A3(n_4987),
+	.B1(n_33044),
+	.Y(n_6241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786392 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [6]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[14]),
+	.Y(n_6240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786393 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.A2(n_3918),
+	.B1(n_29221),
+	.B2(n_2392),
+	.C1(n_4744),
+	.C2(soc_top_u_top_u_core_csr_mepc[4]),
+	.Y(n_6239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786394 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [7]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[15]),
+	.Y(n_6238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786395 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[5]),
+	.A2(n_3915),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B2(n_3921),
+	.C1(n_3928),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.Y(n_6237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786396 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [8]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[16]),
+	.Y(n_6236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786397 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[7]),
+	.A2(n_4739),
+	.B1(n_29224),
+	.B2(n_2392),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [7]),
+	.Y(n_6235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786398 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [9]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[17]),
+	.Y(n_6234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786399 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [10]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[18]),
+	.Y(n_6233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786400 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[8]),
+	.A2(n_3915),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B2(n_3921),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.Y(n_6232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786401 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [11]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[19]),
+	.Y(n_6231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786402 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [12]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[20]),
+	.Y(n_6230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g786403 (
+	.A(n_2452),
+	.B(n_4038),
+	.C_N(soc_top_u_top_u_core_fp_alu_op_mod),
+	.D_N(n_27097),
+	.X(n_6229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786404 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[10]),
+	.A2(n_3915),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [10]),
+	.B2(n_1260),
+	.C1(n_2392),
+	.C2(n_29227),
+	.Y(n_6228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786405 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [13]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[21]),
+	.Y(n_6227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786406 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [14]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[22]),
+	.Y(n_6226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786407 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[12]),
+	.A2(n_3915),
+	.B1(soc_top_u_top_u_core_csr_mepc[12]),
+	.B2(n_30738),
+	.C1(n_3930),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.Y(n_6225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786408 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.A2(n_3923),
+	.B1(\soc_top_GPIO_reg2hw[intr_enable][q] [15]),
+	.B2(n_3916),
+	.C1(n_4493),
+	.C2(io_out[23]),
+	.Y(n_6224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786409 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.C1(n_3810),
+	.X(n_6223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786410 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B2(n_3930),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.Y(n_6222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786411 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[17]),
+	.A2(n_4739),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.B2(n_3930),
+	.C1(n_2392),
+	.C2(n_29234),
+	.Y(n_6221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786412 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.B2(n_3928),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.Y(n_6220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786413 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B2(n_3930),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.Y(n_6219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786414 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.A2(n_3928),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.B2(n_3918),
+	.C1(n_4496),
+	.C2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.Y(n_6218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786415 (
+	.A1(soc_top_u_top_u_core_csr_mepc[20]),
+	.A2(n_30738),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [20]),
+	.B2(n_1260),
+	.C1(n_2392),
+	.C2(n_29237),
+	.Y(n_6217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786416 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.B2(n_3918),
+	.C1(n_4746),
+	.C2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.Y(n_6216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786417 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[21]),
+	.A2(n_4739),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.B2(n_3930),
+	.C1(n_2392),
+	.C2(n_29238),
+	.Y(n_6215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786418 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.B2(n_3928),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.Y(n_6214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786419 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B2(n_3930),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.Y(n_6213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786420 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.A2(n_3918),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.B2(n_3930),
+	.C1(n_3921),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.Y(n_6212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786421 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.B2(n_3928),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.Y(n_6211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786422 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.B2(n_3928),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.Y(n_6210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786423 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.A2(n_3918),
+	.B1(n_29244),
+	.B2(n_30788),
+	.C1(n_3921),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.Y(n_6209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786424 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.B2(n_3930),
+	.C1(n_3918),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.Y(n_6208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786425 (
+	.A1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.A2(n_3921),
+	.B1(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.B2(n_3918),
+	.C1(n_3930),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.Y(n_6207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786426 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [15]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[15]),
+	.X(n_6206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786427 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[14]),
+	.X(n_6205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786428 (
+	.A(n_6024),
+	.B(n_2435),
+	.C(n_1707),
+	.Y(n_6204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786429 (
+	.A1(\soc_top_intr_controller_reg2hw[ie0][36][q] ),
+	.A2(n_4003),
+	.B1(\soc_top_plic_resp[d_data] [4]),
+	.B2(n_2363),
+	.C1(n_3977),
+	.C2(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.Y(n_6203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g786430 (
+	.A(n_25174),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.C(n_3764),
+	.D_N(n_25173),
+	.X(n_6202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g786431 (
+	.A1(\soc_top_intr_controller_reg2hw[ie0][35][q] ),
+	.A2(n_4003),
+	.B1(\soc_top_plic_resp[d_data] [3]),
+	.B2(n_2363),
+	.C1(n_3977),
+	.C2(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_6201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g786432 (
+	.A(n_1691),
+	.B(n_1397),
+	.C(n_3863),
+	.D_N(n_1689),
+	.Y(n_6200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786433 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [12]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[12]),
+	.X(n_6199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786434 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [4]),
+	.C1(n_5174),
+	.Y(n_6198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786435 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [11]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[11]),
+	.X(n_6197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786436 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [10]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[10]),
+	.X(n_6196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786437 (
+	.A(n_4195),
+	.B(n_3464),
+	.C(n_3469),
+	.Y(n_6195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g786438 (
+	.A(n_4194),
+	.B(n_3751),
+	.C(n_3755),
+	.Y(n_6194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g786439 (
+	.A1(n_2414),
+	.A2(n_4051),
+	.A3(\soc_top_u_top_u_core_imd_val_q_ex[1] [16]),
+	.B1(n_5652),
+	.Y(n_6193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786441 (
+	.A1(n_1671),
+	.A2(n_1365),
+	.B1(n_3457),
+	.B2(n_3454),
+	.C1(n_4087),
+	.Y(n_6191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786442 (
+	.A1(n_3790),
+	.A2(n_4506),
+	.B1(n_1345),
+	.B2(n_1377),
+	.C1(n_3009),
+	.X(n_6190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g786443 (
+	.A1_N(n_4692),
+	.A2_N(n_2448),
+	.B1(n_2523),
+	.B2(n_32),
+	.Y(n_6189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g786444 (
+	.A1(n_3356),
+	.A2(\soc_top_intr_controller_reg2hw[prio20][q] [0]),
+	.A3(n_3345),
+	.B1(n_3353),
+	.B2(n_4098),
+	.Y(n_6188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g786445 (
+	.A1(n_3356),
+	.A2(\soc_top_intr_controller_reg2hw[prio20][q] [1]),
+	.A3(n_3345),
+	.B1(n_3353),
+	.B2(n_4084),
+	.Y(n_6187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786446 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[1]),
+	.B1(n_4978),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[1]),
+	.Y(n_6186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786447 (
+	.A1(n_4746),
+	.A2(soc_top_u_top_u_core_csr_mtvec[4]),
+	.B1(n_4978),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[4]),
+	.Y(n_6185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786448 (
+	.A1(n_4744),
+	.A2(soc_top_u_top_u_core_csr_mepc[5]),
+	.B1(n_2392),
+	.B2(n_29222),
+	.Y(n_6184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786449 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[6]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[6]),
+	.Y(n_6183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786450 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[6]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.Y(n_6182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786451 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[8]),
+	.B1(n_4482),
+	.B2(n_29257),
+	.Y(n_6181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786452 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B1(n_4740),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[9]),
+	.Y(n_6180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g786453 (
+	.A0(n_2438),
+	.A1(n_27098),
+	.S(n_4498),
+	.Y(n_6179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786454 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[15]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[11]),
+	.Y(n_6178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786455 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[11]),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[11]),
+	.Y(n_6177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786456 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.B1(n_30739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.C1(n_4261),
+	.X(n_6176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g786457 (
+	.A0(n_27098),
+	.A1(n_2438),
+	.S(n_4498),
+	.X(n_6175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786458 (
+	.A1(n_4482),
+	.A2(n_29261),
+	.B1(n_4746),
+	.B2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.X(n_6174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786459 (
+	.A1(n_4482),
+	.A2(n_29262),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[14]),
+	.Y(n_6173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786460 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[0]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[16]),
+	.X(n_6172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786461 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[16]),
+	.Y(n_6171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786462 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[2]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[18]),
+	.X(n_6170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786463 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[18]),
+	.Y(n_6169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786464 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[3]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[19]),
+	.X(n_6168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786465 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[19]),
+	.Y(n_6167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786466 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[4]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[20]),
+	.Y(n_6166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786467 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[5]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[21]),
+	.Y(n_6165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786468 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[6]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[22]),
+	.X(n_6164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786469 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[22]),
+	.Y(n_6163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786470 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[7]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[23]),
+	.X(n_6162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786471 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[23]),
+	.Y(n_6161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786472 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [21]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [22]),
+	.Y(n_6160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786473 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[8]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[24]),
+	.X(n_6159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786474 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[24]),
+	.Y(n_6158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786475 (
+	.A1(n_4485),
+	.A2(\soc_top_lsu_to_xbar[a_address] [22]),
+	.B1(n_3924),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [23]),
+	.Y(n_6157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786476 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[9]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[25]),
+	.X(n_6156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786477 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[25]),
+	.Y(n_6155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786478 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[10]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[26]),
+	.X(n_6154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786479 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[26]),
+	.Y(n_6153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786480 (
+	.A1(n_4746),
+	.A2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[27]),
+	.Y(n_6152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786481 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[12]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[28]),
+	.X(n_6151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786482 (
+	.A1(n_3928),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.B1(n_4744),
+	.B2(soc_top_u_top_u_core_csr_mepc[28]),
+	.Y(n_6150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786483 (
+	.A1(n_4746),
+	.A2(soc_top_u_top_u_core_csr_mtvec[29]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[29]),
+	.Y(n_6149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786484 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[30]),
+	.B1(n_4739),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[30]),
+	.Y(n_6148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786485 (
+	.A1(n_4740),
+	.A2(soc_top_u_top_u_core_cs_registers_i_mscratch_q[31]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.Y(n_6147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786486 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [13]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[13]),
+	.X(n_6146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786487 (
+	.A1(n_4496),
+	.A2(soc_top_u_top_u_core_csr_mtvec[2]),
+	.B1(n_4978),
+	.B2(soc_top_u_top_u_core_cs_registers_i_mcause_q[2]),
+	.X(n_6145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786488 (
+	.A1(n_4739),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch1_q[13]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.X(n_6144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786489 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786490 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_6142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786491 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786492 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(n_6140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786493 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(n_6139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786494 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(n_6138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786495 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(n_6137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786496 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(n_6136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786497 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786498 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.X(n_6134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786499 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.X(n_6133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786500 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.X(n_6132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786501 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.X(n_6131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786502 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.X(n_6130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786503 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_6129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786504 (
+	.A1(n_4492),
+	.A2(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.B1(n_3958),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.X(n_6128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786505 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786506 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_6126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786507 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786508 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(n_6124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786509 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(n_6123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786510 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(n_6122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786511 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(n_6121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786512 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(n_6120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786513 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786514 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.X(n_6118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786515 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.X(n_6117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786516 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.X(n_6116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786517 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.X(n_6115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786518 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.X(n_6114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786519 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_6113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786520 (
+	.A1(n_4490),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.B1(n_3962),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.X(n_6112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g786521 (
+	.A1(n_787),
+	.A2(n_4509),
+	.B1(n_1412),
+	.B2(n_2008),
+	.Y(n_6111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786522 (
+	.A1(n_4508),
+	.A2(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.B1(n_4035),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786523 (
+	.A1_N(\soc_top_xbar_to_timer[a_data] [1]),
+	.A2_N(n_4035),
+	.B1(n_676),
+	.B2(n_4507),
+	.Y(n_6109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786524 (
+	.A1(n_4508),
+	.A2(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.B1(n_4035),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786525 (
+	.A1(n_4504),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.B1(n_4034),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786526 (
+	.A1_N(\soc_top_xbar_to_timer[a_data] [1]),
+	.A2_N(n_4034),
+	.B1(n_674),
+	.B2(n_4503),
+	.Y(n_6106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786527 (
+	.A1(n_4504),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.B1(n_4034),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786528 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786529 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_6103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786530 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786531 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(n_6101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786532 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(n_6100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786533 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(n_6099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786534 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(n_6098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786535 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(n_6097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786536 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786537 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.X(n_6095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786538 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.X(n_6094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786539 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.X(n_6093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786540 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.X(n_6092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786541 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.X(n_6091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786542 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_6090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786543 (
+	.A1(n_4487),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.B1(n_3957),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.X(n_6089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786544 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786545 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_6087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786546 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g786547 (
+	.A1(n_4486),
+	.A2(soc_top_u_top_u_core_cs_registers_i_u_mie_csr_rdata_q[16]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.Y(n_6085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786548 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[3]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(n_6084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786549 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(n_6083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786550 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[5]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(n_6082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786551 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(n_6081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786552 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[7]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(n_6080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786553 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786554 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[9]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.X(n_6078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786555 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.X(n_6077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786556 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.X(n_6076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786557 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.X(n_6075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786558 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[13]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.X(n_6074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786559 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_6073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786560 (
+	.A1(n_4488),
+	.A2(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B1(n_3959),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.X(n_6072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786561 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[0]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [0]),
+	.X(n_6071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786562 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[1]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [1]),
+	.X(n_6070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786563 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[2]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [2]),
+	.X(n_6069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786564 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[3]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [3]),
+	.X(n_6068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786565 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[4]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [4]),
+	.X(n_6067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786566 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[5]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [5]),
+	.X(n_6066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786567 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[6]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [6]),
+	.X(n_6065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786568 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[7]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [7]),
+	.X(n_6064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786569 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[8]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786570 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[9]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [9]),
+	.X(n_6062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786571 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[10]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [10]),
+	.X(n_6061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786572 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[11]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [11]),
+	.X(n_6060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786573 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[12]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [12]),
+	.X(n_6059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786574 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[13]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [13]),
+	.X(n_6058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786575 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[14]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [14]),
+	.X(n_6057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786576 (
+	.A1(n_4489),
+	.A2(soc_top_u_pwm_pwm_core_period_2[15]),
+	.B1(n_3961),
+	.B2(\soc_top_xbar_to_timer[a_data] [15]),
+	.X(n_6056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786577 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[0]),
+	.A2_N(n_29999),
+	.B1(n_1),
+	.B2(n_3960),
+	.Y(n_6055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786578 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[1]),
+	.A2_N(n_29999),
+	.B1(n_0),
+	.B2(n_3960),
+	.Y(n_6054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786579 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[2]),
+	.A2_N(n_29999),
+	.B1(n_658),
+	.B2(n_3960),
+	.Y(n_6053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786580 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[3]),
+	.A2_N(n_29999),
+	.B1(n_2),
+	.B2(n_3960),
+	.Y(n_6052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786581 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[4]),
+	.A2_N(n_29999),
+	.B1(n_659),
+	.B2(n_3960),
+	.Y(n_6051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786582 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[5]),
+	.A2_N(n_29999),
+	.B1(n_4),
+	.B2(n_3960),
+	.Y(n_6050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786583 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[6]),
+	.A2_N(n_29999),
+	.B1(n_14),
+	.B2(n_3960),
+	.Y(n_6049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786584 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[7]),
+	.A2_N(n_29999),
+	.B1(n_9),
+	.B2(n_3960),
+	.Y(n_6048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786585 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[8]),
+	.A2_N(n_29999),
+	.B1(n_7),
+	.B2(n_3960),
+	.Y(n_6047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786586 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[9]),
+	.A2_N(n_29999),
+	.B1(n_5),
+	.B2(n_3960),
+	.Y(n_6046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786587 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[10]),
+	.A2_N(n_29999),
+	.B1(n_663),
+	.B2(n_3960),
+	.Y(n_6045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786588 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[11]),
+	.A2_N(n_29999),
+	.B1(n_6),
+	.B2(n_3960),
+	.Y(n_6044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786589 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[12]),
+	.A2_N(n_29999),
+	.B1(n_12),
+	.B2(n_3960),
+	.Y(n_6043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786590 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[13]),
+	.A2_N(n_29999),
+	.B1(n_8),
+	.B2(n_3960),
+	.Y(n_6042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786591 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[14]),
+	.A2_N(n_29999),
+	.B1(n_11),
+	.B2(n_3960),
+	.Y(n_6041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g786592 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[15]),
+	.A2_N(n_29999),
+	.B1(n_13),
+	.B2(n_3960),
+	.Y(n_6040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g786593 (
+	.A1(n_4975),
+	.A2(n_25197),
+	.A3(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.B1(n_4973),
+	.B2(\soc_top_xbar_to_timer[a_data] [8]),
+	.X(n_6039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786594 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [9]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[9]),
+	.X(n_6038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786595 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [10]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[10]),
+	.X(n_6037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786596 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [11]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[11]),
+	.X(n_6036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786597 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [12]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[12]),
+	.X(n_6035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786598 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [13]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[13]),
+	.X(n_6034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786599 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [14]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[14]),
+	.X(n_6033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786600 (
+	.A1(n_4973),
+	.A2(\soc_top_xbar_to_timer[a_data] [15]),
+	.B1(n_4975),
+	.B2(soc_top_u_spi_host_spi_host_ctrl[15]),
+	.X(n_6032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786601 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [8]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[8]),
+	.X(n_6031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g786602 (
+	.A1(n_4967),
+	.A2(\soc_top_xbar_to_timer[a_data] [9]),
+	.B1(n_4974),
+	.B2(soc_top_u_spi_host_spi_host_divider[9]),
+	.X(n_6030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786603 (
+	.A1(n_97),
+	.A2(n_4342),
+	.B1(n_545),
+	.Y(n_6029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786604 (
+	.A1(n_26383),
+	.A2(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.B1(n_5602),
+	.Y(n_6393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g786606 (
+	.A0(n_4499),
+	.A1(n_4045),
+	.S(n_1925),
+	.Y(n_6391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786607 (
+	.A1(n_1277),
+	.A2(n_4978),
+	.B1(n_2367),
+	.Y(n_6390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g786608 (
+	.A(n_66051),
+	.B(n_1334),
+	.C(n_4000),
+	.D(n_1278),
+	.X(n_6389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g786609 (
+	.A_N(n_25174),
+	.B_N(n_25179),
+	.C(n_3780),
+	.D(n_895),
+	.X(n_6388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g786610 (
+	.A1(n_4743),
+	.A2(n_1278),
+	.B1(n_2366),
+	.X(n_6386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g786611 (
+	.A1(n_4745),
+	.A2(n_1278),
+	.B1(n_2472),
+	.X(n_6385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g786612 (
+	.A(n_4299),
+	.B(n_25260),
+	.C_N(n_25213),
+	.X(n_6383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g786614 (
+	.A(n_4991),
+	.B(n_544),
+	.C(n_99),
+	.X(n_6380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786619 (
+	.A(n_6006),
+	.Y(n_6007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g786620 (
+	.A(n_30725),
+	.Y(n_6001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786622 (
+	.A(n_5994),
+	.Y(n_5993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786623 (
+	.A(n_5992),
+	.Y(n_5991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786624 (
+	.A(n_5980),
+	.Y(n_5981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786625 (
+	.A(n_5969),
+	.Y(n_5970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786626 (
+	.A(n_5967),
+	.Y(n_5968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786627 (
+	.A(n_5965),
+	.Y(n_5966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786628 (
+	.A(n_5946),
+	.Y(n_5947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786629 (
+	.A(n_5935),
+	.Y(n_5936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786630 (
+	.A(n_5927),
+	.Y(n_5928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786631 (
+	.A(n_5925),
+	.Y(n_5926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786632 (
+	.A(n_5919),
+	.Y(n_5920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786633 (
+	.A(n_5917),
+	.Y(n_5918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786634 (
+	.A(n_5915),
+	.Y(n_5916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786635 (
+	.A(n_5908),
+	.Y(n_5909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786636 (
+	.A(n_5906),
+	.Y(n_5907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786637 (
+	.A(n_5904),
+	.Y(n_5905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786638 (
+	.A(n_5902),
+	.Y(n_5903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786639 (
+	.A(n_5896),
+	.Y(n_5897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786640 (
+	.A(n_5894),
+	.Y(n_5895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g786641 (
+	.A(n_5890),
+	.Y(n_5889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g786643 (
+	.A(n_5885),
+	.Y(n_5884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g786644 (
+	.A(n_5883),
+	.Y(n_5882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g786645 (
+	.A(n_5881),
+	.Y(n_5880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786646 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.B(n_3085),
+	.COUT(n_5872),
+	.SUM(n_5873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786647 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.B(n_3144),
+	.COUT(n_5870),
+	.SUM(n_5871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786648 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.B(n_3073),
+	.COUT(n_5868),
+	.SUM(n_5869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786649 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.B(n_3126),
+	.COUT(n_5866),
+	.SUM(n_5867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786650 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.B(n_3150),
+	.COUT(n_5864),
+	.SUM(n_5865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786651 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.B(n_3122),
+	.COUT(n_5862),
+	.SUM(n_5863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786652 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.B(n_3081),
+	.COUT(n_5860),
+	.SUM(n_5861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786653 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.B(n_3190),
+	.COUT(n_5858),
+	.SUM(n_5859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786654 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_3360),
+	.COUT(n_5856),
+	.SUM(n_5857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786655 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.B(n_3164),
+	.COUT(n_5854),
+	.SUM(n_5855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786656 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_3360),
+	.COUT(n_5852),
+	.SUM(n_5853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786657 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.B(n_3066),
+	.COUT(n_5850),
+	.SUM(n_5851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786658 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.B(n_3108),
+	.COUT(n_5848),
+	.SUM(n_5849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786659 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
+	.B(n_3200),
+	.COUT(n_5846),
+	.SUM(n_5847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786660 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.B(n_3100),
+	.COUT(n_5844),
+	.SUM(n_5845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786661 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(n_3156),
+	.COUT(n_5842),
+	.SUM(n_5843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786662 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.B(n_3178),
+	.COUT(n_5840),
+	.SUM(n_5841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786663 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.B(n_3091),
+	.COUT(n_5838),
+	.SUM(n_5839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786664 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.B(n_3198),
+	.COUT(n_5836),
+	.SUM(n_5837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786665 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.B(n_3087),
+	.COUT(n_5834),
+	.SUM(n_5835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786666 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.B(n_3128),
+	.COUT(n_5832),
+	.SUM(n_5833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786667 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.B(n_3204),
+	.COUT(n_5830),
+	.SUM(n_5831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786668 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.B(n_3130),
+	.COUT(n_5828),
+	.SUM(n_5829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786669 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.B(n_3132),
+	.COUT(n_5826),
+	.SUM(n_5827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786670 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.B(n_3136),
+	.COUT(n_5824),
+	.SUM(n_5825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786671 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.B(n_3140),
+	.COUT(n_5822),
+	.SUM(n_5823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786672 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.B(n_2535),
+	.COUT(n_5820),
+	.SUM(n_5821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786673 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.B(n_3138),
+	.COUT(n_5818),
+	.SUM(n_5819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786674 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.B(n_3192),
+	.COUT(n_5816),
+	.SUM(n_5817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786675 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.B(n_3148),
+	.COUT(n_5814),
+	.SUM(n_5815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786676 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.B(n_3168),
+	.COUT(n_5812),
+	.SUM(n_5813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786677 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.B(n_3076),
+	.COUT(n_5810),
+	.SUM(n_5811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786678 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.B(n_3152),
+	.COUT(n_5808),
+	.SUM(n_5809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786679 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.B(n_3102),
+	.COUT(n_5806),
+	.SUM(n_5807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786680 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.B(n_3154),
+	.COUT(n_5804),
+	.SUM(n_5805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g786681 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.B(n_3142),
+	.COUT(n_5802),
+	.SUM(n_5803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g786682 (
+	.A1(n_3347),
+	.A2(n_3349),
+	.A3(n_4049),
+	.B1(\soc_top_xbar_to_timer[a_address] [3]),
+	.Y(n_5801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786683 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [3]),
+	.C1(n_4466),
+	.X(n_5800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786684 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [3]),
+	.C1(n_4467),
+	.X(n_5799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786685 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [3]),
+	.C1(n_4465),
+	.X(n_5798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786686 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [3]),
+	.C1(n_4468),
+	.X(n_5797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786687 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [3]),
+	.C1(n_4469),
+	.X(n_5796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786688 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [3]),
+	.C1(n_4470),
+	.X(n_5795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786689 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [3]),
+	.C1(n_4286),
+	.X(n_5794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786690 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [14]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.C1(n_4090),
+	.X(n_5793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786691 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [3]),
+	.C1(n_4471),
+	.X(n_5792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786692 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [3]),
+	.C1(n_4334),
+	.X(n_5791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786693 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [3]),
+	.C1(n_4472),
+	.X(n_5790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786694 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [3]),
+	.C1(n_4473),
+	.X(n_5789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786695 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [3]),
+	.C1(n_4474),
+	.X(n_5788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786696 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [3]),
+	.C1(n_4475),
+	.X(n_5787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786697 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [3]),
+	.C1(n_4476),
+	.X(n_5786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786698 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [3]),
+	.C1(n_4477),
+	.X(n_5785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786699 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [3]),
+	.C1(n_4479),
+	.X(n_5784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786700 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [3]),
+	.C1(n_4480),
+	.X(n_5783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786701 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [3]),
+	.C1(n_4706),
+	.X(n_5782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786702 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [3]),
+	.C1(n_4702),
+	.X(n_5781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786703 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [3]),
+	.C1(n_4701),
+	.X(n_5780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786704 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [2]),
+	.C1(n_4697),
+	.X(n_5779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786705 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [2]),
+	.C1(n_4687),
+	.X(n_5778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786706 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [2]),
+	.C1(n_4640),
+	.X(n_5777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786707 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [2]),
+	.C1(n_4604),
+	.X(n_5776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786708 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [2]),
+	.C1(n_4600),
+	.X(n_5775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786709 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [2]),
+	.C1(n_4595),
+	.X(n_5774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786710 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [2]),
+	.C1(n_4592),
+	.X(n_5773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786711 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [2]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [2]),
+	.C1(n_4591),
+	.X(n_5772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786712 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [2]),
+	.C1(n_4590),
+	.X(n_5771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786713 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [2]),
+	.C1(n_4589),
+	.X(n_5770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g786714 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_4009),
+	.B1_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[0] [1]),
+	.Y(n_5769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786715 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [2]),
+	.C1(n_4588),
+	.X(n_5768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786716 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [2]),
+	.C1(n_4587),
+	.X(n_5767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g786717 (
+	.A1(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.A2(n_4009),
+	.B1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_5766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g786718 (
+	.A(n_4698),
+	.B(n_1862),
+	.C(n_25446),
+	.D(n_25425),
+	.Y(n_5765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g786719 (
+	.A1(n_160),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.A3(n_1416),
+	.A4(n_1370),
+	.B1(n_4278),
+	.Y(n_5764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786720 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_7),
+	.A2(n_889),
+	.B1(n_4501),
+	.Y(n_5763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g786721 (
+	.A1(n_1924),
+	.A2(n_3823),
+	.B1(n_4017),
+	.X(n_5762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786722 (
+	.A1(n_4011),
+	.A2(n_4018),
+	.B1(n_1924),
+	.Y(n_5761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786723 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [5]),
+	.C1(n_4421),
+	.X(n_5760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786724 (
+	.A1(n_4052),
+	.A2(n_938),
+	.B1(n_1303),
+	.Y(n_5759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786725 (
+	.A(n_546),
+	.B(n_4211),
+	.Y(n_5758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786726 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [1]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.C1(n_4163),
+	.X(n_5757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786727 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [2]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.C1(n_4162),
+	.X(n_5756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786728 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [5]),
+	.C1(n_4418),
+	.X(n_5755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786729 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [2]),
+	.C1(n_4586),
+	.X(n_5754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786730 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [2]),
+	.C1(n_4585),
+	.X(n_5753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786731 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [2]),
+	.C1(n_4584),
+	.X(n_5752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786732 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [2]),
+	.C1(n_4583),
+	.X(n_5751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786733 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [6]),
+	.C1(n_4389),
+	.X(n_5750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786734 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [3]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.C1(n_4109),
+	.X(n_5749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786735 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [2]),
+	.C1(n_4582),
+	.X(n_5748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786736 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [4]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.C1(n_4144),
+	.X(n_5747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786737 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [5]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.C1(n_4160),
+	.X(n_5746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786738 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [6]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.C1(n_4159),
+	.X(n_5745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786739 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [2]),
+	.C1(n_4580),
+	.X(n_5744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786740 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [7]),
+	.C1(n_4367),
+	.X(n_5743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786741 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [7]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.C1(n_4158),
+	.X(n_5742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786742 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [8]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.C1(n_4157),
+	.X(n_5741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786743 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [9]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.C1(n_4085),
+	.X(n_5740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786744 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [10]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.C1(n_4086),
+	.X(n_5739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786745 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [11]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.C1(n_4155),
+	.X(n_5738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786746 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [12]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.C1(n_4153),
+	.X(n_5737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786747 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [13]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.C1(n_4151),
+	.X(n_5736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786748 (
+	.A1(n_2814),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [1]),
+	.B1(n_3376),
+	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [1]),
+	.C1(n_4150),
+	.X(n_5735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786749 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [15]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.C1(n_4149),
+	.X(n_5734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786750 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [2]),
+	.C1(n_4579),
+	.X(n_5733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786751 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.C1(n_4146),
+	.Y(n_5732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786752 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [17]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[17]),
+	.C1(n_4092),
+	.Y(n_5731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786753 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[17]),
+	.A2(n_3810),
+	.B1(n_30739),
+	.Y(n_5730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786754 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.C1(n_4143),
+	.Y(n_5729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786755 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [20]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[20]),
+	.C1(n_4140),
+	.Y(n_5728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786756 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [21]),
+	.B1(n_3315),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [21]),
+	.C1(n_4138),
+	.Y(n_5727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786757 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.C1(n_4101),
+	.Y(n_5726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786758 (
+	.A1(soc_top_u_top_u_core_csr_mstatus_tw),
+	.A2(n_3810),
+	.B1(n_30739),
+	.Y(n_5725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786759 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.C1(n_4132),
+	.Y(n_5724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786760 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [25]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[25]),
+	.C1(n_4128),
+	.Y(n_5723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786761 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [26]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[26]),
+	.C1(n_4124),
+	.Y(n_5722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786762 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [27]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[27]),
+	.C1(n_4123),
+	.Y(n_5721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786763 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [28]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[28]),
+	.C1(n_4121),
+	.Y(n_5720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786764 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.A2(n_3860),
+	.B1(n_4738),
+	.Y(n_5719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786765 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [29]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[29]),
+	.C1(n_4119),
+	.Y(n_5718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786766 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [30]),
+	.B1(n_3315),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [30]),
+	.C1(n_4116),
+	.Y(n_5717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786767 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [6]),
+	.C1(n_4381),
+	.X(n_5716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g786768 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [31]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[31]),
+	.C1(n_4112),
+	.Y(n_5715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786769 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [0]),
+	.C1(n_4638),
+	.X(n_5714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786770 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [0]),
+	.C1(n_4636),
+	.X(n_5713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786771 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [0]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [0]),
+	.C1(n_4635),
+	.X(n_5712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786772 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [0]),
+	.C1(n_4634),
+	.X(n_5711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786773 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [0]),
+	.C1(n_4632),
+	.X(n_5710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786774 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [0]),
+	.C1(n_4631),
+	.X(n_5709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786775 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [0]),
+	.C1(n_4629),
+	.X(n_5708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786776 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [0]),
+	.C1(n_4628),
+	.X(n_5707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786777 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [0]),
+	.C1(n_4602),
+	.X(n_5706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786778 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [0]),
+	.C1(n_4326),
+	.X(n_5705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786779 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [0]),
+	.C1(n_4593),
+	.X(n_5704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786780 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [0]),
+	.C1(n_4520),
+	.X(n_5703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786781 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [0]),
+	.C1(n_4341),
+	.X(n_5702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786782 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [0]),
+	.C1(n_4331),
+	.X(n_5701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786783 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [0]),
+	.C1(n_4522),
+	.X(n_5700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786784 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [0]),
+	.C1(n_4523),
+	.X(n_5699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786785 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [0]),
+	.C1(n_4337),
+	.X(n_5698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786786 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [0]),
+	.C1(n_4524),
+	.X(n_5697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786787 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [2]),
+	.C1(n_4578),
+	.X(n_5696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786788 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [0]),
+	.C1(n_4525),
+	.X(n_5695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786789 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [0]),
+	.C1(n_4526),
+	.X(n_5694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786790 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [0]),
+	.C1(n_4527),
+	.X(n_5693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786791 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [2]),
+	.C1(n_4577),
+	.X(n_5692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786792 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [0]),
+	.C1(n_4528),
+	.X(n_5691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786793 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [0]),
+	.C1(n_4338),
+	.X(n_5690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786794 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [2]),
+	.C1(n_4576),
+	.X(n_5689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786795 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [0]),
+	.C1(n_4529),
+	.X(n_5688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786796 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [0]),
+	.C1(n_4530),
+	.X(n_5687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786797 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [0]),
+	.C1(n_4531),
+	.X(n_5686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786798 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [0]),
+	.C1(n_4532),
+	.X(n_5685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786799 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [0]),
+	.C1(n_4534),
+	.X(n_5684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786800 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [0]),
+	.C1(n_4535),
+	.X(n_5683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786801 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [0]),
+	.C1(n_4536),
+	.X(n_5682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786802 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [1]),
+	.C1(n_4322),
+	.X(n_5681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786803 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [1]),
+	.C1(n_4327),
+	.X(n_5680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786804 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [1]),
+	.C1(n_4537),
+	.X(n_5679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786805 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [1]),
+	.C1(n_4538),
+	.X(n_5678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786806 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [1]),
+	.C1(n_4539),
+	.X(n_5677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786807 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [1]),
+	.C1(n_4540),
+	.X(n_5676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786808 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [1]),
+	.C1(n_4541),
+	.X(n_5675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786809 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [1]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [1]),
+	.C1(n_4320),
+	.X(n_5674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786810 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [1]),
+	.C1(n_4542),
+	.X(n_5673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786811 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [1]),
+	.C1(n_4544),
+	.X(n_5672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786812 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [1]),
+	.C1(n_4545),
+	.X(n_5671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786813 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [1]),
+	.C1(n_4546),
+	.X(n_5670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786814 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [1]),
+	.C1(n_4547),
+	.X(n_5669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786815 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [1]),
+	.C1(n_4324),
+	.X(n_5668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786816 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [1]),
+	.C1(n_4548),
+	.X(n_5667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786817 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [1]),
+	.C1(n_4549),
+	.X(n_5666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786818 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [1]),
+	.C1(n_4550),
+	.X(n_5665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786819 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [1]),
+	.C1(n_4551),
+	.X(n_5664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786820 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [1]),
+	.C1(n_4553),
+	.X(n_5663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786821 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [1]),
+	.C1(n_4555),
+	.X(n_5662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786822 (
+	.A(n_4505),
+	.B(n_2802),
+	.Y(n_5661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g786823 (
+	.A1(n_3837),
+	.A2(n_3471),
+	.B1(n_2363),
+	.Y(n_5660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786824 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [1]),
+	.C1(n_4558),
+	.X(n_5659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786825 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [1]),
+	.C1(n_4559),
+	.X(n_5658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786826 (
+	.A(n_4513),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_5657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786827 (
+	.A(n_4505),
+	.B(n_2803),
+	.Y(n_5656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786828 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [1]),
+	.C1(n_4560),
+	.X(n_5655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786829 (
+	.A(n_4741),
+	.B(\soc_top_intr_controller_reg2hw[ie0][3][q] ),
+	.Y(n_5654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786830 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [1]),
+	.C1(n_4561),
+	.X(n_5653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786831 (
+	.A(n_4028),
+	.B(n_4198),
+	.Y(n_5652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786832 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [1]),
+	.C1(n_4562),
+	.X(n_5651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786833 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [1]),
+	.C1(n_4564),
+	.X(n_5650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786834 (
+	.A(n_4506),
+	.B(n_1336),
+	.Y(n_5649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786835 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [1]),
+	.C1(n_4565),
+	.X(n_5648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786836 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [2]),
+	.C1(n_4566),
+	.X(n_5647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786838 (
+	.A(n_4175),
+	.B_N(n_1715),
+	.Y(n_5645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786839 (
+	.A(n_4741),
+	.B(\soc_top_intr_controller_reg2hw[ie0][4][q] ),
+	.Y(n_5644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786840 (
+	.A(n_4746),
+	.B(soc_top_u_top_u_core_csr_mtvec[7]),
+	.Y(n_5643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786841 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.B(n_4514),
+	.Y(n_5642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786842 (
+	.A(n_16120),
+	.B_N(n_4993),
+	.Y(n_5641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786843 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [2]),
+	.C1(n_4567),
+	.X(n_5640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786844 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [2]),
+	.C1(n_4568),
+	.X(n_5639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786845 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [2]),
+	.C1(n_4575),
+	.X(n_5638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786846 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [2]),
+	.C1(n_4574),
+	.X(n_5637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786847 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [2]),
+	.C1(n_4573),
+	.X(n_5636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786848 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [2]),
+	.C1(n_4572),
+	.X(n_5635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g786849 (
+	.A(n_4512),
+	.B_N(n_4055),
+	.Y(n_5634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786850 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [2]),
+	.C1(n_4571),
+	.X(n_5633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786851 (
+	.A_N(n_4987),
+	.B(n_2496),
+	.Y(n_5632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786852 (
+	.A(n_4482),
+	.B(n_29268),
+	.Y(n_5631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786853 (
+	.A(n_4746),
+	.B(soc_top_u_top_u_core_csr_mtvec[10]),
+	.Y(n_5630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786854 (
+	.A(n_4482),
+	.B(n_29256),
+	.Y(n_5629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786855 (
+	.A(n_4740),
+	.B(soc_top_u_top_u_core_cs_registers_i_mscratch_q[5]),
+	.Y(n_5628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786856 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [2]),
+	.C1(n_4569),
+	.X(n_5627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g786857 (
+	.A(n_4741),
+	.B(\soc_top_intr_controller_reg2hw[ie0][2][q] ),
+	.Y(n_5626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g786859 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [2]),
+	.C1(n_4570),
+	.X(n_5624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786890 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(n_4501),
+	.Y(n_6027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g786894 (
+	.A1(n_3861),
+	.A2(n_4048),
+	.B1(n_25221),
+	.Y(n_6026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786897 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B(n_4998),
+	.Y(n_6025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786898 (
+	.A(soc_top_u_top_data_we),
+	.B(n_5005),
+	.Y(n_6024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786900 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.B(n_5002),
+	.Y(n_6021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g786902 (
+	.A_N(n_5002),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.Y(n_6019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g786911 (
+	.A(n_25144),
+	.B(n_4986),
+	.Y(n_6018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787017 (
+	.A1(n_3003),
+	.A2(n_2854),
+	.B1(n_56708),
+	.B2(n_2879),
+	.C1(n_4007),
+	.X(n_6016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 g787018 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.A2(n_67953),
+	.A3(n_66534),
+	.A4(n_1914),
+	.B1(n_4997),
+	.Y(n_6015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787026 (
+	.A(n_4984),
+	.B(n_3810),
+	.Y(n_6014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787028 (
+	.A(soc_top_u_top_u_core_alu_operator_ex[4]),
+	.B(n_4501),
+	.Y(n_6013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787029 (
+	.A(n_26383),
+	.B(n_4986),
+	.Y(n_6012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g787032 (
+	.A_N(n_4995),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.Y(n_6010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g787033 (
+	.A_N(n_4996),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.Y(n_6009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g787035 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B_N(n_4989),
+	.Y(n_6008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g787036 (
+	.A(n_3370),
+	.B(n_2033),
+	.C(n_1996),
+	.Y(n_6006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787037 (
+	.A(n_1277),
+	.B(n_4502),
+	.Y(n_6005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787041 (
+	.A(n_25342),
+	.B(n_4884),
+	.Y(n_6004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787044 (
+	.A(n_4985),
+	.B(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.Y(n_6003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787080 (
+	.A(n_5004),
+	.B(n_544),
+	.Y(n_5998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787082 (
+	.A(n_12752),
+	.B(n_5004),
+	.Y(n_5997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787090 (
+	.A(n_4981),
+	.B(n_544),
+	.Y(n_5996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787091 (
+	.A(n_12752),
+	.B(n_4981),
+	.Y(n_5995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787093 (
+	.A(n_4502),
+	.B(n_25184),
+	.Y(n_5994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787095 (
+	.A(n_4502),
+	.B(n_61002),
+	.Y(n_5992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g787099 (
+	.A(n_3763),
+	.B(n_61002),
+	.C(n_25176),
+	.X(n_5990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787100 (
+	.A(n_12752),
+	.B(n_5006),
+	.Y(n_5989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787101 (
+	.A(n_5006),
+	.B(n_544),
+	.Y(n_5988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787102 (
+	.A(n_4500),
+	.B(n_1707),
+	.Y(n_5987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g787103 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_5012),
+	.C(n_544),
+	.Y(n_5986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787104 (
+	.A(n_546),
+	.B(n_4892),
+	.Y(n_5985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787105 (
+	.A(n_546),
+	.B(n_4936),
+	.Y(n_5984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787106 (
+	.A(n_546),
+	.B(n_4947),
+	.Y(n_5983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787107 (
+	.A(n_546),
+	.B(n_4933),
+	.Y(n_5982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787108 (
+	.A(n_4913),
+	.B(n_545),
+	.Y(n_5980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787109 (
+	.A(n_546),
+	.B(n_4928),
+	.Y(n_5979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787110 (
+	.A(n_546),
+	.B(n_4930),
+	.Y(n_5978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787111 (
+	.A(n_546),
+	.B(n_4934),
+	.Y(n_5977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787112 (
+	.A(n_546),
+	.B(n_4915),
+	.Y(n_5976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787113 (
+	.A(n_546),
+	.B(n_4918),
+	.Y(n_5975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787114 (
+	.A(n_546),
+	.B(n_4914),
+	.Y(n_5974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787115 (
+	.A(n_546),
+	.B(n_4919),
+	.Y(n_5973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787116 (
+	.A(n_546),
+	.B(n_4917),
+	.Y(n_5972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787117 (
+	.A(n_546),
+	.B(n_4916),
+	.Y(n_5971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787118 (
+	.A(n_4924),
+	.B(n_545),
+	.Y(n_5969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787119 (
+	.A(n_4925),
+	.B(n_545),
+	.Y(n_5967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787120 (
+	.A(n_4926),
+	.B(n_545),
+	.Y(n_5965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787121 (
+	.A(n_546),
+	.B(n_4921),
+	.Y(n_5964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787122 (
+	.A(n_546),
+	.B(n_4922),
+	.Y(n_5963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787123 (
+	.A(n_546),
+	.B(n_4923),
+	.Y(n_5962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787124 (
+	.A(n_546),
+	.B(n_4948),
+	.Y(n_5961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787125 (
+	.A(n_546),
+	.B(n_4949),
+	.Y(n_5960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787126 (
+	.A(n_546),
+	.B(n_4950),
+	.Y(n_5959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787127 (
+	.A(n_546),
+	.B(n_4962),
+	.Y(n_5958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787128 (
+	.A(n_546),
+	.B(n_4963),
+	.Y(n_5957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787129 (
+	.A(n_546),
+	.B(n_4961),
+	.Y(n_5956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787130 (
+	.A(n_546),
+	.B(n_4966),
+	.Y(n_5955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787131 (
+	.A(n_546),
+	.B(n_4965),
+	.Y(n_5954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787132 (
+	.A(n_546),
+	.B(n_4964),
+	.Y(n_5953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787133 (
+	.A(n_546),
+	.B(n_4944),
+	.Y(n_5952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787134 (
+	.A(n_546),
+	.B(n_4929),
+	.Y(n_5951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787135 (
+	.A(n_4911),
+	.B(n_545),
+	.X(n_5950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787136 (
+	.A(n_546),
+	.B(n_4945),
+	.Y(n_5949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787137 (
+	.A(n_546),
+	.B(n_4943),
+	.Y(n_5948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787138 (
+	.A(n_4912),
+	.B(n_545),
+	.Y(n_5946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787139 (
+	.A(n_546),
+	.B(n_4888),
+	.Y(n_5945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787140 (
+	.A(n_546),
+	.B(n_4931),
+	.Y(n_5944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787141 (
+	.A(n_546),
+	.B(n_4935),
+	.Y(n_5943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787142 (
+	.A(n_4920),
+	.B(n_545),
+	.X(n_5942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787143 (
+	.A(n_4927),
+	.B(n_545),
+	.X(n_5941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787144 (
+	.A(n_546),
+	.B(n_4932),
+	.Y(n_5940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787145 (
+	.A(n_546),
+	.B(n_4946),
+	.Y(n_5939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787146 (
+	.A(n_546),
+	.B(n_4896),
+	.Y(n_5938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787147 (
+	.A(n_546),
+	.B(n_4942),
+	.Y(n_5937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787148 (
+	.A(n_4976),
+	.B(n_545),
+	.Y(n_5935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787149 (
+	.A(n_546),
+	.B(n_4971),
+	.Y(n_5934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787150 (
+	.A(n_546),
+	.B(n_4906),
+	.Y(n_5933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787151 (
+	.A(n_546),
+	.B(n_4910),
+	.Y(n_5932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787152 (
+	.A(n_546),
+	.B(n_4902),
+	.Y(n_5931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787153 (
+	.A(n_546),
+	.B(n_4886),
+	.Y(n_5930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787154 (
+	.A(n_546),
+	.B(n_4904),
+	.Y(n_5929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787155 (
+	.A(n_4891),
+	.B(n_545),
+	.Y(n_5927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787156 (
+	.A(n_4889),
+	.B(n_545),
+	.Y(n_5925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787157 (
+	.A(n_546),
+	.B(n_4900),
+	.Y(n_5924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787158 (
+	.A(n_546),
+	.B(n_4887),
+	.Y(n_5923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787159 (
+	.A(n_4893),
+	.B(n_545),
+	.X(n_5922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787160 (
+	.A(n_546),
+	.B(n_4901),
+	.Y(n_5921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787161 (
+	.A(n_4938),
+	.B(n_545),
+	.Y(n_5919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787162 (
+	.A(n_4972),
+	.B(n_545),
+	.Y(n_5917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787163 (
+	.A(n_4977),
+	.B(n_545),
+	.Y(n_5915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787164 (
+	.A(n_4908),
+	.B(n_545),
+	.X(n_5914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787165 (
+	.A(n_4905),
+	.B(n_545),
+	.X(n_5913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787166 (
+	.A(n_546),
+	.B(n_4899),
+	.Y(n_5912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787167 (
+	.A(n_4940),
+	.B(n_545),
+	.X(n_5911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787168 (
+	.A(n_546),
+	.B(n_4937),
+	.Y(n_5910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787169 (
+	.A(n_4941),
+	.B(n_545),
+	.Y(n_5908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787170 (
+	.A(n_4890),
+	.B(n_545),
+	.Y(n_5906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787171 (
+	.A(n_4909),
+	.B(n_545),
+	.Y(n_5904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787172 (
+	.A(n_4939),
+	.B(n_545),
+	.Y(n_5902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787173 (
+	.A(n_546),
+	.B(n_4897),
+	.Y(n_5901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787174 (
+	.A(n_546),
+	.B(n_4895),
+	.Y(n_5900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787175 (
+	.A(n_4970),
+	.B(n_545),
+	.X(n_5899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787176 (
+	.A(n_4898),
+	.B(n_545),
+	.X(n_5898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787177 (
+	.A(n_4969),
+	.B(n_545),
+	.Y(n_5896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787178 (
+	.A(n_4968),
+	.B(n_545),
+	.Y(n_5894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787179 (
+	.A(n_4903),
+	.B(n_545),
+	.X(n_5893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787180 (
+	.A(n_4907),
+	.B(n_545),
+	.X(n_5892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787181 (
+	.A(n_546),
+	.B(n_4894),
+	.Y(n_5891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787183 (
+	.A(n_4056),
+	.B(n_4884),
+	.Y(n_5890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g787185 (
+	.A(n_30733),
+	.B(n_1924),
+	.Y(n_5888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g787186 (
+	.A(n_30733),
+	.B(n_1925),
+	.Y(n_5886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g787187 (
+	.A(n_4494),
+	.B(n_1924),
+	.Y(n_5885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g787188 (
+	.A(n_4494),
+	.B(n_1925),
+	.Y(n_5883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787189 (
+	.A(n_25344),
+	.B(n_4884),
+	.Y(n_5881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g787190 (
+	.A(n_1277),
+	.B(n_4486),
+	.Y(n_5879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g787191 (
+	.A(n_66584),
+	.B(n_4718),
+	.X(n_5878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g787192 (
+	.A(n_1278),
+	.B_N(n_4740),
+	.X(n_5877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g787193 (
+	.A(n_4717),
+	.B(n_29998),
+	.X(n_5876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g787194 (
+	.A(n_12752),
+	.B_N(n_5011),
+	.X(n_5875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g787195 (
+	.A(n_1278),
+	.B_N(n_4739),
+	.X(n_5874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787196 (
+	.A(n_5159),
+	.Y(n_5623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787197 (
+	.A(n_5612),
+	.Y(n_5613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787198 (
+	.A(n_5609),
+	.Y(n_5608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787199 (
+	.A(n_61519),
+	.Y(n_5606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787200 (
+	.A(n_5605),
+	.Y(n_5604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787201 (
+	.A(n_5603),
+	.Y(n_5602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787202 (
+	.A(n_5601),
+	.Y(n_5600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787203 (
+	.A(n_5597),
+	.Y(n_5596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787204 (
+	.A(n_5595),
+	.Y(n_5594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787205 (
+	.A(n_5592),
+	.Y(n_5593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787206 (
+	.A(n_5590),
+	.Y(n_5591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787207 (
+	.A(n_5588),
+	.Y(n_5589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787208 (
+	.A(n_5586),
+	.Y(n_5587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787209 (
+	.A(n_5584),
+	.Y(n_5585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787210 (
+	.A(n_5582),
+	.Y(n_5583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787211 (
+	.A(n_5580),
+	.Y(n_5581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787212 (
+	.A(n_5578),
+	.Y(n_5579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787213 (
+	.A(n_5576),
+	.Y(n_5577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787214 (
+	.A(n_5573),
+	.Y(n_5574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787215 (
+	.A(n_5571),
+	.Y(n_5572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787216 (
+	.A(n_5569),
+	.Y(n_5570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787217 (
+	.A(n_5561),
+	.Y(n_5562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787218 (
+	.A(n_5559),
+	.Y(n_5560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787219 (
+	.A(n_5557),
+	.Y(n_5558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787220 (
+	.A(n_5555),
+	.Y(n_5556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787221 (
+	.A(n_5552),
+	.Y(n_5553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787222 (
+	.A(n_5548),
+	.Y(n_5549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787223 (
+	.A(n_5546),
+	.Y(n_5547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787224 (
+	.A(n_5544),
+	.Y(n_5545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787225 (
+	.A(n_5542),
+	.Y(n_5543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787226 (
+	.A(n_5540),
+	.Y(n_5541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787227 (
+	.A(n_5538),
+	.Y(n_5539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787228 (
+	.A(n_5536),
+	.Y(n_5537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787229 (
+	.A(n_5532),
+	.Y(n_5533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787230 (
+	.A(n_5529),
+	.Y(n_5530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787231 (
+	.A(n_5526),
+	.Y(n_5525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787232 (
+	.A(n_5523),
+	.Y(n_5524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787233 (
+	.A(n_5521),
+	.Y(n_5522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787234 (
+	.A(n_5519),
+	.Y(n_5520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787235 (
+	.A(n_5511),
+	.Y(n_5512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787236 (
+	.A(n_5509),
+	.Y(n_5510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787237 (
+	.A(n_5507),
+	.Y(n_5508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787238 (
+	.A(n_5505),
+	.Y(n_5506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787239 (
+	.A(n_5503),
+	.Y(n_5504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787240 (
+	.A(n_5501),
+	.Y(n_5502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787241 (
+	.A(n_5497),
+	.Y(n_5498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787242 (
+	.A(n_5495),
+	.Y(n_5496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787243 (
+	.A(n_5493),
+	.Y(n_5494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787244 (
+	.A(n_5491),
+	.Y(n_5492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787245 (
+	.A(n_5489),
+	.Y(n_5490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787246 (
+	.A(n_5487),
+	.Y(n_5488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787247 (
+	.A(n_5480),
+	.Y(n_5481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787248 (
+	.A(n_5478),
+	.Y(n_5479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787249 (
+	.A(n_5473),
+	.Y(n_5474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787250 (
+	.A(n_5471),
+	.Y(n_5472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787251 (
+	.A(n_5465),
+	.Y(n_5466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787252 (
+	.A(n_5463),
+	.Y(n_5464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787253 (
+	.A(n_5461),
+	.Y(n_5462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787254 (
+	.A(n_5456),
+	.Y(n_5457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787255 (
+	.A(n_5452),
+	.Y(n_5453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787256 (
+	.A(n_5450),
+	.Y(n_5451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787257 (
+	.A(n_5448),
+	.Y(n_5449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787258 (
+	.A(n_5446),
+	.Y(n_5447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787259 (
+	.A(n_5444),
+	.Y(n_5445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787260 (
+	.A(n_5440),
+	.Y(n_5441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787261 (
+	.A(n_5431),
+	.Y(n_5432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787262 (
+	.A(n_5429),
+	.Y(n_5430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787263 (
+	.A(n_5426),
+	.Y(n_5427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787264 (
+	.A(n_5424),
+	.Y(n_5425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787265 (
+	.A(n_5421),
+	.Y(n_5422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787266 (
+	.A(n_5415),
+	.Y(n_5416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787267 (
+	.A(n_5413),
+	.Y(n_5414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787268 (
+	.A(n_5406),
+	.Y(n_5407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787269 (
+	.A(n_5404),
+	.Y(n_5405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787270 (
+	.A(n_5401),
+	.Y(n_5402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787271 (
+	.A(n_5399),
+	.Y(n_5400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787272 (
+	.A(n_5396),
+	.Y(n_5397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787273 (
+	.A(n_5394),
+	.Y(n_5395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787274 (
+	.A(n_5383),
+	.Y(n_5384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787275 (
+	.A(n_5381),
+	.Y(n_5382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787276 (
+	.A(n_5379),
+	.Y(n_5380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787277 (
+	.A(n_5377),
+	.Y(n_5378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787278 (
+	.A(n_5375),
+	.Y(n_5376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787279 (
+	.A(n_5367),
+	.Y(n_5366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787280 (
+	.A(n_5364),
+	.Y(n_5365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787281 (
+	.A(n_5357),
+	.Y(n_5358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787282 (
+	.A(n_5355),
+	.Y(n_5356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787283 (
+	.A(n_5353),
+	.Y(n_5354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787284 (
+	.A(n_5335),
+	.Y(n_5336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787285 (
+	.A(n_5333),
+	.Y(n_5334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787286 (
+	.A(n_5332),
+	.Y(n_5331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787287 (
+	.A(n_61513),
+	.Y(n_5329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787288 (
+	.A(n_62693),
+	.Y(n_5327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787289 (
+	.A(n_5326),
+	.Y(n_5325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787290 (
+	.A(n_5324),
+	.Y(n_5323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787291 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [3]),
+	.C1(n_4325),
+	.X(n_5320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787292 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [3]),
+	.C1(n_4464),
+	.X(n_5319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787293 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [3]),
+	.C1(n_4463),
+	.X(n_5318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g787294 (
+	.A1(n_159),
+	.A2(n_4009),
+	.B1_N(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.storage[1] [9]),
+	.Y(n_5317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787295 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [3]),
+	.C1(n_4336),
+	.X(n_5316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787296 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [3]),
+	.C1(n_4462),
+	.X(n_5315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787297 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [3]),
+	.C1(n_4461),
+	.X(n_5314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787298 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [3]),
+	.C1(n_4460),
+	.X(n_5313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787299 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [3]),
+	.C1(n_4329),
+	.X(n_5312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787300 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [3]),
+	.C1(n_4330),
+	.X(n_5311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787301 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [3]),
+	.C1(n_4459),
+	.X(n_5310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787302 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [3]),
+	.C1(n_4458),
+	.X(n_5309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787303 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [4]),
+	.C1(n_4457),
+	.X(n_5308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787304 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [4]),
+	.C1(n_4456),
+	.X(n_5307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787305 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [4]),
+	.C1(n_4455),
+	.X(n_5306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787306 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [4]),
+	.C1(n_4454),
+	.X(n_5305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787307 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [4]),
+	.C1(n_4453),
+	.X(n_5304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787308 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [4]),
+	.C1(n_4452),
+	.X(n_5303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787309 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [4]),
+	.C1(n_4451),
+	.X(n_5302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787310 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [4]),
+	.C1(n_4450),
+	.X(n_5301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787311 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [4]),
+	.C1(n_4449),
+	.X(n_5300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787312 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [4]),
+	.C1(n_4448),
+	.X(n_5299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787313 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [4]),
+	.C1(n_4447),
+	.X(n_5298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787314 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [4]),
+	.C1(n_4446),
+	.X(n_5297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787315 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [4]),
+	.C1(n_4445),
+	.X(n_5296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787316 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [4]),
+	.C1(n_4313),
+	.X(n_5295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787317 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [4]),
+	.C1(n_4444),
+	.X(n_5294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787318 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [4]),
+	.C1(n_4443),
+	.X(n_5293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787319 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [4]),
+	.C1(n_4442),
+	.X(n_5292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787320 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [4]),
+	.C1(n_4441),
+	.X(n_5291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787321 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [4]),
+	.C1(n_4290),
+	.X(n_5290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787322 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [4]),
+	.C1(n_4294),
+	.X(n_5289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787323 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [4]),
+	.C1(n_4295),
+	.X(n_5288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787324 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [4]),
+	.C1(n_4439),
+	.X(n_5287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787325 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [4]),
+	.C1(n_4438),
+	.X(n_5286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787326 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [4]),
+	.C1(n_4437),
+	.X(n_5285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787327 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [4]),
+	.C1(n_4298),
+	.X(n_5284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787328 (
+	.A(n_37531),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [20]),
+	.C(n_3788),
+	.D(n_66880),
+	.X(n_5283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787329 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [4]),
+	.C1(n_4436),
+	.X(n_5282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787330 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [4]),
+	.C1(n_4305),
+	.X(n_5281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787331 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [4]),
+	.C1(n_4435),
+	.X(n_5280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787332 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [4]),
+	.C1(n_4310),
+	.X(n_5279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787333 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [4]),
+	.C1(n_4434),
+	.X(n_5278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787334 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [4]),
+	.C1(n_4433),
+	.X(n_5277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787335 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [5]),
+	.C1(n_4431),
+	.X(n_5276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787336 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [5]),
+	.C1(n_4430),
+	.X(n_5275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787337 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [5]),
+	.C1(n_4283),
+	.X(n_5274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787338 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [5]),
+	.C1(n_4284),
+	.X(n_5273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787339 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [5]),
+	.C1(n_4429),
+	.X(n_5272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787340 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [5]),
+	.C1(n_4355),
+	.X(n_5271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787341 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [5]),
+	.C1(n_4427),
+	.X(n_5270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787342 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [5]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [5]),
+	.C1(n_4332),
+	.X(n_5269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787343 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [5]),
+	.C1(n_4424),
+	.X(n_5268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787344 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [5]),
+	.C1(n_4423),
+	.X(n_5267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787345 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [5]),
+	.C1(n_4422),
+	.X(n_5266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787346 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [5]),
+	.C1(n_4420),
+	.X(n_5265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787347 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [5]),
+	.C1(n_4645),
+	.X(n_5264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787348 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [5]),
+	.C1(n_4382),
+	.X(n_5263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787349 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [5]),
+	.C1(n_4417),
+	.X(n_5262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787350 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [5]),
+	.C1(n_4416),
+	.X(n_5261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g787351 (
+	.A1(n_795),
+	.A2(n_4009),
+	.B1_N(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.storage[1] [1]),
+	.Y(n_5260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787352 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [5]),
+	.C1(n_4415),
+	.X(n_5259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787353 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [5]),
+	.C1(n_4414),
+	.X(n_5258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787354 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [5]),
+	.C1(n_4413),
+	.X(n_5257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787355 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [5]),
+	.C1(n_4412),
+	.X(n_5256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787356 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [5]),
+	.C1(n_4411),
+	.X(n_5255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787357 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [5]),
+	.C1(n_4410),
+	.X(n_5254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787358 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [5]),
+	.C1(n_4409),
+	.X(n_5253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787359 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [5]),
+	.C1(n_4408),
+	.X(n_5252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787360 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [5]),
+	.C1(n_4407),
+	.X(n_5251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787361 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [5]),
+	.C1(n_4406),
+	.X(n_5250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787362 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [6]),
+	.C1(n_4405),
+	.X(n_5249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787363 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [6]),
+	.C1(n_4404),
+	.X(n_5248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787364 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [6]),
+	.C1(n_4403),
+	.X(n_5247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787365 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [6]),
+	.C1(n_4402),
+	.X(n_5246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g787366 (
+	.A1(n_3345),
+	.A2(n_1337),
+	.B1(n_3995),
+	.C1(n_3416),
+	.Y(n_5245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787367 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [6]),
+	.C1(n_4401),
+	.X(n_5244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787368 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [6]),
+	.C1(n_4400),
+	.X(n_5243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787369 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [6]),
+	.C1(n_4399),
+	.X(n_5242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787370 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [6]),
+	.C1(n_4398),
+	.X(n_5241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787371 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [6]),
+	.C1(n_4397),
+	.X(n_5240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787372 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [6]),
+	.C1(n_4396),
+	.X(n_5239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787373 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [6]),
+	.C1(n_4282),
+	.X(n_5238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787374 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [6]),
+	.C1(n_4395),
+	.X(n_5237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787375 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [6]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [6]),
+	.C1(n_4394),
+	.X(n_5236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787376 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [6]),
+	.C1(n_4393),
+	.X(n_5235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787377 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [6]),
+	.C1(n_4392),
+	.X(n_5234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787378 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [6]),
+	.C1(n_4391),
+	.X(n_5233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787379 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [6]),
+	.C1(n_4390),
+	.X(n_5232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787380 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [6]),
+	.C1(n_4339),
+	.X(n_5231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787381 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [6]),
+	.C1(n_4388),
+	.X(n_5230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787382 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [6]),
+	.C1(n_4387),
+	.X(n_5229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787383 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [6]),
+	.C1(n_4386),
+	.X(n_5228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787384 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [6]),
+	.C1(n_4385),
+	.X(n_5227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787385 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [6]),
+	.C1(n_4384),
+	.X(n_5226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787386 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [6]),
+	.C1(n_4380),
+	.X(n_5225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787387 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [6]),
+	.C1(n_4360),
+	.X(n_5224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787388 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [6]),
+	.C1(n_4379),
+	.X(n_5223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787389 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [6]),
+	.C1(n_4378),
+	.X(n_5222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787390 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [6]),
+	.C1(n_4377),
+	.X(n_5221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787391 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [6]),
+	.C1(n_4376),
+	.X(n_5220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787392 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [6]),
+	.C1(n_4375),
+	.X(n_5219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787393 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[217] [7]),
+	.C1(n_4374),
+	.X(n_5218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787394 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [7]),
+	.C1(n_4361),
+	.X(n_5217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787395 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [7]),
+	.C1(n_4373),
+	.X(n_5216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787396 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [7]),
+	.C1(n_4372),
+	.X(n_5215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787397 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[241] [7]),
+	.C1(n_4686),
+	.X(n_5214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787398 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [7]),
+	.C1(n_4370),
+	.X(n_5213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787399 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [7]),
+	.C1(n_4368),
+	.X(n_5212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787400 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [7]),
+	.C1(n_4366),
+	.X(n_5211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787401 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [7]),
+	.C1(n_4365),
+	.X(n_5210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787402 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [7]),
+	.C1(n_4364),
+	.X(n_5209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787403 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [7]),
+	.C1(n_4363),
+	.X(n_5208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787404 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [7]),
+	.C1(n_4359),
+	.X(n_5207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787405 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [7]),
+	.C1(n_4358),
+	.X(n_5206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787406 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [7]),
+	.C1(n_4357),
+	.X(n_5205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787407 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [7]),
+	.C1(n_4356),
+	.X(n_5204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787408 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [7]),
+	.C1(n_4354),
+	.X(n_5203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787409 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [7]),
+	.C1(n_4353),
+	.X(n_5202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787410 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [7]),
+	.C1(n_4352),
+	.X(n_5201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787411 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [7]),
+	.C1(n_4351),
+	.X(n_5200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787412 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [7]),
+	.C1(n_4350),
+	.X(n_5199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787413 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [7]),
+	.C1(n_4349),
+	.X(n_5198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787414 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [7]),
+	.C1(n_4288),
+	.X(n_5197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787415 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[9] [7]),
+	.C1(n_4348),
+	.X(n_5196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787416 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[41] [7]),
+	.C1(n_4347),
+	.X(n_5195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787417 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [7]),
+	.C1(n_4346),
+	.X(n_5194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787418 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [7]),
+	.C1(n_4345),
+	.X(n_5193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787419 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [7]),
+	.C1(n_4344),
+	.X(n_5192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787420 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [7]),
+	.C1(n_4343),
+	.X(n_5191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787421 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [7]),
+	.C1(n_4296),
+	.X(n_5190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g787422 (
+	.A(n_3363),
+	.B(n_3366),
+	.C(n_3984),
+	.D(n_3978),
+	.Y(n_5189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787423 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [0]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.C1(n_4187),
+	.X(n_5188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787424 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.C1(n_4102),
+	.Y(n_5187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787426 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [7]),
+	.C1(n_4371),
+	.X(n_5185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787427 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[96] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [1]),
+	.C1(n_4563),
+	.X(n_5184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787428 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [1]),
+	.C1(n_4557),
+	.X(n_5183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787429 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [1]),
+	.C1(n_4556),
+	.X(n_5182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787430 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [0]),
+	.C1(n_4601),
+	.X(n_5181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787431 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [0]),
+	.C1(n_4594),
+	.X(n_5180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787432 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [1]),
+	.C1(n_4554),
+	.X(n_5179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787433 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [1]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[49] [1]),
+	.C1(n_4543),
+	.X(n_5178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787434 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [5]),
+	.C1(n_4432),
+	.X(n_5177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787435 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [5]),
+	.C1(n_4419),
+	.X(n_5176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787436 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [3]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [3]),
+	.C1(n_4478),
+	.X(n_5175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787437 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [4]),
+	.C1(n_4440),
+	.X(n_5174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787438 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [7]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [7]),
+	.C1(n_4362),
+	.X(n_5173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g787439 (
+	.A0(n_4018),
+	.A1(n_3824),
+	.S(n_1925),
+	.Y(n_5172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787440 (
+	.A1(n_3985),
+	.A2(\soc_top_intr_controller_reg2hw[prio24][q] [0]),
+	.B1(n_3993),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [0]),
+	.X(n_5171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787442 (
+	.A1(n_2409),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [5]),
+	.B1(n_2407),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [6]),
+	.C1(n_4280),
+	.Y(n_5169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787443 (
+	.A1(n_2814),
+	.A2(\soc_top_intr_controller_reg2hw[prio32][q] [0]),
+	.B1(n_3374),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [0]),
+	.C1(n_4100),
+	.X(n_5168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787444 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [5]),
+	.C1(n_4425),
+	.X(n_5167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g787445 (
+	.A1(n_3362),
+	.A2(\soc_top_intr_controller_reg2hw[prio27][q] [0]),
+	.A3(n_3349),
+	.B1(n_3977),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.Y(n_5166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787446 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [5]),
+	.B1(n_2212),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [5]),
+	.C1(n_4426),
+	.X(n_5165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g787447 (
+	.A1(n_3443),
+	.A2(n_1296),
+	.A3(n_544),
+	.B1(n_3850),
+	.B2(n_546),
+	.X(n_5164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787448 (
+	.A1(n_1924),
+	.A2(n_30737),
+	.B1(n_4015),
+	.X(n_5163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787449 (
+	.A1(n_3985),
+	.A2(\soc_top_intr_controller_reg2hw[prio24][q] [1]),
+	.B1(n_3993),
+	.B2(\soc_top_intr_controller_reg2hw[prio23][q] [1]),
+	.X(n_5162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787450 (
+	.A1(n_2812),
+	.A2(n_3428),
+	.B1(n_2811),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[DZ] ),
+	.C1(n_5008),
+	.X(n_5161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g787451 (
+	.A1_N(soc_top_u_pwm_pwm_core_period[7]),
+	.A2_N(n_2131),
+	.B1(n_2016),
+	.B2(n_3746),
+	.Y(n_5160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787452 (
+	.A1(n_3430),
+	.A2(n_1586),
+	.B1(n_27513),
+	.B2(n_1285),
+	.C1(n_3757),
+	.C2(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.Y(n_5159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787453 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [0]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[0]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.Y(n_5158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787454 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [1]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[1]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.Y(n_5157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787455 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[2]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.Y(n_5156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787456 (
+	.A(n_27426),
+	.B(n_27425),
+	.C(n_27427),
+	.D(n_2609),
+	.X(n_5155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787457 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [3]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[3]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.Y(n_5154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787458 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[4]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.Y(n_5153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787459 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[5]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.Y(n_5152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787460 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [6]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[6]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.Y(n_5151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787461 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [7]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[7]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.Y(n_5150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787462 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [8]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[8]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.Y(n_5149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787463 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[10]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.Y(n_5148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787464 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[11]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.Y(n_5147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787465 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [12]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[12]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.Y(n_5146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787466 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[13]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.Y(n_5145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787467 (
+	.A1(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.A2(n_30739),
+	.B1(n_29229),
+	.B2(n_30788),
+	.C1(n_1260),
+	.C2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [12]),
+	.Y(n_5144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787468 (
+	.A1(soc_top_GPIO_u_reg_data_in_qs[14]),
+	.A2(n_2767),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.B2(n_3316),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.Y(n_5143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787469 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [15]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[15]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.Y(n_5142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787470 (
+	.A(n_27417),
+	.B(n_27416),
+	.C(n_27415),
+	.D(n_2616),
+	.X(n_5141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787472 (
+	.A1(soc_top_u_top_u_core_pc_id[2]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[2]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[2]),
+	.Y(n_5139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787473 (
+	.A1(soc_top_u_top_u_core_pc_id[3]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[3]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[3]),
+	.Y(n_5138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787474 (
+	.A1(soc_top_u_top_u_core_pc_id[4]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[4]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[4]),
+	.Y(n_5137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787475 (
+	.A1(soc_top_u_top_u_core_pc_id[5]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[5]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[5]),
+	.Y(n_5136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787476 (
+	.A1(soc_top_u_top_u_core_pc_id[6]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[6]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[6]),
+	.Y(n_5135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787477 (
+	.A1(soc_top_u_top_u_core_pc_id[7]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[7]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[7]),
+	.Y(n_5134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787478 (
+	.A1(soc_top_u_top_u_core_pc_id[8]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[8]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[8]),
+	.Y(n_5133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787479 (
+	.A1(soc_top_u_top_u_core_pc_id[9]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[9]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[9]),
+	.Y(n_5132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787480 (
+	.A1(soc_top_u_top_u_core_pc_id[10]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[10]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[10]),
+	.Y(n_5131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787481 (
+	.A1(soc_top_u_top_u_core_pc_id[11]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[11]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[11]),
+	.Y(n_5130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787482 (
+	.A1(soc_top_u_top_u_core_pc_id[12]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[12]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[12]),
+	.Y(n_5129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787483 (
+	.A1(soc_top_u_top_u_core_pc_id[13]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[13]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[13]),
+	.Y(n_5128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787484 (
+	.A1(soc_top_u_top_u_core_pc_id[14]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[14]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[14]),
+	.Y(n_5127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787485 (
+	.A1(soc_top_u_top_u_core_pc_id[15]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[15]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[15]),
+	.Y(n_5126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787486 (
+	.A1(soc_top_u_top_u_core_pc_id[16]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[16]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[16]),
+	.Y(n_5125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787487 (
+	.A1(soc_top_u_top_u_core_pc_id[17]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[17]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[17]),
+	.Y(n_5124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787488 (
+	.A1(soc_top_u_top_u_core_pc_id[18]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[18]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[18]),
+	.Y(n_5123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787489 (
+	.A1(soc_top_u_top_u_core_pc_id[19]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[19]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[19]),
+	.Y(n_5122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787490 (
+	.A1(soc_top_u_top_u_core_pc_id[20]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[20]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[20]),
+	.Y(n_5121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787491 (
+	.A1(soc_top_u_top_u_core_pc_id[21]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[21]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[21]),
+	.Y(n_5120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787492 (
+	.A1(soc_top_u_top_u_core_pc_id[22]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[22]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[22]),
+	.Y(n_5119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787493 (
+	.A1(soc_top_u_top_u_core_pc_id[23]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[23]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[23]),
+	.Y(n_5118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787494 (
+	.A1(soc_top_u_top_u_core_pc_id[24]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[24]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[24]),
+	.Y(n_5117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787495 (
+	.A1(soc_top_u_top_u_core_pc_id[25]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[25]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[25]),
+	.Y(n_5116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787496 (
+	.A1(soc_top_u_top_u_core_pc_id[27]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[27]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[27]),
+	.Y(n_5115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787497 (
+	.A1(soc_top_u_top_u_core_pc_id[28]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[28]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[28]),
+	.Y(n_5114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787498 (
+	.A1(soc_top_u_top_u_core_pc_id[29]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[29]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[29]),
+	.Y(n_5113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787499 (
+	.A1(soc_top_u_top_u_core_pc_id[30]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[30]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[30]),
+	.Y(n_5112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787500 (
+	.A1(soc_top_u_top_u_core_pc_id[31]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[31]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[31]),
+	.Y(n_5111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g787501 (
+	.A1(n_4004),
+	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [0]),
+	.A3(n_31),
+	.B1(n_4003),
+	.B2(\soc_top_intr_controller_reg2hw[ie0][32][q] ),
+	.Y(n_5110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g787502 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [24]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [25]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [26]),
+	.D(n_2613),
+	.Y(n_5109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787503 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [1]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [3]),
+	.D(n_2610),
+	.X(n_5108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787504 (
+	.A1(n_3963),
+	.A2(n_36703),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[9]),
+	.X(n_5107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787505 (
+	.A1(\soc_top_intr_controller_reg2hw[prio5][q] [1]),
+	.A2(n_3372),
+	.B1(\soc_top_intr_controller_reg2hw[prio9][q] [1]),
+	.B2(n_3416),
+	.C1(n_3365),
+	.C2(\soc_top_intr_controller_reg2hw[prio10][q] [1]),
+	.Y(n_5106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787506 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[15]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [15]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[15]),
+	.Y(n_5105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787507 (
+	.A1(soc_top_u_top_u_core_pc_id[26]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_if[26]),
+	.B2(n_3320),
+	.C1(n_3319),
+	.C2(soc_top_u_top_u_core_pc_wb[26]),
+	.Y(n_5104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787508 (
+	.A1(n_4027),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [7]),
+	.B1(n_4058),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [23]),
+	.X(n_5103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787509 (
+	.A1(n_3989),
+	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [1]),
+	.B1(n_3979),
+	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [1]),
+	.Y(n_5102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g787510 (
+	.A1(n_1647),
+	.A2(n_3459),
+	.B1(n_3458),
+	.B2(n_2006),
+	.C1(n_3845),
+	.X(n_5101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g787511 (
+	.A1(n_3448),
+	.A2(n_2014),
+	.B1(n_1639),
+	.B2(n_3450),
+	.C1(n_3849),
+	.Y(n_5100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787512 (
+	.A1(\soc_top_GPIO_reg2hw[intr_state][q] [9]),
+	.A2(n_3315),
+	.B1(soc_top_GPIO_u_reg_data_in_qs[9]),
+	.B2(n_2767),
+	.C1(n_3956),
+	.C2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.Y(n_5099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787513 (
+	.A1(soc_top_u_pwm_pwm_core_period[0]),
+	.A2(n_2801),
+	.B1(soc_top_u_pwm_pwm_core_period_2[0]),
+	.B2(n_3325),
+	.C1(n_3328),
+	.C2(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.Y(n_5098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787514 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[13]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [13]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[13]),
+	.Y(n_5097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787515 (
+	.A1(soc_top_u_pwm_pwm_core_period[2]),
+	.A2(n_2801),
+	.B1(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B2(n_3322),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.Y(n_5096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787516 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[3]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [3]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[3]),
+	.Y(n_5095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787517 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[4]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [4]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.Y(n_5094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787518 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [5]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.Y(n_5093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787519 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[6]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [6]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.Y(n_5092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787520 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[7]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [7]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.Y(n_5091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787521 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[8]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [8]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.Y(n_5090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787522 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[9]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [9]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.Y(n_5089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787523 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[10]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [10]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.Y(n_5088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787524 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[11]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [11]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.Y(n_5087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787525 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[12]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [12]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[12]),
+	.Y(n_5086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787526 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[14]),
+	.A2(n_3325),
+	.B1(\soc_top_pwm_to_xbar[d_data] [14]),
+	.B2(n_1922),
+	.C1(n_3326),
+	.C2(soc_top_u_pwm_pwm_core_DC_2[14]),
+	.Y(n_5085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787527 (
+	.A(n_65554),
+	.B(n_4174),
+	.Y(n_5084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787528 (
+	.A1(n_3981),
+	.A2(\soc_top_intr_controller_reg2hw[prio14][q] [1]),
+	.B1(n_3995),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [1]),
+	.Y(n_5083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787529 (
+	.A1(soc_top_u_top_u_core_pc_id[1]),
+	.A2(n_3317),
+	.B1(soc_top_u_top_u_core_pc_wb[1]),
+	.B2(n_3319),
+	.C1(n_3320),
+	.C2(soc_top_u_top_u_core_pc_if[1]),
+	.Y(n_5082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787530 (
+	.A1(n_4033),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_5081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787531 (
+	.A1(n_3449),
+	.A2(n_1633),
+	.B1(n_2011),
+	.B2(n_1664),
+	.C1(n_3846),
+	.Y(n_5080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787532 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.Y(n_5079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787533 (
+	.A1(n_3987),
+	.A2(\soc_top_intr_controller_reg2hw[prio13][q] [1]),
+	.B1(n_3983),
+	.B2(\soc_top_intr_controller_reg2hw[prio15][q] [1]),
+	.Y(n_5078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787534 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[20]),
+	.Y(n_5077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787535 (
+	.A1(n_3812),
+	.A2(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.B1(n_25271),
+	.B2(\soc_top_uart_to_xbar[d_data] [8]),
+	.X(n_5076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787536 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.X(n_5075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787537 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.X(n_5074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787538 (
+	.A1(n_3918),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.B1(n_3915),
+	.B2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[30]),
+	.Y(n_5073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787539 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[29]),
+	.B1(n_30788),
+	.B2(n_29246),
+	.X(n_5072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787540 (
+	.A1(n_3924),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_result_q [31]),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.Y(n_5071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787541 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.X(n_5070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787542 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[21]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [21]),
+	.Y(n_5069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787543 (
+	.A1(n_3981),
+	.A2(\soc_top_intr_controller_reg2hw[prio14][q] [0]),
+	.B1(n_3995),
+	.B2(\soc_top_intr_controller_reg2hw[prio12][q] [0]),
+	.Y(n_5068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787544 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.Y(n_5067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787545 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[14]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [14]),
+	.Y(n_5066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787546 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B1(n_3918),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.Y(n_5065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787547 (
+	.A1(n_3921),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.Y(n_5064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787548 (
+	.A1(n_3963),
+	.A2(n_55083),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.X(n_5063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787549 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[9]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [9]),
+	.Y(n_5062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787550 (
+	.A1(n_1580),
+	.A2(n_2869),
+	.B1(n_1284),
+	.B2(n_2874),
+	.C1(n_2642),
+	.Y(n_5061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787551 (
+	.A1(n_1580),
+	.A2(n_2826),
+	.B1(n_1284),
+	.B2(n_2850),
+	.C1(n_2625),
+	.Y(n_5060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g787552 (
+	.A1(n_67227),
+	.A2(n_1283),
+	.B1(n_67243),
+	.B2(n_1579),
+	.C1(n_2623),
+	.Y(n_5059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g787553 (
+	.A1(n_67231),
+	.A2(n_1283),
+	.B1(n_67223),
+	.B2(n_1579),
+	.C1(n_2588),
+	.Y(n_5058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787554 (
+	.A1(n_3963),
+	.A2(n_51700),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.X(n_5057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787555 (
+	.A1(n_1580),
+	.A2(n_2846),
+	.B1(n_1284),
+	.B2(n_2859),
+	.C1(n_2720),
+	.Y(n_5056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787556 (
+	.A1(n_1284),
+	.A2(n_2818),
+	.B1(n_1580),
+	.B2(n_2838),
+	.C1(n_2669),
+	.Y(n_5055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g787557 (
+	.A1(n_67246),
+	.A2(n_1283),
+	.B1(n_67236),
+	.B2(n_1579),
+	.C1(n_2747),
+	.Y(n_5054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787558 (
+	.A1(n_1580),
+	.A2(n_2844),
+	.B1(n_1284),
+	.B2(n_2824),
+	.C1(n_2624),
+	.Y(n_5053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787560 (
+	.A1(n_3963),
+	.A2(n_48316),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[29]),
+	.X(n_5051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787561 (
+	.A1(n_3963),
+	.A2(n_48505),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.X(n_5050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787562 (
+	.A1(n_3963),
+	.A2(n_55049),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.X(n_5049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787563 (
+	.A1(n_3963),
+	.A2(n_25406),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[26]),
+	.X(n_5048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787564 (
+	.A1(n_3963),
+	.A2(n_25152),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[25]),
+	.X(n_5047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787565 (
+	.A1(n_3963),
+	.A2(n_39757),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.X(n_5046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787566 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.Y(n_5045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787568 (
+	.A1(n_3963),
+	.A2(n_25395),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.X(n_5043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787569 (
+	.A1(n_3963),
+	.A2(n_25398),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[13]),
+	.X(n_5042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787570 (
+	.A1(n_3963),
+	.A2(n_25401),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[12]),
+	.X(n_5041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787571 (
+	.A1(n_3963),
+	.A2(n_23849),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[11]),
+	.X(n_5040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787572 (
+	.A1(n_3963),
+	.A2(n_48277),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[10]),
+	.X(n_5039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787573 (
+	.A1(n_3766),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.B1(n_2514),
+	.B2(\soc_top_xbar_to_lsu[d_error] ),
+	.X(n_5038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787574 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[6]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [6]),
+	.Y(n_5037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787575 (
+	.A1(n_3915),
+	.A2(soc_top_u_top_u_core_cs_registers_i_dscratch0_q[4]),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [4]),
+	.Y(n_5036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787576 (
+	.A1(n_3989),
+	.A2(\soc_top_intr_controller_reg2hw[prio25][q] [0]),
+	.B1(n_3979),
+	.B2(\soc_top_intr_controller_reg2hw[prio16][q] [0]),
+	.Y(n_5035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787577 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.Y(n_5034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g787578 (
+	.A1(n_3930),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B1(n_3928),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.X(n_5033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g787579 (
+	.A1(n_3987),
+	.A2(\soc_top_intr_controller_reg2hw[prio13][q] [0]),
+	.B1(n_3983),
+	.B2(\soc_top_intr_controller_reg2hw[prio15][q] [0]),
+	.Y(n_5032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g787580 (
+	.A1(n_4004),
+	.A2(\soc_top_intr_controller_reg2hw[prio33][q] [1]),
+	.A3(n_31),
+	.B1(n_3977),
+	.B2(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.Y(n_5031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g787581 (
+	.A1(n_1602),
+	.A2(n_1596),
+	.B1(n_814),
+	.B2(n_3913),
+	.Y(n_5030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g787582 (
+	.A1(n_2362),
+	.A2(n_3365),
+	.A3(\soc_top_intr_controller_reg2hw[prio10][q] [0]),
+	.B1(n_3353),
+	.B2(n_3745),
+	.X(n_5029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787583 (
+	.A(n_1430),
+	.B(n_1677),
+	.C(n_1428),
+	.D(n_2580),
+	.X(n_5028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g787584 (
+	.A1_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.A2_N(n_1255),
+	.B1(n_67810),
+	.B2(n_3750),
+	.Y(n_5027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g787585 (
+	.A1(soc_top_u_top_u_core_pc_set),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.C1(n_4603),
+	.Y(n_5026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787586 (
+	.A1(n_786),
+	.A2(n_33046),
+	.B1(n_4990),
+	.Y(n_5025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787588 (
+	.A1(n_1284),
+	.A2(n_2848),
+	.B1(n_1580),
+	.B2(n_2834),
+	.C1(n_2665),
+	.Y(n_5023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787589 (
+	.A1(n_1580),
+	.A2(n_2832),
+	.B1(n_1284),
+	.B2(n_2857),
+	.C1(n_2751),
+	.Y(n_5022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g787590 (
+	.A1(n_1580),
+	.A2(n_2867),
+	.B1(n_1284),
+	.B2(n_2863),
+	.C1(n_2745),
+	.Y(n_5021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g787591 (
+	.A1(n_67230),
+	.A2(n_1283),
+	.B1(n_67242),
+	.B2(n_1579),
+	.C1(n_2657),
+	.Y(n_5622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g787592 (
+	.A(n_148),
+	.B(n_3762),
+	.C(soc_top_u_pwm_pwm_core_period[2]),
+	.X(n_5020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g787593 (
+	.A(n_5010),
+	.B(n_2503),
+	.C(n_2477),
+	.X(n_5019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g787594 (
+	.A(n_148),
+	.B(n_3771),
+	.C(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.X(n_5018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g787595 (
+	.A(n_3383),
+	.B(n_4884),
+	.C_N(n_66044),
+	.Y(n_5621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g787596 (
+	.A(n_1294),
+	.B(n_2446),
+	.C(n_1323),
+	.D(n_33047),
+	.X(n_5620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g787597 (
+	.A(n_144),
+	.B(n_3767),
+	.C(soc_top_u_pwm_pwm_core_DC_2[2]),
+	.X(n_5017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g787598 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(n_5000),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.X(n_5619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g787599 (
+	.A(n_144),
+	.B(n_3765),
+	.C(soc_top_u_pwm_pwm_core_period_2[2]),
+	.X(n_5016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g787601 (
+	.A1(n_1970),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.B1_N(n_3097),
+	.Y(n_5015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g787602 (
+	.A_N(n_66044),
+	.B(n_4885),
+	.C(n_3383),
+	.Y(n_5617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g787603 (
+	.A0(n_2463),
+	.A1(n_4040),
+	.S(n_1925),
+	.Y(n_5616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g787604 (
+	.A0(n_3822),
+	.A1(n_4043),
+	.S(n_1925),
+	.Y(n_5615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g787605 (
+	.A1_N(n_1299),
+	.A2_N(n_3758),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.B2(n_3428),
+	.Y(n_5614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g787606 (
+	.A0(n_4042),
+	.A1(n_3446),
+	.S(n_1924),
+	.X(n_5612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787609 (
+	.A1(n_1580),
+	.A2(n_2850),
+	.B1(n_1288),
+	.B2(n_2826),
+	.C1(n_2628),
+	.X(n_5609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g787611 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B(n_4500),
+	.C(n_149),
+	.X(n_5605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g787612 (
+	.A(n_66044),
+	.B(n_3383),
+	.C(n_4884),
+	.Y(n_5603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787613 (
+	.A1(n_1580),
+	.A2(n_2874),
+	.B1(n_1288),
+	.B2(n_2869),
+	.C1(n_2627),
+	.X(n_5601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g787614 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.B(n_4500),
+	.C(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.Y(n_5599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g787615 (
+	.A(n_4500),
+	.B(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.C(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.Y(n_5598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787616 (
+	.A1(n_1580),
+	.A2(n_2857),
+	.B1(n_1288),
+	.B2(n_2832),
+	.C1(n_2748),
+	.X(n_5597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787617 (
+	.A1(n_1580),
+	.A2(n_2822),
+	.B1(n_1288),
+	.B2(n_2842),
+	.C1(n_2749),
+	.X(n_5595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787618 (
+	.A1(n_3211),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787619 (
+	.A1(n_30022),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787620 (
+	.A1(n_3219),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787621 (
+	.A1(n_30001),
+	.A2(n_3255),
+	.B1(n_545),
+	.Y(n_5586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787622 (
+	.A1(n_30022),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787623 (
+	.A1(n_30022),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787624 (
+	.A1(n_2762),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787625 (
+	.A1(n_2762),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787626 (
+	.A1(n_2762),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787627 (
+	.A1(n_2761),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787628 (
+	.A1(n_3212),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787629 (
+	.A1(n_3212),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787630 (
+	.A1(n_3212),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787631 (
+	.A1(n_3219),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787632 (
+	.A1(n_3935),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787633 (
+	.A1(n_3219),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787634 (
+	.A1(n_3954),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787635 (
+	.A1(n_3219),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787636 (
+	.A1(n_3937),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787637 (
+	.A1(n_3932),
+	.A2(n_3281),
+	.B1(n_545),
+	.Y(n_5561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787638 (
+	.A1(n_3934),
+	.A2(n_3281),
+	.B1(n_545),
+	.Y(n_5559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787639 (
+	.A1(n_3278),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787640 (
+	.A1(n_3278),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787641 (
+	.A1(n_3211),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787642 (
+	.A1(n_3217),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787643 (
+	.A1(n_30022),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787644 (
+	.A1(n_3945),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787645 (
+	.A1(n_3948),
+	.A2(n_3255),
+	.B1(n_545),
+	.Y(n_5548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787646 (
+	.A1(n_3219),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787647 (
+	.A1(n_3233),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787648 (
+	.A1(n_3233),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787649 (
+	.A1(n_3233),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787650 (
+	.A1(n_30001),
+	.A2(n_3277),
+	.B1(n_545),
+	.Y(n_5538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787651 (
+	.A1(n_30022),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787652 (
+	.A1(n_2940),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787653 (
+	.A1(n_3217),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787654 (
+	.A1(n_3211),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787655 (
+	.A1(n_3309),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787656 (
+	.A1(n_3212),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787657 (
+	.A1(n_3945),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787658 (
+	.A1(n_3212),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787659 (
+	.A1(n_1580),
+	.A2(n_2863),
+	.B1(n_1288),
+	.B2(n_2867),
+	.C1(n_2581),
+	.X(n_5526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787660 (
+	.A1(n_2761),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787661 (
+	.A1(n_2761),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787662 (
+	.A1(n_2761),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787663 (
+	.A1(n_3935),
+	.A2(n_2761),
+	.B1(n_545),
+	.X(n_5518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787664 (
+	.A1(n_3954),
+	.A2(n_2761),
+	.B1(n_545),
+	.X(n_5517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787665 (
+	.A1(n_3952),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787666 (
+	.A1(n_3950),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787667 (
+	.A1(n_3258),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787668 (
+	.A1(n_3258),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787669 (
+	.A1(n_2761),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787670 (
+	.A1(n_3258),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787671 (
+	.A1(n_3932),
+	.A2(n_29635),
+	.B1(n_545),
+	.Y(n_5507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787672 (
+	.A1(n_3258),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787673 (
+	.A1(n_3934),
+	.A2(n_29635),
+	.B1(n_545),
+	.Y(n_5503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787674 (
+	.A1(n_3217),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787675 (
+	.A1(n_3219),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787676 (
+	.A1(n_3945),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787677 (
+	.A1(n_3948),
+	.A2(n_3277),
+	.B1(n_545),
+	.Y(n_5497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787678 (
+	.A1(n_30022),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787679 (
+	.A1(n_3211),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787680 (
+	.A1(n_2940),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787681 (
+	.A1(n_2940),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787682 (
+	.A1(n_2940),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787683 (
+	.A1(n_3233),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787684 (
+	.A1(n_3233),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787685 (
+	.A1(n_3278),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787686 (
+	.A1(n_3278),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787687 (
+	.A1(n_3952),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787688 (
+	.A1(n_3342),
+	.A2(n_3965),
+	.B1(n_545),
+	.Y(n_5480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787689 (
+	.A1(n_2761),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787690 (
+	.A1(n_3309),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787691 (
+	.A1(n_3212),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787692 (
+	.A1(n_3212),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787693 (
+	.A1(n_2762),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787694 (
+	.A1(n_3932),
+	.A2(n_3277),
+	.B1(n_545),
+	.Y(n_5471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787695 (
+	.A1(n_2762),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787696 (
+	.A1(n_2762),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787697 (
+	.A1(n_3337),
+	.A2(n_3965),
+	.B1(n_545),
+	.X(n_5468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787698 (
+	.A1(n_3278),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787699 (
+	.A1(n_30001),
+	.A2(n_3281),
+	.B1(n_545),
+	.Y(n_5465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787700 (
+	.A1(n_3278),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787701 (
+	.A1(n_3233),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787702 (
+	.A1(n_3944),
+	.A2(n_2940),
+	.B1(n_545),
+	.X(n_5460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787703 (
+	.A1(n_3944),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787704 (
+	.A1(n_3258),
+	.A2(n_3944),
+	.B1(n_545),
+	.X(n_5458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787705 (
+	.A1(n_3212),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787706 (
+	.A1(n_2761),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787707 (
+	.A1(n_2761),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787708 (
+	.A1(n_3948),
+	.A2(n_3281),
+	.B1(n_545),
+	.Y(n_5452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787709 (
+	.A1(n_3278),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787710 (
+	.A1(n_30001),
+	.A2(n_29635),
+	.B1(n_545),
+	.Y(n_5448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787711 (
+	.A1(n_3258),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787712 (
+	.A1(n_3233),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787713 (
+	.A1(n_3211),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787714 (
+	.A1(n_3211),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787715 (
+	.A1(n_2940),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787716 (
+	.A1(n_30022),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787717 (
+	.A1(n_3950),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787718 (
+	.A1(n_3952),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787719 (
+	.A1(n_3944),
+	.A2(n_3233),
+	.B1(n_545),
+	.X(n_5436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787720 (
+	.A1(n_3217),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787721 (
+	.A1(n_3217),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787722 (
+	.A1(n_3944),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787723 (
+	.A1(n_3219),
+	.A2(n_3944),
+	.B1(n_545),
+	.Y(n_5431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787724 (
+	.A1(n_3944),
+	.A2(n_3255),
+	.B1(n_545),
+	.Y(n_5429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787725 (
+	.A1(n_3212),
+	.A2(n_3944),
+	.B1(n_545),
+	.X(n_5428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787726 (
+	.A1(n_3258),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787727 (
+	.A1(n_3948),
+	.A2(n_29635),
+	.B1(n_545),
+	.Y(n_5424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787728 (
+	.A1(n_2762),
+	.A2(n_3944),
+	.B1(n_545),
+	.X(n_5423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787729 (
+	.A1(n_2940),
+	.A2(n_3948),
+	.B1(n_545),
+	.Y(n_5421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787730 (
+	.A1(n_3343),
+	.A2(n_3965),
+	.B1(n_545),
+	.X(n_5420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787731 (
+	.A1(n_3952),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787732 (
+	.A1(n_3219),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787733 (
+	.A1(n_3950),
+	.A2(n_3255),
+	.B1(n_545),
+	.X(n_5417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787734 (
+	.A1(n_3217),
+	.A2(n_3944),
+	.B1(n_545),
+	.Y(n_5415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787735 (
+	.A1(n_3944),
+	.A2(n_3277),
+	.B1(n_545),
+	.Y(n_5413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787736 (
+	.A1(n_30022),
+	.A2(n_3944),
+	.B1(n_545),
+	.X(n_5412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787737 (
+	.A1(n_3309),
+	.A2(n_3952),
+	.B1(n_545),
+	.X(n_5411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787738 (
+	.A1(n_3211),
+	.A2(n_3944),
+	.B1(n_545),
+	.X(n_5410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787739 (
+	.A1(n_3944),
+	.A2(n_2761),
+	.B1(n_545),
+	.X(n_5409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787740 (
+	.A1(n_3309),
+	.A2(n_3950),
+	.B1(n_545),
+	.X(n_5408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787741 (
+	.A1(n_3940),
+	.A2(n_3277),
+	.B1(n_545),
+	.Y(n_5406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787742 (
+	.A1(n_30020),
+	.A2(n_3965),
+	.B1(n_545),
+	.Y(n_5404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787743 (
+	.A1(n_3233),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787744 (
+	.A1(n_3217),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787745 (
+	.A1(n_3217),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787746 (
+	.A1(n_3329),
+	.A2(n_3965),
+	.B1(n_545),
+	.X(n_5398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787747 (
+	.A1(n_3217),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787748 (
+	.A1(n_3332),
+	.A2(n_3965),
+	.B1(n_545),
+	.Y(n_5394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787749 (
+	.A1(n_2762),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787750 (
+	.A1(n_2762),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787751 (
+	.A1(n_3335),
+	.A2(n_3965),
+	.B1(n_545),
+	.X(n_5391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787752 (
+	.A1(n_2762),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787753 (
+	.A1(n_3258),
+	.A2(n_3945),
+	.B1(n_545),
+	.X(n_5389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787754 (
+	.A1(n_3945),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787755 (
+	.A1(n_3212),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787756 (
+	.A1(n_3212),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787757 (
+	.A1(n_3212),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787758 (
+	.A1(n_3219),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787759 (
+	.A1(n_3934),
+	.A2(n_3255),
+	.B1(n_545),
+	.Y(n_5381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787760 (
+	.A1(n_3219),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787761 (
+	.A1(n_3219),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787762 (
+	.A1(n_3940),
+	.A2(n_3255),
+	.B1(n_545),
+	.Y(n_5375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787763 (
+	.A1(n_3954),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787764 (
+	.A1(n_3937),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787765 (
+	.A1(n_3935),
+	.A2(n_3281),
+	.B1(n_545),
+	.X(n_5372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787766 (
+	.A1(n_3954),
+	.A2(n_3278),
+	.B1(n_545),
+	.X(n_5371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787767 (
+	.A1(n_3935),
+	.A2(n_3278),
+	.B1(n_545),
+	.X(n_5370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787768 (
+	.A1(n_3937),
+	.A2(n_3278),
+	.B1(n_545),
+	.X(n_5369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787769 (
+	.A1(n_3333),
+	.A2(n_3965),
+	.B1(n_545),
+	.X(n_5368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787770 (
+	.A1(n_1288),
+	.A2(n_2876),
+	.B1(n_1580),
+	.B2(n_2836),
+	.C1(n_2591),
+	.X(n_5367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787771 (
+	.A1(n_3309),
+	.A2(n_30001),
+	.B1(n_545),
+	.Y(n_5364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787772 (
+	.A1(n_3211),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787773 (
+	.A1(n_3211),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787774 (
+	.A1(n_3211),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787775 (
+	.A1(n_3954),
+	.A2(n_3233),
+	.B1(n_545),
+	.X(n_5360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787776 (
+	.A1(n_3937),
+	.A2(n_3233),
+	.B1(n_545),
+	.X(n_5359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787777 (
+	.A1(n_3309),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787778 (
+	.A1(n_3309),
+	.A2(n_3940),
+	.B1(n_545),
+	.Y(n_5355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787779 (
+	.A1(n_3309),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787780 (
+	.A1(n_3954),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787781 (
+	.A1(n_3258),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787782 (
+	.A1(n_3935),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787783 (
+	.A1(n_3258),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787784 (
+	.A1(n_3937),
+	.A2(n_29635),
+	.B1(n_545),
+	.X(n_5348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787785 (
+	.A1(n_3258),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787786 (
+	.A1(n_3935),
+	.A2(n_2940),
+	.B1(n_545),
+	.X(n_5346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787787 (
+	.A1(n_3937),
+	.A2(n_2940),
+	.B1(n_545),
+	.X(n_5345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787788 (
+	.A1(n_30022),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787789 (
+	.A1(n_30022),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787790 (
+	.A1(n_30022),
+	.A2(n_3954),
+	.B1(n_545),
+	.X(n_5342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787791 (
+	.A1(n_3937),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787792 (
+	.A1(n_3935),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787793 (
+	.A1(n_3954),
+	.A2(n_3277),
+	.B1(n_545),
+	.X(n_5339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787794 (
+	.A1(n_3217),
+	.A2(n_3937),
+	.B1(n_545),
+	.X(n_5338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787795 (
+	.A1(n_3217),
+	.A2(n_3935),
+	.B1(n_545),
+	.X(n_5337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787796 (
+	.A1(n_3211),
+	.A2(n_3934),
+	.B1(n_545),
+	.Y(n_5335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787797 (
+	.A1(n_3211),
+	.A2(n_3932),
+	.B1(n_545),
+	.Y(n_5333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787798 (
+	.A1(n_1288),
+	.A2(n_2846),
+	.B1(n_1580),
+	.B2(n_2859),
+	.C1(n_2743),
+	.X(n_5332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787801 (
+	.A1(n_1580),
+	.A2(n_2820),
+	.B1(n_1288),
+	.B2(n_2840),
+	.C1(n_2638),
+	.X(n_5326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787802 (
+	.A1(n_1580),
+	.A2(n_2818),
+	.B1(n_1288),
+	.B2(n_2838),
+	.C1(n_2572),
+	.X(n_5324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g787803 (
+	.A1(n_2802),
+	.A2(n_3355),
+	.B1(n_4992),
+	.X(n_5322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g787804 (
+	.A1(n_24589),
+	.A2(n_3864),
+	.B1(n_2152),
+	.X(n_5321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787805 (
+	.A(n_3811),
+	.Y(n_5014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g787806 (
+	.A(n_3369),
+	.Y(n_3370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787807 (
+	.A(n_5010),
+	.Y(n_5009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787809 (
+	.A(n_4985),
+	.Y(n_4986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787810 (
+	.A(n_4983),
+	.Y(n_4982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787811 (
+	.A(n_4959),
+	.Y(n_4960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787812 (
+	.A(n_4954),
+	.Y(n_4955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g787813 (
+	.A(n_4952),
+	.Y(n_4953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787814 (
+	.A(n_4885),
+	.Y(n_4884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787815 (
+	.A(n_4883),
+	.Y(n_4882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787816 (
+	.A(n_4876),
+	.Y(n_4875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787817 (
+	.A(n_4873),
+	.Y(n_4872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787818 (
+	.A(n_4867),
+	.Y(n_4866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787819 (
+	.A(n_4865),
+	.Y(n_4864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787820 (
+	.A(n_4861),
+	.Y(n_4860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787821 (
+	.A(n_4857),
+	.Y(n_4856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787822 (
+	.A(n_4852),
+	.Y(n_4851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787823 (
+	.A(n_4848),
+	.Y(n_4847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787824 (
+	.A(n_4846),
+	.Y(n_4845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787825 (
+	.A(n_4844),
+	.Y(n_4843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787826 (
+	.A(n_4842),
+	.Y(n_4841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787827 (
+	.A(n_4840),
+	.Y(n_4839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787828 (
+	.A(n_4837),
+	.Y(n_4836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787829 (
+	.A(n_4835),
+	.Y(n_4834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787830 (
+	.A(n_4833),
+	.Y(n_4832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787831 (
+	.A(n_4830),
+	.Y(n_4829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787832 (
+	.A(n_4825),
+	.Y(n_4824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787833 (
+	.A(n_4822),
+	.Y(n_4821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787834 (
+	.A(n_4820),
+	.Y(n_4819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787835 (
+	.A(n_4818),
+	.Y(n_4817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787836 (
+	.A(n_4816),
+	.Y(n_4815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787837 (
+	.A(n_4814),
+	.Y(n_4813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787838 (
+	.A(n_4810),
+	.Y(n_4809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787839 (
+	.A(n_4808),
+	.Y(n_4807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787840 (
+	.A(n_4806),
+	.Y(n_4805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787841 (
+	.A(n_4804),
+	.Y(n_4803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787842 (
+	.A(n_4802),
+	.Y(n_4801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787843 (
+	.A(n_4800),
+	.Y(n_4799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787844 (
+	.A(n_4798),
+	.Y(n_4797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787845 (
+	.A(n_4796),
+	.Y(n_4795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787846 (
+	.A(n_4794),
+	.Y(n_4793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787847 (
+	.A(n_4792),
+	.Y(n_4791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787848 (
+	.A(n_4790),
+	.Y(n_4789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787849 (
+	.A(n_4788),
+	.Y(n_4787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787850 (
+	.A(n_4786),
+	.Y(n_4785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787851 (
+	.A(n_4784),
+	.Y(n_4783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787852 (
+	.A(n_4782),
+	.Y(n_4781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787853 (
+	.A(n_4780),
+	.Y(n_4779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787854 (
+	.A(n_4778),
+	.Y(n_4777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787855 (
+	.A(n_4776),
+	.Y(n_4775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787856 (
+	.A(n_4774),
+	.Y(n_4773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787857 (
+	.A(n_4772),
+	.Y(n_4771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787858 (
+	.A(n_4770),
+	.Y(n_4769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787859 (
+	.A(n_4768),
+	.Y(n_4767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787860 (
+	.A(n_4762),
+	.Y(n_4761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787861 (
+	.A(n_4760),
+	.Y(n_4759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787862 (
+	.A(n_4758),
+	.Y(n_4757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787863 (
+	.A(n_4756),
+	.Y(n_4755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787864 (
+	.A(n_4753),
+	.Y(n_4754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787865 (
+	.A(n_4752),
+	.Y(n_4751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787866 (
+	.A(n_4750),
+	.Y(n_4749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787867 (
+	.A(n_4747),
+	.Y(n_4748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787868 (
+	.A(n_4746),
+	.Y(n_4745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g787869 (
+	.A(n_4744),
+	.Y(n_4743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g787887 (
+	.A(n_4718),
+	.Y(n_4719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787888 (
+	.A(n_4717),
+	.Y(n_4716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787889 (
+	.A(n_29998),
+	.Y(n_4714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g787890 (
+	.A(n_66584),
+	.Y(n_4712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g787891 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_1967),
+	.COUT(n_5012),
+	.SUM(n_4711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g787892 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_2485),
+	.COUT(n_5011),
+	.SUM(n_4710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g787893 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_33049),
+	.COUT(n_4708),
+	.SUM(n_4709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 g787894 (
+	.A(n_2504),
+	.B(n_1632),
+	.COUT(n_5010),
+	.SUM(n_4707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787895 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [3]),
+	.C1(n_3655),
+	.X(n_4706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g787896 (
+	.A_N(n_3744),
+	.B(n_2676),
+	.C(n_1533),
+	.D(n_1725),
+	.Y(n_4705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g787897 (
+	.A1(n_25432),
+	.A2(n_1029),
+	.B1(n_3867),
+	.Y(n_4704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g787898 (
+	.A1(n_1347),
+	.A2(n_2375),
+	.A3(n_2183),
+	.B1(n_285),
+	.Y(n_4703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787899 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [3]),
+	.C1(n_3529),
+	.X(n_4702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787901 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [3]),
+	.C1(n_3560),
+	.X(n_4701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g787902 (
+	.A(n_3778),
+	.B(n_2112),
+	.C(n_1895),
+	.D(n_2472),
+	.Y(n_4700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g787903 (
+	.A1(n_2626),
+	.A2(n_1342),
+	.B1(n_546),
+	.Y(n_4699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g787904 (
+	.A1(n_1915),
+	.A2(n_24588),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_handle_misaligned_q),
+	.C1(n_25428),
+	.Y(n_4698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787905 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [2]),
+	.C1(n_3556),
+	.X(n_4697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g787906 (
+	.A1(n_27097),
+	.A2(n_1968),
+	.B1(n_3781),
+	.X(n_4696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g787907 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.A2(n_1196),
+	.B1(n_2655),
+	.C1(n_1987),
+	.Y(n_4695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g787908 (
+	.A1(n_1774),
+	.A2(n_1921),
+	.B1(\soc_top_pwm_to_xbar[d_data] [1]),
+	.B2(n_1922),
+	.C1(n_3327),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.Y(n_4694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g787909 (
+	.A1(n_12752),
+	.A2(n_2479),
+	.A3(n_25224),
+	.B1(n_33),
+	.B2(n_2646),
+	.Y(n_4693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g787910 (
+	.A1(n_32),
+	.A2(n_25638),
+	.B1(n_30039),
+	.Y(n_4692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787911 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [8]),
+	.Y(n_4691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787912 (
+	.A(n_3998),
+	.B(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.Y(n_4690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g787913 (
+	.A1(n_2149),
+	.A2(n_2878),
+	.B1(n_3826),
+	.X(n_4689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g787914 (
+	.A(n_4025),
+	.B(n_29634),
+	.X(n_4688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787915 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [2]),
+	.C1(n_3467),
+	.X(n_4687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787916 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [7]),
+	.C1(n_3482),
+	.X(n_4686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g787917 (
+	.A1(n_3205),
+	.A2(n_1944),
+	.B1(n_3391),
+	.X(n_4685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787918 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [23]),
+	.Y(n_4684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787919 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [11]),
+	.Y(n_4683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g787920 (
+	.A1(n_3067),
+	.A2(n_1957),
+	.B1(n_3408),
+	.X(n_4682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g787921 (
+	.A1(n_3381),
+	.A2(\soc_top_intr_controller_reg2hw[prio1][q] [0]),
+	.B1(n_3741),
+	.X(n_4681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787922 (
+	.A(n_3808),
+	.B(n_29218),
+	.Y(n_4680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787923 (
+	.A(n_3808),
+	.B(n_29219),
+	.Y(n_4679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787924 (
+	.A(n_3808),
+	.B(n_29220),
+	.Y(n_4678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787925 (
+	.A(n_3808),
+	.B(n_29221),
+	.Y(n_4677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787926 (
+	.A(n_3808),
+	.B(n_29222),
+	.Y(n_4676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787927 (
+	.A(n_3808),
+	.B(n_29223),
+	.Y(n_4675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787928 (
+	.A(n_3808),
+	.B(n_29224),
+	.Y(n_4674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787929 (
+	.A(n_3808),
+	.B(n_29225),
+	.Y(n_4673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787930 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [18]),
+	.Y(n_4672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787931 (
+	.A(n_3808),
+	.B(n_29226),
+	.Y(n_4671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787932 (
+	.A(n_3808),
+	.B(n_29227),
+	.Y(n_4670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787933 (
+	.A(n_3808),
+	.B(n_29229),
+	.Y(n_4669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787934 (
+	.A(n_3808),
+	.B(n_29230),
+	.Y(n_4668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787935 (
+	.A(n_3808),
+	.B(n_29231),
+	.Y(n_4667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787936 (
+	.A(n_3808),
+	.B(n_29232),
+	.Y(n_4666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787937 (
+	.A(n_3808),
+	.B(n_29233),
+	.Y(n_4665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787938 (
+	.A(n_3808),
+	.B(n_29234),
+	.Y(n_4664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787939 (
+	.A(n_3808),
+	.B(n_29235),
+	.Y(n_4663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787940 (
+	.A(n_3808),
+	.B(n_29237),
+	.Y(n_4662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787941 (
+	.A(n_3808),
+	.B(n_29238),
+	.Y(n_4661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787942 (
+	.A(n_3808),
+	.B(n_29239),
+	.Y(n_4660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787943 (
+	.A(n_3808),
+	.B(n_29240),
+	.Y(n_4659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787944 (
+	.A(n_3808),
+	.B(n_29241),
+	.Y(n_4658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787945 (
+	.A(n_3808),
+	.B(n_29242),
+	.Y(n_4657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787946 (
+	.A(n_3808),
+	.B(n_29243),
+	.Y(n_4656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787947 (
+	.A(n_3808),
+	.B(n_29244),
+	.Y(n_4655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787948 (
+	.A(n_3808),
+	.B(n_29245),
+	.Y(n_4654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787949 (
+	.A(n_3808),
+	.B(n_29246),
+	.Y(n_4653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787950 (
+	.A(n_3808),
+	.B(n_29247),
+	.Y(n_4652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787951 (
+	.A(n_3808),
+	.B(n_29248),
+	.Y(n_4651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787952 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [25]),
+	.Y(n_4650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787953 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [5]),
+	.Y(n_4649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787954 (
+	.A(n_30002),
+	.B(soc_top_intr_controller_u_reg_ip_0_p_5_qs),
+	.Y(n_4648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787955 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [14]),
+	.Y(n_4647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787956 (
+	.A(n_3808),
+	.B(n_29228),
+	.Y(n_4646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787957 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [5]),
+	.C1(n_3739),
+	.X(n_4645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787958 (
+	.A(n_3808),
+	.B(n_29236),
+	.Y(n_4644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787959 (
+	.A(n_3928),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.Y(n_4643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g787961 (
+	.A1(n_3199),
+	.A2(n_1946),
+	.B1(n_3417),
+	.X(n_4641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787962 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [2]),
+	.C1(n_3657),
+	.X(n_4640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787963 (
+	.A(n_3998),
+	.B(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.Y(n_4639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787964 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [0]),
+	.C1(n_3718),
+	.X(n_4638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787965 (
+	.A(n_3928),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.Y(n_4637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787966 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [0]),
+	.C1(n_3553),
+	.X(n_4636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787967 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [0]),
+	.C1(n_3565),
+	.X(n_4635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787968 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[161] [0]),
+	.C1(n_3563),
+	.X(n_4634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g787969 (
+	.A1(n_1630),
+	.A2(n_3387),
+	.B1(n_3869),
+	.Y(n_4633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787970 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [0]),
+	.C1(n_3623),
+	.X(n_4632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787971 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [0]),
+	.C1(n_3735),
+	.X(n_4631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787972 (
+	.A(n_3998),
+	.B(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.Y(n_4630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787973 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [0]),
+	.C1(n_3717),
+	.X(n_4629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787974 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [0]),
+	.C1(n_3716),
+	.X(n_4628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787975 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [3]),
+	.Y(n_4627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787976 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [4]),
+	.Y(n_4626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787977 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [6]),
+	.Y(n_4625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787978 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [7]),
+	.Y(n_4624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787979 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [9]),
+	.Y(n_4623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787980 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [10]),
+	.Y(n_4622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787981 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [12]),
+	.Y(n_4621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787982 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [13]),
+	.Y(n_4620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787983 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [15]),
+	.Y(n_4619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787984 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [16]),
+	.Y(n_4618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787985 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [17]),
+	.Y(n_4617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787986 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [19]),
+	.Y(n_4616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787987 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [20]),
+	.Y(n_4615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787988 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [21]),
+	.Y(n_4614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787989 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [22]),
+	.Y(n_4613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787990 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [2]),
+	.Y(n_4612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787991 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [24]),
+	.Y(n_4611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787992 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [26]),
+	.Y(n_4610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787993 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [27]),
+	.Y(n_4609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787994 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [28]),
+	.Y(n_4608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787995 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [29]),
+	.Y(n_4607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787996 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.Y(n_4606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g787997 (
+	.A(n_3925),
+	.B(\soc_top_u_top_u_core_imd_val_q_ex[0] [1]),
+	.Y(n_4605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g787998 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [2]),
+	.C1(n_3659),
+	.X(n_4604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g787999 (
+	.A(n_2493),
+	.B(n_4052),
+	.Y(n_4603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788000 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [0]),
+	.C1(n_3715),
+	.X(n_4602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788001 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [0]),
+	.C1(n_3681),
+	.X(n_4601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788002 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [2]),
+	.C1(n_3661),
+	.X(n_4600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g788003 (
+	.A1(soc_top_u_top_u_core_csr_restore_mret_id),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[11]),
+	.B1(n_2584),
+	.C1(soc_top_u_top_u_core_csr_save_cause),
+	.X(n_4599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 g788004 (
+	.A1(soc_top_u_top_u_core_csr_restore_mret_id),
+	.A2(soc_top_u_top_u_core_cs_registers_i_csr_rdata_int[12]),
+	.B1(n_2583),
+	.C1(soc_top_u_top_u_core_csr_save_cause),
+	.X(n_4598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g788005 (
+	.A(n_2582),
+	.B(n_2192),
+	.C(soc_top_u_uart_u_uart_core_read_fifo_buffer_full_217),
+	.X(n_4597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788006 (
+	.A1(n_3103),
+	.A2(n_1959),
+	.B1(n_3392),
+	.X(n_4596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788007 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [2]),
+	.C1(n_3662),
+	.X(n_4595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788008 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [0]),
+	.C1(n_3714),
+	.X(n_4594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788009 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [0]),
+	.C1(n_3554),
+	.X(n_4593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788010 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [2]),
+	.C1(n_3663),
+	.X(n_4592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788011 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [2]),
+	.C1(n_3664),
+	.X(n_4591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788012 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [2]),
+	.C1(n_3544),
+	.X(n_4590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788013 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [2]),
+	.C1(n_3541),
+	.X(n_4589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788014 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [2]),
+	.C1(n_3539),
+	.X(n_4588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788015 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [2]),
+	.C1(n_3549),
+	.X(n_4587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788016 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [2]),
+	.C1(n_3665),
+	.X(n_4586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788017 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [2]),
+	.C1(n_3666),
+	.X(n_4585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788018 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [2]),
+	.C1(n_3667),
+	.X(n_4584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788019 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [2]),
+	.C1(n_3668),
+	.X(n_4583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788020 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [2]),
+	.C1(n_3520),
+	.X(n_4582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788021 (
+	.A1(n_3010),
+	.A2(n_2666),
+	.B1(n_546),
+	.Y(n_4581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788022 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [2]),
+	.C1(n_3500),
+	.X(n_4580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788023 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [2]),
+	.C1(n_3492),
+	.X(n_4579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788024 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [2]),
+	.C1(n_3567),
+	.X(n_4578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788025 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [2]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [2]),
+	.C1(n_3669),
+	.X(n_4577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788026 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [2]),
+	.C1(n_3670),
+	.X(n_4576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788027 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [2]),
+	.C1(n_3671),
+	.X(n_4575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788028 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[16] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [2]),
+	.C1(n_3672),
+	.X(n_4574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788029 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [2]),
+	.C1(n_3564),
+	.X(n_4573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788030 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [2]),
+	.C1(n_3673),
+	.X(n_4572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788031 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [2]),
+	.C1(n_3674),
+	.X(n_4571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788032 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [2]),
+	.C1(n_3675),
+	.X(n_4570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788033 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [2]),
+	.C1(n_3676),
+	.X(n_4569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788034 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [2]),
+	.C1(n_3678),
+	.X(n_4568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788035 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [2]),
+	.C1(n_3679),
+	.X(n_4567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788036 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [2]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [2]),
+	.C1(n_3680),
+	.X(n_4566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788037 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [1]),
+	.C1(n_3548),
+	.X(n_4565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788038 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [1]),
+	.C1(n_3682),
+	.X(n_4564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788039 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [1]),
+	.C1(n_3684),
+	.X(n_4563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788040 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [1]),
+	.C1(n_3685),
+	.X(n_4562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788041 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [1]),
+	.C1(n_3686),
+	.X(n_4561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788042 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [1]),
+	.C1(n_3687),
+	.X(n_4560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788043 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [1]),
+	.C1(n_3543),
+	.X(n_4559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788044 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [1]),
+	.C1(n_3540),
+	.X(n_4558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788045 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [1]),
+	.C1(n_3538),
+	.X(n_4557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788046 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [1]),
+	.C1(n_3688),
+	.X(n_4556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788047 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [1]),
+	.C1(n_3531),
+	.X(n_4555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788048 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [1]),
+	.C1(n_3523),
+	.X(n_4554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788049 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [1]),
+	.C1(n_3522),
+	.X(n_4553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788050 (
+	.A(n_1587),
+	.B_N(n_4033),
+	.Y(n_4552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788051 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [1]),
+	.C1(n_3689),
+	.X(n_4551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788052 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [1]),
+	.C1(n_3518),
+	.X(n_4550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788053 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [1]),
+	.C1(n_3517),
+	.X(n_4549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788054 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [1]),
+	.C1(n_3516),
+	.X(n_4548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788055 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [1]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [1]),
+	.C1(n_3691),
+	.X(n_4547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788056 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [1]),
+	.C1(n_3692),
+	.X(n_4546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788057 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [1]),
+	.C1(n_3693),
+	.X(n_4545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788058 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [1]),
+	.C1(n_3514),
+	.X(n_4544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788059 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [1]),
+	.C1(n_3512),
+	.X(n_4543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788060 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [1]),
+	.C1(n_3510),
+	.X(n_4542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788061 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [1]),
+	.C1(n_3694),
+	.X(n_4541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788062 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [1]),
+	.C1(n_3504),
+	.X(n_4540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788063 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [1]),
+	.C1(n_3555),
+	.X(n_4539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788064 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [1]),
+	.C1(n_3534),
+	.X(n_4538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788065 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [1]),
+	.C1(n_3536),
+	.X(n_4537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788066 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [0]),
+	.C1(n_3562),
+	.X(n_4536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788067 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [0]),
+	.C1(n_3696),
+	.X(n_4535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788068 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [0]),
+	.C1(n_3697),
+	.X(n_4534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788069 (
+	.A1(n_3139),
+	.A2(n_1956),
+	.B1(n_3398),
+	.X(n_4533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788070 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [0]),
+	.C1(n_3698),
+	.X(n_4532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788071 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [0]),
+	.C1(n_3699),
+	.X(n_4531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788072 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [0]),
+	.C1(n_3700),
+	.X(n_4530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788073 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [0]),
+	.C1(n_3701),
+	.X(n_4529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788074 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [0]),
+	.C1(n_3703),
+	.X(n_4528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788075 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [0]),
+	.C1(n_3704),
+	.X(n_4527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788076 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [0]),
+	.C1(n_3705),
+	.X(n_4526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788077 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [0]),
+	.C1(n_3706),
+	.X(n_4525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788078 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [0]),
+	.C1(n_3707),
+	.X(n_4524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788079 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [0]),
+	.C1(n_3710),
+	.X(n_4523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788080 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [0]),
+	.C1(n_3711),
+	.X(n_4522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788081 (
+	.A1(n_3082),
+	.A2(n_1949),
+	.B1(n_3403),
+	.X(n_4521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788082 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [0]),
+	.C1(n_3557),
+	.X(n_4520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788083 (
+	.A(n_43384),
+	.B_N(n_4007),
+	.Y(n_5008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788084 (
+	.A(n_4041),
+	.B(n_135),
+	.Y(n_5007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788085 (
+	.A(n_25225),
+	.B(n_4030),
+	.Y(n_5006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788086 (
+	.A(n_2516),
+	.B_N(n_4010),
+	.Y(n_4519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788087 (
+	.A(n_1601),
+	.B_N(n_4010),
+	.Y(n_4518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788088 (
+	.A(n_3977),
+	.B(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.Y(n_5005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788089 (
+	.A(n_25270),
+	.B(n_4030),
+	.Y(n_5004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788090 (
+	.A(n_4038),
+	.B_N(n_2452),
+	.Y(n_5003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788091 (
+	.A1(n_2606),
+	.A2(n_30842),
+	.B1(n_1254),
+	.Y(n_5002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788092 (
+	.A(n_3806),
+	.B(n_278),
+	.Y(n_5001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788096 (
+	.A1(n_1780),
+	.A2(n_2649),
+	.B1(n_2510),
+	.Y(n_5000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g788102 (
+	.A1(n_2594),
+	.A2(n_30842),
+	.B1_N(n_19051),
+	.Y(n_4998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788103 (
+	.A(n_1376),
+	.B(n_3831),
+	.Y(n_4997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g788104 (
+	.A_N(n_4039),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.Y(n_4996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g788105 (
+	.A_N(n_4036),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.Y(n_4995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788106 (
+	.A(n_4038),
+	.B(n_30809),
+	.Y(n_4994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788107 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.B(n_4008),
+	.Y(n_4993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788108 (
+	.A1(n_25193),
+	.A2(n_3007),
+	.B1(n_3357),
+	.Y(n_4992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788109 (
+	.A(n_33046),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_4991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788110 (
+	.A(n_33046),
+	.B(n_786),
+	.Y(n_4990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788112 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.B_N(n_4033),
+	.Y(n_4989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788113 (
+	.A(n_4032),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.Y(n_4988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g788114 (
+	.A_N(n_1376),
+	.B(n_3831),
+	.Y(n_4987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788117 (
+	.A(n_4056),
+	.B(n_3357),
+	.Y(n_4985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788123 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_4000),
+	.Y(n_4984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788128 (
+	.A(n_24845),
+	.B(n_4008),
+	.Y(n_4983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788130 (
+	.A(n_25293),
+	.B(n_4030),
+	.Y(n_4981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788153 (
+	.A(n_1277),
+	.B(n_3810),
+	.Y(n_4980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788154 (
+	.A(n_1675),
+	.B(n_3810),
+	.Y(n_4979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788158 (
+	.A(n_25348),
+	.B(n_3820),
+	.Y(n_4978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788159 (
+	.A(n_3953),
+	.B(n_3216),
+	.Y(n_4977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788160 (
+	.A(n_3953),
+	.B(n_3304),
+	.Y(n_4976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788162 (
+	.A(n_3829),
+	.B(n_544),
+	.X(n_4975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788163 (
+	.A(n_3830),
+	.B(n_544),
+	.X(n_4974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788164 (
+	.A(n_12752),
+	.B(n_3829),
+	.Y(n_4973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788165 (
+	.A(n_3946),
+	.B(n_2763),
+	.Y(n_4972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788166 (
+	.A(n_3309),
+	.B(n_3948),
+	.Y(n_4971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788167 (
+	.A(n_30000),
+	.B(n_3331),
+	.Y(n_4970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788168 (
+	.A(n_3341),
+	.B(n_30000),
+	.Y(n_4969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788169 (
+	.A(n_30000),
+	.B(n_3339),
+	.Y(n_4968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788170 (
+	.A(n_12752),
+	.B(n_3830),
+	.Y(n_4967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788171 (
+	.A(n_3968),
+	.B(n_3331),
+	.X(n_4966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788172 (
+	.A(n_3969),
+	.B(n_3331),
+	.X(n_4965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788173 (
+	.A(n_3974),
+	.B(n_3331),
+	.X(n_4964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788174 (
+	.A(n_3974),
+	.B(n_3339),
+	.X(n_4963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788175 (
+	.A(n_3969),
+	.B(n_3341),
+	.X(n_4962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788176 (
+	.A(n_3974),
+	.B(n_3341),
+	.X(n_4961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788177 (
+	.A(n_1572),
+	.B(n_3965),
+	.Y(n_4959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788178 (
+	.A(n_3964),
+	.B(FE_DBTN98_n_1242),
+	.Y(n_4958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788179 (
+	.A(n_3964),
+	.B(n_1216),
+	.Y(n_4957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788180 (
+	.A(n_1234),
+	.B(n_3965),
+	.Y(n_4956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788181 (
+	.A(n_1571),
+	.B(n_3965),
+	.Y(n_4954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788182 (
+	.A(n_1569),
+	.B(n_3965),
+	.Y(n_4952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788183 (
+	.A(n_3964),
+	.B(n_1546),
+	.Y(n_4951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788184 (
+	.A(n_3968),
+	.B(n_3341),
+	.X(n_4950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788185 (
+	.A(n_3969),
+	.B(n_3339),
+	.X(n_4949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788186 (
+	.A(n_3968),
+	.B(n_3339),
+	.X(n_4948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788187 (
+	.A(n_3969),
+	.B(n_3338),
+	.X(n_4947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788188 (
+	.A(n_3974),
+	.B(n_3330),
+	.X(n_4946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788189 (
+	.A(n_3974),
+	.B(n_3334),
+	.X(n_4945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788190 (
+	.A(n_3968),
+	.B(n_3330),
+	.X(n_4944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788191 (
+	.A(n_3969),
+	.B(n_3336),
+	.X(n_4943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788192 (
+	.A(n_3969),
+	.B(n_3330),
+	.X(n_4942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788193 (
+	.A(n_3951),
+	.B(n_3304),
+	.Y(n_4941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788194 (
+	.A(n_3947),
+	.B(n_2763),
+	.Y(n_4940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788195 (
+	.A(n_3949),
+	.B(n_3280),
+	.Y(n_4939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788196 (
+	.A(n_3938),
+	.B(n_2760),
+	.Y(n_4938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788197 (
+	.A(n_3309),
+	.B(n_3944),
+	.Y(n_4937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788198 (
+	.A(n_3974),
+	.B(n_3338),
+	.X(n_4936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788199 (
+	.A(n_3968),
+	.B(n_3334),
+	.X(n_4935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788200 (
+	.A(n_3968),
+	.B(n_3344),
+	.X(n_4934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788201 (
+	.A(n_3968),
+	.B(n_3338),
+	.X(n_4933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788202 (
+	.A(n_3968),
+	.B(n_3336),
+	.X(n_4932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788203 (
+	.A(n_3969),
+	.B(n_3334),
+	.X(n_4931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788204 (
+	.A(n_3974),
+	.B(n_3344),
+	.X(n_4930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788205 (
+	.A(n_3974),
+	.B(n_3336),
+	.X(n_4929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788206 (
+	.A(n_3969),
+	.B(n_3344),
+	.X(n_4928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788207 (
+	.A(n_3951),
+	.B(n_3214),
+	.Y(n_4927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788208 (
+	.A(n_30000),
+	.B(n_3336),
+	.Y(n_4926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788209 (
+	.A(n_3330),
+	.B(n_30000),
+	.Y(n_4925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788210 (
+	.A(n_30000),
+	.B(n_3334),
+	.Y(n_4924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788211 (
+	.A(n_3976),
+	.B(n_3331),
+	.X(n_4923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788212 (
+	.A(n_3975),
+	.B(n_3331),
+	.X(n_4922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788213 (
+	.A(n_3972),
+	.B(n_3331),
+	.X(n_4921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788214 (
+	.A(n_3218),
+	.B(n_3949),
+	.Y(n_4920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788215 (
+	.A(n_3976),
+	.B(n_3341),
+	.X(n_4919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788216 (
+	.A(n_3972),
+	.B(n_3339),
+	.X(n_4918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788217 (
+	.A(n_3976),
+	.B(n_3339),
+	.X(n_4917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788218 (
+	.A(n_3972),
+	.B(n_3341),
+	.X(n_4916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788219 (
+	.A(n_3975),
+	.B(n_3341),
+	.X(n_4915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788220 (
+	.A(n_3975),
+	.B(n_3339),
+	.X(n_4914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788221 (
+	.A(n_30000),
+	.B(n_3338),
+	.Y(n_4913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788222 (
+	.A(n_30000),
+	.B(n_3344),
+	.Y(n_4912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788223 (
+	.A(n_3943),
+	.B(n_3279),
+	.Y(n_4911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788224 (
+	.A(n_3976),
+	.B(n_3344),
+	.X(n_4910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788225 (
+	.A(n_3936),
+	.B(n_3308),
+	.Y(n_4909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788226 (
+	.A(n_3972),
+	.B(n_3338),
+	.Y(n_4908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788227 (
+	.A(n_3972),
+	.B(n_3334),
+	.Y(n_4907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788228 (
+	.A(n_3975),
+	.B(n_3344),
+	.X(n_4906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788229 (
+	.A(n_3976),
+	.B(n_3338),
+	.Y(n_4905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788230 (
+	.A(n_3278),
+	.B(n_3934),
+	.Y(n_4904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788231 (
+	.A(n_3976),
+	.B(n_3336),
+	.Y(n_4903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788232 (
+	.A(n_3972),
+	.B(n_3344),
+	.X(n_4902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788233 (
+	.A(n_3972),
+	.B(n_3336),
+	.X(n_4901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788234 (
+	.A(n_3976),
+	.B(n_3330),
+	.X(n_4900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788235 (
+	.A(n_3975),
+	.B(n_3338),
+	.X(n_4899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788236 (
+	.A(n_3330),
+	.B(n_3975),
+	.Y(n_4898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788237 (
+	.A(n_3972),
+	.B(n_3330),
+	.X(n_4897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788238 (
+	.A(n_3976),
+	.B(n_3334),
+	.X(n_4896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788239 (
+	.A(n_3975),
+	.B(n_3336),
+	.X(n_4895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788240 (
+	.A(n_3975),
+	.B(n_3334),
+	.X(n_4894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788241 (
+	.A(n_3256),
+	.B(n_3931),
+	.Y(n_4893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788242 (
+	.A(n_3932),
+	.B(n_3255),
+	.Y(n_4892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788243 (
+	.A(n_3936),
+	.B(n_3244),
+	.Y(n_4891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788244 (
+	.A(n_3938),
+	.B(n_3308),
+	.Y(n_4890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788245 (
+	.A(n_3946),
+	.B(n_3304),
+	.Y(n_4889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788246 (
+	.A(n_3934),
+	.B(n_3277),
+	.Y(n_4888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788247 (
+	.A(n_3940),
+	.B(n_29635),
+	.Y(n_4887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788248 (
+	.A(n_3940),
+	.B(n_3281),
+	.Y(n_4886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788249 (
+	.A(n_25435),
+	.B(n_3893),
+	.Y(n_4885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788250 (
+	.A(n_1242),
+	.B(n_3935),
+	.Y(n_4883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788251 (
+	.A(n_1571),
+	.B(n_3954),
+	.X(n_4881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788252 (
+	.A(n_1547),
+	.B(n_3935),
+	.X(n_4880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788253 (
+	.A(n_1571),
+	.B(n_3935),
+	.X(n_4879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788254 (
+	.A(n_1218),
+	.B(n_3935),
+	.X(n_4878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788255 (
+	.A(n_3933),
+	.B(n_1233),
+	.X(n_4877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788256 (
+	.A(n_3933),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788257 (
+	.A(n_3933),
+	.B(n_1216),
+	.X(n_4874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788258 (
+	.A(n_3933),
+	.B(n_1568),
+	.X(n_4873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788259 (
+	.A(n_3933),
+	.B(n_1570),
+	.X(n_4871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788260 (
+	.A(n_3931),
+	.B(n_1216),
+	.X(n_4870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788261 (
+	.A(n_3931),
+	.B(n_1568),
+	.X(n_4869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788262 (
+	.A(n_3931),
+	.B(n_1546),
+	.X(n_4868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788263 (
+	.A(n_3931),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788264 (
+	.A(n_3931),
+	.B(n_1570),
+	.X(n_4865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788265 (
+	.A(n_3933),
+	.B(n_1546),
+	.X(n_4863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788266 (
+	.A(n_3931),
+	.B(n_1233),
+	.X(n_4862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788267 (
+	.A(n_1547),
+	.B(n_3940),
+	.Y(n_4861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788268 (
+	.A(n_3939),
+	.B(n_1233),
+	.X(n_4859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788269 (
+	.A(n_3939),
+	.B(n_1216),
+	.X(n_4858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788270 (
+	.A(n_1569),
+	.B(n_3940),
+	.Y(n_4857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788271 (
+	.A(n_3939),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788272 (
+	.A(n_3939),
+	.B(n_1570),
+	.X(n_4854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788273 (
+	.A(n_3933),
+	.B(n_1573),
+	.X(n_4853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788274 (
+	.A(n_3931),
+	.B(n_1573),
+	.X(n_4852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788275 (
+	.A(n_3939),
+	.B(n_1573),
+	.X(n_4850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788276 (
+	.A(n_1218),
+	.B(n_3954),
+	.X(n_4849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788277 (
+	.A(n_1572),
+	.B(n_3937),
+	.Y(n_4848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788278 (
+	.A(n_1547),
+	.B(n_3945),
+	.Y(n_4846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788279 (
+	.A(n_1572),
+	.B(n_3945),
+	.Y(n_4844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788280 (
+	.A(n_1571),
+	.B(n_3937),
+	.Y(n_4842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788281 (
+	.A(n_1569),
+	.B(n_3937),
+	.Y(n_4840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788282 (
+	.A(n_1234),
+	.B(n_3954),
+	.X(n_4838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788283 (
+	.A(n_1218),
+	.B(n_3937),
+	.Y(n_4837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788284 (
+	.A(n_1569),
+	.B(n_3945),
+	.Y(n_4835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788285 (
+	.A(n_1234),
+	.B(n_3937),
+	.Y(n_4833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788286 (
+	.A(n_1547),
+	.B(n_3954),
+	.X(n_4831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788287 (
+	.A(n_1234),
+	.B(n_3935),
+	.Y(n_4830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788288 (
+	.A(n_1569),
+	.B(n_3954),
+	.X(n_4828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788289 (
+	.A(n_1242),
+	.B(n_3954),
+	.X(n_4827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788290 (
+	.A(n_1569),
+	.B(n_3935),
+	.X(n_4826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788291 (
+	.A(n_1242),
+	.B(n_3937),
+	.Y(n_4825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g788292 (
+	.A(n_1547),
+	.B(n_3937),
+	.X(n_4823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788293 (
+	.A(n_1572),
+	.B(n_3935),
+	.Y(n_4822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788294 (
+	.A(n_1242),
+	.B(n_3945),
+	.Y(n_4820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788295 (
+	.A(n_1571),
+	.B(n_3945),
+	.Y(n_4818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788296 (
+	.A(n_1218),
+	.B(n_3945),
+	.Y(n_4816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788297 (
+	.A(n_1218),
+	.B(n_3944),
+	.Y(n_4814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788298 (
+	.A(n_3943),
+	.B(n_1568),
+	.X(n_4812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788299 (
+	.A(n_3943),
+	.B(n_1233),
+	.X(n_4811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788300 (
+	.A(n_1547),
+	.B(n_3944),
+	.Y(n_4810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788301 (
+	.A(n_1242),
+	.B(n_3944),
+	.Y(n_4808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788302 (
+	.A(n_1571),
+	.B(n_3944),
+	.Y(n_4806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788303 (
+	.A(n_1572),
+	.B(n_3944),
+	.Y(n_4804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788304 (
+	.A(n_1234),
+	.B(n_3952),
+	.Y(n_4802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788305 (
+	.A(n_3951),
+	.B(n_1570),
+	.X(n_4800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788306 (
+	.A(n_3951),
+	.B(n_1216),
+	.X(n_4798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788307 (
+	.A(n_1569),
+	.B(n_3952),
+	.Y(n_4796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788308 (
+	.A(n_1242),
+	.B(n_3952),
+	.Y(n_4794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788309 (
+	.A(n_3951),
+	.B(n_1546),
+	.X(n_4792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788310 (
+	.A(n_3949),
+	.B(n_1233),
+	.X(n_4790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788311 (
+	.A(n_1571),
+	.B(n_3950),
+	.Y(n_4788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788312 (
+	.A(n_1218),
+	.B(n_3950),
+	.Y(n_4786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788313 (
+	.A(n_1569),
+	.B(n_3950),
+	.Y(n_4784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788314 (
+	.A(n_3949),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788315 (
+	.A(n_3949),
+	.B(n_1546),
+	.X(n_4780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788316 (
+	.A(n_3949),
+	.B(n_1573),
+	.X(n_4778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788317 (
+	.A(n_1572),
+	.B(n_3952),
+	.Y(n_4776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788318 (
+	.A(n_1234),
+	.B(n_3945),
+	.Y(n_4774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788319 (
+	.A(n_1572),
+	.B(n_3948),
+	.Y(n_4772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788320 (
+	.A(n_1571),
+	.B(n_3948),
+	.Y(n_4770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788321 (
+	.A(n_3947),
+	.B(n_1233),
+	.X(n_4768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788322 (
+	.A(n_3947),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788323 (
+	.A(n_3947),
+	.B(n_1568),
+	.X(n_4765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788324 (
+	.A(n_3947),
+	.B(n_1216),
+	.X(n_4764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788325 (
+	.A(n_3947),
+	.B(n_1546),
+	.X(n_4763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g788326 (
+	.A(n_1572),
+	.B(n_3954),
+	.X(n_4762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788327 (
+	.A(n_3941),
+	.B(n_1573),
+	.X(n_4760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788328 (
+	.A(n_30001),
+	.B_N(n_1233),
+	.Y(n_4758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788329 (
+	.A(n_3941),
+	.B(n_1216),
+	.X(n_4756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g788330 (
+	.A_N(n_30001),
+	.B(n_1568),
+	.X(n_4753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g788331 (
+	.A(n_3941),
+	.B(FE_DBTN98_n_1242),
+	.X(n_4752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788332 (
+	.A(n_30001),
+	.B_N(n_1570),
+	.Y(n_4750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g788333 (
+	.A_N(n_30001),
+	.B(n_1546),
+	.X(n_4747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_2 g788334 (
+	.A_N(n_4000),
+	.B(n_1600),
+	.C(n_1169),
+	.D(n_25181),
+	.X(n_4746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g788335 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_3819),
+	.X(n_4744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g788336 (
+	.A(n_1582),
+	.B(n_3808),
+	.X(n_4742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g788337 (
+	.A(n_4004),
+	.B(n_679),
+	.X(n_4741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g788338 (
+	.A(n_3819),
+	.B(n_30037),
+	.X(n_4740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_2 g788339 (
+	.A(n_1360),
+	.B(n_2531),
+	.C(n_248),
+	.D(n_61002),
+	.X(n_4739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g788340 (
+	.A_N(n_27444),
+	.B_N(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.C(n_3358),
+	.D(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.X(n_4738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g788341 (
+	.A(n_1277),
+	.B(n_3915),
+	.X(n_4736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788342 (
+	.A(n_1277),
+	.B(n_3921),
+	.Y(n_4718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g788343 (
+	.A(n_3917),
+	.B(n_1278),
+	.X(n_4717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788347 (
+	.A(n_4507),
+	.Y(n_4508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788348 (
+	.A(n_4503),
+	.Y(n_4504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g788350 (
+	.A(n_30733),
+	.Y(n_4494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788351 (
+	.A(n_4485),
+	.Y(n_4484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788352 (
+	.A(n_4482),
+	.Y(n_4481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788353 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [3]),
+	.C1(n_3497),
+	.X(n_4480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788354 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [3]),
+	.C1(n_3496),
+	.X(n_4479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788355 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [3]),
+	.C1(n_3498),
+	.X(n_4478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788356 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [3]),
+	.C1(n_3558),
+	.X(n_4477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788357 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [3]),
+	.C1(n_3481),
+	.X(n_4476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788358 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [3]),
+	.C1(n_3532),
+	.X(n_4475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788359 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [3]),
+	.C1(n_3528),
+	.X(n_4474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788360 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [3]),
+	.C1(n_3654),
+	.X(n_4473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788361 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [3]),
+	.C1(n_3653),
+	.X(n_4472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788362 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [3]),
+	.C1(n_3652),
+	.X(n_4471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788363 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [3]),
+	.C1(n_3479),
+	.X(n_4470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788364 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [3]),
+	.C1(n_3561),
+	.X(n_4469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788365 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [3]),
+	.C1(n_3650),
+	.X(n_4468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788366 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [3]),
+	.C1(n_3649),
+	.X(n_4467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788367 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [3]),
+	.C1(n_3648),
+	.X(n_4466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788368 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [3]),
+	.C1(n_3568),
+	.X(n_4465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788369 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [3]),
+	.C1(n_3509),
+	.X(n_4464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788370 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [3]),
+	.C1(n_3646),
+	.X(n_4463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788371 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [3]),
+	.C1(n_3521),
+	.X(n_4462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788372 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [3]),
+	.C1(n_3524),
+	.X(n_4461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788373 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [3]),
+	.C1(n_3526),
+	.X(n_4460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788374 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [3]),
+	.C1(n_3645),
+	.X(n_4459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788375 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [3]),
+	.C1(n_3644),
+	.X(n_4458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788376 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[40] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [4]),
+	.C1(n_3551),
+	.X(n_4457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788377 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [4]),
+	.C1(n_3643),
+	.X(n_4456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788378 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[17] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [4]),
+	.C1(n_3642),
+	.X(n_4455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788379 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[32] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [4]),
+	.C1(n_3641),
+	.X(n_4454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788380 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[57] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [4]),
+	.C1(n_3640),
+	.X(n_4453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788381 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [4]),
+	.C1(n_3486),
+	.X(n_4452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788382 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[25] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [4]),
+	.C1(n_3639),
+	.X(n_4451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788383 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[1] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [4]),
+	.C1(n_3719),
+	.X(n_4450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788384 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [4]),
+	.C1(n_3742),
+	.X(n_4449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788385 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[137] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [4]),
+	.C1(n_3638),
+	.X(n_4448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788386 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [4]),
+	.C1(n_3637),
+	.X(n_4447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788387 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [4]),
+	.C1(n_3491),
+	.X(n_4446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788388 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[169] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [4]),
+	.C1(n_3726),
+	.X(n_4445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788389 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [4]),
+	.C1(n_3635),
+	.X(n_4444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788390 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [4]),
+	.C1(n_3634),
+	.X(n_4443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788391 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[209] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [4]),
+	.C1(n_3633),
+	.X(n_4442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788392 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [4]),
+	.C1(n_3632),
+	.X(n_4441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788393 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [4]),
+	.C1(n_3630),
+	.X(n_4440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788394 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [4]),
+	.C1(n_3488),
+	.X(n_4439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788395 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [4]),
+	.C1(n_3489),
+	.X(n_4438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788396 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [4]),
+	.C1(n_3627),
+	.X(n_4437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788397 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[121] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [4]),
+	.C1(n_3494),
+	.X(n_4436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788398 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [4]),
+	.C1(n_3625),
+	.X(n_4435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788399 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [4]),
+	.C1(n_3501),
+	.X(n_4434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788400 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [4]),
+	.C1(n_3503),
+	.X(n_4433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788401 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [5]),
+	.C1(n_3507),
+	.X(n_4432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788402 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [5]),
+	.C1(n_3605),
+	.X(n_4431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788403 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [5]),
+	.C1(n_3749),
+	.X(n_4430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788404 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [5]),
+	.C1(n_3573),
+	.X(n_4429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788405 (
+	.A1(n_3153),
+	.A2(n_1943),
+	.B1(n_3385),
+	.X(n_4428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788406 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [5]),
+	.C1(n_3805),
+	.X(n_4427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788407 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[109] [5]),
+	.C1(n_3619),
+	.X(n_4426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788408 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [5]),
+	.C1(n_3618),
+	.X(n_4425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788409 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [5]),
+	.C1(n_3617),
+	.X(n_4424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788410 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [5]),
+	.C1(n_3616),
+	.X(n_4423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788411 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [5]),
+	.C1(n_3615),
+	.X(n_4422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788412 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [5]),
+	.C1(n_3651),
+	.X(n_4421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788413 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [5]),
+	.C1(n_3465),
+	.X(n_4420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788414 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [5]),
+	.C1(n_3506),
+	.X(n_4419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788415 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [5]),
+	.C1(n_3736),
+	.X(n_4418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788416 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [5]),
+	.C1(n_3499),
+	.X(n_4417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788417 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [5]),
+	.C1(n_3478),
+	.X(n_4416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788418 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [5]),
+	.C1(n_3614),
+	.X(n_4415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788419 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [5]),
+	.C1(n_3613),
+	.X(n_4414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788420 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [5]),
+	.C1(n_3656),
+	.X(n_4413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788421 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [5]),
+	.C1(n_3612),
+	.X(n_4412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788422 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [5]),
+	.C1(n_3611),
+	.X(n_4411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788423 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [5]),
+	.C1(n_3610),
+	.X(n_4410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788424 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [5]),
+	.C1(n_3609),
+	.X(n_4409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788425 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [5]),
+	.C1(n_3608),
+	.X(n_4408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788426 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [5]),
+	.C1(n_3607),
+	.X(n_4407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788427 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [5]),
+	.C1(n_3606),
+	.X(n_4406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788428 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[248] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [6]),
+	.C1(n_3604),
+	.X(n_4405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788429 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[200] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [6]),
+	.C1(n_3603),
+	.X(n_4404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788430 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[216] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [6]),
+	.C1(n_3695),
+	.X(n_4403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788431 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[192] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [6]),
+	.C1(n_3602),
+	.X(n_4402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788432 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[232] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [6]),
+	.C1(n_3601),
+	.X(n_4401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788433 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [6]),
+	.C1(n_3600),
+	.X(n_4400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788434 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[208] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [6]),
+	.C1(n_3466),
+	.X(n_4399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788435 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[224] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [6]),
+	.C1(n_3599),
+	.X(n_4398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788436 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [6]),
+	.C1(n_3598),
+	.X(n_4397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788437 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[112] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [6]),
+	.C1(n_3690),
+	.X(n_4396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788438 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [6]),
+	.C1(n_3472),
+	.X(n_4395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788439 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [6]),
+	.C1(n_3658),
+	.X(n_4394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788440 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [6]),
+	.C1(n_3677),
+	.X(n_4393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788441 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[88] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [6]),
+	.C1(n_3596),
+	.X(n_4392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788442 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[64] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [6]),
+	.C1(n_3595),
+	.X(n_4391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788443 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [6]),
+	.C1(n_3728),
+	.X(n_4390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788444 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[160] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [6]),
+	.C1(n_3737),
+	.X(n_4389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788445 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [6]),
+	.C1(n_3593),
+	.X(n_4388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788446 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[168] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [6]),
+	.C1(n_3591),
+	.X(n_4387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788447 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [6]),
+	.C1(n_3590),
+	.X(n_4386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788448 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [6]),
+	.C1(n_3724),
+	.X(n_4385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788449 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[128] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [6]),
+	.C1(n_3738),
+	.X(n_4384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788450 (
+	.A1(n_3131),
+	.A2(n_1945),
+	.B1(n_3419),
+	.X(n_4383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788451 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [5]),
+	.C1(n_3592),
+	.X(n_4382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788452 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[56] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [6]),
+	.C1(n_3566),
+	.X(n_4381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788453 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[8] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [6]),
+	.C1(n_3502),
+	.X(n_4380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788454 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [6]),
+	.C1(n_3587),
+	.X(n_4379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788455 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [6]),
+	.C1(n_3586),
+	.X(n_4378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788456 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[48] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [6]),
+	.C1(n_3585),
+	.X(n_4377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788457 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[24] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [6]),
+	.C1(n_3511),
+	.X(n_4376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788458 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[0] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [6]),
+	.C1(n_3584),
+	.X(n_4375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788459 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [7]),
+	.C1(n_3583),
+	.X(n_4374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788460 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [7]),
+	.C1(n_3582),
+	.X(n_4373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788461 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [7]),
+	.C1(n_3581),
+	.X(n_4372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788462 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[252] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[253] [7]),
+	.C1(n_3546),
+	.X(n_4371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788463 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [7]),
+	.C1(n_3470),
+	.X(n_4370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788464 (
+	.A1(n_3109),
+	.A2(n_1951),
+	.B1(n_3397),
+	.X(n_4369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788465 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[212] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[213] [7]),
+	.C1(n_3743),
+	.X(n_4368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788466 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[228] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[229] [7]),
+	.C1(n_3754),
+	.X(n_4367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788467 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [7]),
+	.C1(n_3473),
+	.X(n_4366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788468 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[108] [7]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [7]),
+	.C1(n_3580),
+	.X(n_4365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788469 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [7]),
+	.C1(n_3660),
+	.X(n_4364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788470 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [7]),
+	.C1(n_3579),
+	.X(n_4363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788471 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[124] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[125] [7]),
+	.C1(n_3485),
+	.X(n_4362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788472 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [7]),
+	.C1(n_3589),
+	.X(n_4361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788473 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [6]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [6]),
+	.C1(n_3588),
+	.X(n_4360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788474 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[84] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[85] [7]),
+	.C1(n_3578),
+	.X(n_4359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788475 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [7]),
+	.C1(n_3577),
+	.X(n_4358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788476 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[188] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[189] [7]),
+	.C1(n_3495),
+	.X(n_4357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788477 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[140] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[141] [7]),
+	.C1(n_3475),
+	.X(n_4356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788478 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[152] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [5]),
+	.C1(n_3622),
+	.X(n_4355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788479 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [7]),
+	.C1(n_3474),
+	.X(n_4354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788480 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[132] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[133] [7]),
+	.C1(n_3476),
+	.X(n_4353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788481 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[172] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[173] [7]),
+	.C1(n_3480),
+	.X(n_4352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788482 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[180] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[181] [7]),
+	.C1(n_3576),
+	.X(n_4351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788483 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [7]),
+	.C1(n_3575),
+	.X(n_4350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788484 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[164] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[165] [7]),
+	.C1(n_3559),
+	.X(n_4349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788485 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[12] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[13] [7]),
+	.C1(n_3484),
+	.X(n_4348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788486 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[44] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[45] [7]),
+	.C1(n_3574),
+	.X(n_4347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788487 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[52] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[53] [7]),
+	.C1(n_3487),
+	.X(n_4346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788488 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[20] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[21] [7]),
+	.C1(n_3572),
+	.X(n_4345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788489 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[36] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[37] [7]),
+	.C1(n_3571),
+	.X(n_4344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788490 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [7]),
+	.C1(n_3570),
+	.X(n_4343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g788491 (
+	.A1(n_3214),
+	.A2(n_2517),
+	.A3(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B1(soc_top_u_uart_u_uart_core_rx_buffer_size[8]),
+	.Y(n_4342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788492 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[92] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[93] [0]),
+	.C1(n_3713),
+	.X(n_4341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788493 (
+	.A1(n_3145),
+	.A2(n_1942),
+	.B1(n_3388),
+	.X(n_4340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788494 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[184] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [6]),
+	.C1(n_3594),
+	.X(n_4339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788495 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [0]),
+	.C1(n_3702),
+	.X(n_4338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788496 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[236] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[237] [0]),
+	.C1(n_3708),
+	.X(n_4337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788497 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[104] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [3]),
+	.C1(n_3552),
+	.X(n_4336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g788498 (
+	.A1(n_2854),
+	.A2(n_2880),
+	.B1(n_3427),
+	.X(n_4335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788499 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[148] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[149] [3]),
+	.C1(n_3545),
+	.X(n_4334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788500 (
+	.A1(n_3101),
+	.A2(n_1948),
+	.B1(n_3414),
+	.X(n_4333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788501 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[116] [5]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[117] [5]),
+	.C1(n_3620),
+	.X(n_4332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788502 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [0]),
+	.C1(n_3712),
+	.X(n_4331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788503 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[80] [3]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [3]),
+	.C1(n_3535),
+	.X(n_4330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788504 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[100] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[101] [3]),
+	.C1(n_3533),
+	.X(n_4329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788505 (
+	.A1(n_3169),
+	.A2(n_1931),
+	.B1(n_3394),
+	.X(n_4328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788506 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[196] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[197] [1]),
+	.C1(n_3530),
+	.X(n_4327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788507 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[76] [0]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[77] [0]),
+	.C1(n_3527),
+	.X(n_4326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788508 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[244] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[245] [3]),
+	.C1(n_3519),
+	.X(n_4325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788509 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[28] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[29] [1]),
+	.C1(n_3515),
+	.X(n_4324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788510 (
+	.A1(n_3151),
+	.A2(n_1961),
+	.B1(n_3384),
+	.X(n_4323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788511 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[220] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[221] [1]),
+	.C1(n_3513),
+	.X(n_4322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788512 (
+	.A1(n_3074),
+	.A2(n_1960),
+	.B1(n_3396),
+	.X(n_4321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788513 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[204] [1]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[205] [1]),
+	.C1(n_3508),
+	.X(n_4320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788514 (
+	.A1(n_3191),
+	.A2(n_1954),
+	.B1(n_3393),
+	.X(n_4319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788515 (
+	.A1(n_3165),
+	.A2(n_1947),
+	.B1(n_3420),
+	.X(n_4318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788516 (
+	.A1(n_3179),
+	.A2(n_1941),
+	.B1(n_3421),
+	.X(n_4317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788517 (
+	.A1(n_3092),
+	.A2(n_1940),
+	.B1(n_3412),
+	.X(n_4316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788518 (
+	.A1(n_3088),
+	.A2(n_1953),
+	.B1(n_3411),
+	.X(n_4315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788519 (
+	.A1(n_3129),
+	.A2(n_1939),
+	.B1(n_3410),
+	.X(n_4314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788520 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [4]),
+	.C1(n_3636),
+	.X(n_4313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788521 (
+	.A1(n_3133),
+	.A2(n_1938),
+	.B1(n_3386),
+	.X(n_4312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788522 (
+	.A1(n_3137),
+	.A2(n_1950),
+	.B1(n_3409),
+	.X(n_4311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788523 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [4]),
+	.C1(n_3624),
+	.X(n_4310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788524 (
+	.A1(n_3141),
+	.A2(n_1937),
+	.B1(n_3407),
+	.X(n_4309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788525 (
+	.A1(n_3193),
+	.A2(n_1936),
+	.B1(n_3406),
+	.X(n_4308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788526 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_3106),
+	.X(n_4307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788527 (
+	.A1(n_3149),
+	.A2(n_1935),
+	.B1(n_3401),
+	.X(n_4306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788528 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[72] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [4]),
+	.C1(n_3626),
+	.X(n_4305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788529 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_2943),
+	.X(n_4304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788530 (
+	.A1(n_3077),
+	.A2(n_1934),
+	.B1(n_3405),
+	.X(n_4303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788531 (
+	.A1(n_3155),
+	.A2(n_1933),
+	.B1(n_3404),
+	.X(n_4302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788532 (
+	.A1(n_3143),
+	.A2(n_1932),
+	.B1(n_3402),
+	.X(n_4301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788533 (
+	.A1(n_3086),
+	.A2(n_1962),
+	.B1(n_3400),
+	.X(n_4300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g788534 (
+	.A1(n_33048),
+	.A2(n_25209),
+	.B1(\soc_top_xbar_to_timer[a_address] [8]),
+	.X(n_4299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788535 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[68] [4]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[69] [4]),
+	.C1(n_3493),
+	.X(n_4298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788536 (
+	.A1(n_3127),
+	.A2(n_1958),
+	.B1(n_3399),
+	.X(n_4297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788537 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[4] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[5] [7]),
+	.C1(n_3569),
+	.X(n_4296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788538 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[240] [4]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [4]),
+	.C1(n_3628),
+	.X(n_4295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788539 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [4]),
+	.C1(n_3629),
+	.X(n_4294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788540 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_3120),
+	.X(n_4293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788541 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_3182),
+	.X(n_4292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788542 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [1]),
+	.B(n_3116),
+	.X(n_4291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788543 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[249] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [4]),
+	.C1(n_3631),
+	.X(n_4290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788544 (
+	.A(soc_top_u_top_u_core_fp_alu_op_mod),
+	.B(n_3433),
+	.Y(n_4289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788545 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[60] [7]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[61] [7]),
+	.C1(n_3483),
+	.X(n_4288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788546 (
+	.A(n_3078),
+	.B(n_1744),
+	.Y(n_4287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788547 (
+	.A1(n_2296),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[156] [3]),
+	.B1(n_30029),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[157] [3]),
+	.C1(n_3477),
+	.X(n_4286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788548 (
+	.A1(n_3123),
+	.A2(n_1952),
+	.B1(n_3395),
+	.X(n_4285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788549 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [5]),
+	.C1(n_3468),
+	.X(n_4284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788550 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[136] [5]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [5]),
+	.C1(n_3683),
+	.X(n_4283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788551 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[120] [6]),
+	.B1(n_2317),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [6]),
+	.C1(n_3647),
+	.X(n_4282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g788552 (
+	.A1(n_2409),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [13]),
+	.B1(n_2407),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [14]),
+	.C1(n_3463),
+	.Y(n_4281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788553 (
+	.A1(n_2399),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [3]),
+	.B1(n_2405),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [4]),
+	.C1(n_3748),
+	.X(n_4280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g788554 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [16]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [17]),
+	.C(\soc_top_u_top_u_core_fp_operands[2] [18]),
+	.D(n_2652),
+	.X(n_4279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g788555 (
+	.A_N(soc_top_u_pwm_pwm_core_DC_2[8]),
+	.B(n_2931),
+	.C(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.Y(n_4278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g788556 (
+	.A(n_2431),
+	.B(n_3376),
+	.C(n_3865),
+	.Y(n_4277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788557 (
+	.A(n_3099),
+	.B(n_1745),
+	.X(n_4276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788558 (
+	.A1(n_3430),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_4275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788559 (
+	.A1(n_1602),
+	.A2(n_3387),
+	.B1(n_3889),
+	.Y(n_4274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788560 (
+	.A1(n_1650),
+	.A2(n_3387),
+	.B1(n_3892),
+	.Y(n_4273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g788561 (
+	.A1(n_30144),
+	.A2(n_31670),
+	.B1(n_1905),
+	.C1(n_1884),
+	.D1(n_2617),
+	.Y(n_4272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g788562 (
+	.A1(n_1057),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B1(n_1894),
+	.C1(n_1903),
+	.D1(n_2601),
+	.Y(n_4271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788564 (
+	.A(n_3761),
+	.B(n_3868),
+	.Y(n_4269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788565 (
+	.A(n_1343),
+	.B(n_3072),
+	.X(n_4268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g788566 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.C(n_3990),
+	.X(n_4267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788567 (
+	.A(n_3075),
+	.B(n_1741),
+	.X(n_4266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788568 (
+	.A(n_1437),
+	.B(n_1739),
+	.Y(n_4265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788569 (
+	.A(n_3207),
+	.B(n_1743),
+	.X(n_4264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788570 (
+	.A1(n_1586),
+	.A2(n_1636),
+	.B1(n_1285),
+	.B2(n_27511),
+	.C1(n_3838),
+	.X(n_4263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788571 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.Y(n_4262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g788572 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.C(n_3820),
+	.D_N(soc_top_intr_req),
+	.Y(n_4261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788573 (
+	.A(n_1641),
+	.B(n_1742),
+	.X(n_4260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788574 (
+	.A(n_1637),
+	.B(n_3206),
+	.X(n_4259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788575 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.Y(n_4258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788576 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.Y(n_4257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788578 (
+	.A1(soc_top_u_top_u_core_pc_wb[1]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[1]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[1]),
+	.Y(n_4255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788579 (
+	.A1(soc_top_u_top_u_core_pc_wb[2]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[2]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[2]),
+	.Y(n_4254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788580 (
+	.A1(soc_top_u_top_u_core_pc_wb[3]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[3]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[3]),
+	.Y(n_4253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788581 (
+	.A1(soc_top_u_top_u_core_pc_wb[4]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[4]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[4]),
+	.Y(n_4252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788582 (
+	.A1(soc_top_u_top_u_core_pc_wb[5]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[5]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[5]),
+	.Y(n_4251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788583 (
+	.A1(soc_top_u_top_u_core_pc_wb[6]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[6]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[6]),
+	.Y(n_4250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788584 (
+	.A1(soc_top_u_top_u_core_pc_wb[7]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[7]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[7]),
+	.Y(n_4249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788585 (
+	.A1(soc_top_u_top_u_core_pc_wb[8]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[8]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[8]),
+	.Y(n_4248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788586 (
+	.A1(soc_top_u_top_u_core_pc_wb[10]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[10]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[10]),
+	.Y(n_4247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788587 (
+	.A1(soc_top_u_top_u_core_pc_wb[11]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[11]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[11]),
+	.Y(n_4246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788588 (
+	.A1(soc_top_u_top_u_core_pc_wb[12]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[12]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[12]),
+	.Y(n_4245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788589 (
+	.A1(soc_top_u_top_u_core_pc_wb[13]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[13]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[13]),
+	.Y(n_4244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788590 (
+	.A1(soc_top_u_top_u_core_pc_wb[14]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[14]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[14]),
+	.Y(n_4243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788591 (
+	.A1(soc_top_u_top_u_core_pc_wb[15]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[15]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[15]),
+	.Y(n_4242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788592 (
+	.A1(soc_top_u_top_u_core_pc_wb[16]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[16]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[16]),
+	.Y(n_4241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788593 (
+	.A1(soc_top_u_top_u_core_pc_wb[17]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[17]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[17]),
+	.Y(n_4240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788594 (
+	.A1(soc_top_u_top_u_core_pc_wb[18]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[18]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[18]),
+	.Y(n_4239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788595 (
+	.A1(soc_top_u_top_u_core_pc_wb[19]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[19]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[19]),
+	.Y(n_4238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788596 (
+	.A1(soc_top_u_top_u_core_pc_wb[20]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[20]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[20]),
+	.Y(n_4237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788597 (
+	.A1(soc_top_u_top_u_core_pc_wb[21]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[21]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[21]),
+	.Y(n_4236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788598 (
+	.A1(soc_top_u_top_u_core_pc_wb[22]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[22]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[22]),
+	.Y(n_4235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788599 (
+	.A1(soc_top_u_top_u_core_pc_wb[23]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[23]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[23]),
+	.Y(n_4234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788600 (
+	.A1(soc_top_u_top_u_core_pc_wb[24]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[24]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[24]),
+	.Y(n_4233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788601 (
+	.A1(soc_top_u_top_u_core_pc_wb[25]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[25]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[25]),
+	.Y(n_4232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788602 (
+	.A1(soc_top_u_top_u_core_pc_wb[26]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[26]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[26]),
+	.Y(n_4231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788603 (
+	.A1(soc_top_u_top_u_core_pc_wb[27]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[27]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[27]),
+	.Y(n_4230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788604 (
+	.A1(soc_top_u_top_u_core_pc_wb[28]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[28]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[28]),
+	.Y(n_4229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788605 (
+	.A1(soc_top_u_top_u_core_pc_wb[29]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[29]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[29]),
+	.Y(n_4228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788606 (
+	.A1(soc_top_u_top_u_core_pc_wb[30]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[30]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[30]),
+	.Y(n_4227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788607 (
+	.A1(soc_top_u_top_u_core_pc_wb[31]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[31]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[31]),
+	.Y(n_4226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g788608 (
+	.A1(n_25220),
+	.A2(n_33050),
+	.B1(n_25219),
+	.C1(n_2971),
+	.Y(n_4225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788609 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.Y(n_4224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788610 (
+	.A1(soc_top_u_top_u_core_pc_wb[9]),
+	.A2(n_2389),
+	.B1(soc_top_u_top_u_core_pc_if[9]),
+	.B2(n_2390),
+	.C1(n_3318),
+	.C2(soc_top_u_top_u_core_pc_id[9]),
+	.Y(n_4223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g788611 (
+	.A(n_3353),
+	.B(n_3352),
+	.C(\soc_top_intr_controller_reg2hw[prio30][q] [1]),
+	.X(n_4222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788612 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.B1(n_3752),
+	.Y(n_4221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788613 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.Y(n_4220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788614 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.Y(n_4219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788615 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.Y(n_4218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788616 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.Y(n_4217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788617 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.Y(n_4216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788618 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.Y(n_4215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788619 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.Y(n_4214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g788620 (
+	.A(n_3353),
+	.B(n_3347),
+	.C(\soc_top_intr_controller_reg2hw[prio18][q] [1]),
+	.Y(n_4213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788621 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.Y(n_4212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788622 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_2942),
+	.X(n_4211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g788623 (
+	.A0(n_25430),
+	.A1(n_2574),
+	.S(soc_top_u_top_u_core_id_in_ready),
+	.Y(n_4210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788624 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.Y(n_4209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788625 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.Y(n_4208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g788626 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6776),
+	.C(n_3820),
+	.D_N(soc_top_intr_timer),
+	.Y(n_4207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g788627 (
+	.A(n_3418),
+	.B(n_2815),
+	.C(n_3379),
+	.D(n_3373),
+	.Y(n_4206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g788628 (
+	.A(n_3356),
+	.B(n_3352),
+	.C(\soc_top_intr_controller_reg2hw[prio28][q] [0]),
+	.Y(n_4205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788629 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.Y(n_4204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788630 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.Y(n_4203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788631 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.Y(n_4202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788632 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.Y(n_4201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788633 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.Y(n_4200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g788634 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [4]),
+	.B(n_2612),
+	.C(n_1581),
+	.Y(n_4199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788635 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [8]),
+	.A2(n_2414),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [11]),
+	.B2(n_2399),
+	.C1(n_2405),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [12]),
+	.Y(n_4198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788636 (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.A2(n_2383),
+	.B1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B2(n_1575),
+	.C1(n_1264),
+	.C2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.Y(n_4197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g788637 (
+	.A(n_3862),
+	.B(n_2662),
+	.C(n_25431),
+	.Y(n_4196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788638 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [24]),
+	.A2(n_2414),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [29]),
+	.B2(n_2409),
+	.C1(n_2405),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [28]),
+	.Y(n_4195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788639 (
+	.A1(\soc_top_u_top_u_core_imd_val_q_ex[1] [22]),
+	.A2(n_2407),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [17]),
+	.B2(n_2402),
+	.C1(n_2409),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [21]),
+	.Y(n_4194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g788641 (
+	.A_N(n_3441),
+	.B(n_25606),
+	.C(n_3358),
+	.Y(n_4192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788642 (
+	.A1(soc_top_u_pwm_pwm_core_divisor_2[0]),
+	.A2(n_2012),
+	.B1(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.B2(n_925),
+	.Y(n_4191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788643 (
+	.A1(n_1830),
+	.A2(n_1921),
+	.B1(\soc_top_pwm_to_xbar[d_data] [0]),
+	.B2(n_1922),
+	.C1(n_3327),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.Y(n_4190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788644 (
+	.A1(n_1829),
+	.A2(n_1921),
+	.B1(\soc_top_pwm_to_xbar[d_data] [2]),
+	.B2(n_1922),
+	.C1(n_3327),
+	.C2(soc_top_u_pwm_pwm_core_DC_1[2]),
+	.Y(n_4189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788645 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[15]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.Y(n_4188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788646 (
+	.A1(n_3323),
+	.A2(io_out[24]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [0]),
+	.X(n_4187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g788647 (
+	.A(n_3345),
+	.B(n_2415),
+	.C(n_4049),
+	.Y(n_4186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788648 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[14]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.Y(n_4185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788649 (
+	.A1(n_2645),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.B1(n_1285),
+	.B2(n_27512),
+	.C1(n_2756),
+	.X(n_4184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g788650 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.B(n_3990),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.Y(n_4183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788651 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[13]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[13]),
+	.Y(n_4182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g788652 (
+	.A(n_1882),
+	.B(n_1881),
+	.C(n_1879),
+	.D(n_1968),
+	.X(n_4181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g788653 (
+	.A(n_66054),
+	.B(n_4005),
+	.C_N(\soc_top_intr_controller_reg2hw[prio29][q] [1]),
+	.Y(n_4180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788654 (
+	.A1(n_1651),
+	.A2(n_3387),
+	.B1(n_3888),
+	.Y(n_4179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788655 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.A2(n_1268),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.B2(n_1286),
+	.C1(n_1580),
+	.C2(n_2848),
+	.Y(n_4178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g788656 (
+	.A(n_1906),
+	.B(n_1897),
+	.C(n_73095),
+	.D(n_1902),
+	.Y(n_4177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g788657 (
+	.A1(n_67255),
+	.A2(n_2577),
+	.B1(n_1589),
+	.C1(n_2521),
+	.Y(n_4176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g788658 (
+	.A1(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.A2(n_2095),
+	.B1(n_1696),
+	.B2(n_1679),
+	.C1(n_25212),
+	.C2(n_2928),
+	.Y(n_4175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g788659 (
+	.A(n_3022),
+	.B(n_2651),
+	.C(n_1807),
+	.Y(n_4174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788660 (
+	.A1(soc_top_u_pwm_pwm_core_divisor[0]),
+	.A2(n_2009),
+	.B1(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B2(n_874),
+	.Y(n_4173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788661 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[13]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.Y(n_4172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788662 (
+	.A1(n_2479),
+	.A2(n_25225),
+	.B1(n_2671),
+	.B2(n_25203),
+	.Y(n_4171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788663 (
+	.A1(n_2479),
+	.A2(n_25293),
+	.B1(n_2670),
+	.B2(n_25203),
+	.Y(n_4170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 g788664 (
+	.A1(n_157),
+	.A2(soc_top_u_pwm_pwm_core_period_2[5]),
+	.A3(n_2004),
+	.B1(n_1625),
+	.B2(soc_top_u_pwm_pwm_core_period_2[6]),
+	.X(n_4169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788665 (
+	.A1(n_1638),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.B1(n_4031),
+	.X(n_4168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g788666 (
+	.A1(n_2493),
+	.A2(n_3359),
+	.B1(n_2520),
+	.B2(n_61102),
+	.C1(n_2755),
+	.Y(n_4167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788667 (
+	.A1(n_160),
+	.A2(n_3442),
+	.B1(n_164),
+	.B2(soc_top_u_pwm_pwm_core_period_2[11]),
+	.Y(n_4166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788668 (
+	.A1(n_3362),
+	.A2(\soc_top_intr_controller_reg2hw[prio7][q] [1]),
+	.B1(n_3356),
+	.B2(\soc_top_intr_controller_reg2hw[prio4][q] [1]),
+	.Y(n_4165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g788669 (
+	.A1(n_2411),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [31]),
+	.A3(n_1305),
+	.B1(n_3368),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.Y(n_4164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788670 (
+	.A1(n_3323),
+	.A2(io_out[25]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [1]),
+	.X(n_4163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788671 (
+	.A1(n_3323),
+	.A2(soc_top_GPIO_cio_gpio_q[18]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [2]),
+	.X(n_4162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788672 (
+	.A1(n_30037),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.B1(n_775),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.X(n_4161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788673 (
+	.A1(n_3323),
+	.A2(gpio_o[21]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [5]),
+	.X(n_4160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788674 (
+	.A1(n_3323),
+	.A2(io_out[30]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [6]),
+	.X(n_4159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788675 (
+	.A1(n_3323),
+	.A2(io_out[31]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [7]),
+	.X(n_4158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788676 (
+	.A1(n_3323),
+	.A2(io_out[32]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [8]),
+	.X(n_4157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788677 (
+	.A1(n_30037),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B1(n_775),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.X(n_4156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788678 (
+	.A1(n_3323),
+	.A2(io_out[35]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [11]),
+	.X(n_4155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788679 (
+	.A1(n_30037),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.B1(n_775),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.Y(n_4154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788680 (
+	.A1(n_3323),
+	.A2(io_out[36]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [12]),
+	.X(n_4153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788681 (
+	.A1(n_30037),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B1(n_775),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.X(n_4152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788682 (
+	.A1(n_3323),
+	.A2(io_out[37]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [13]),
+	.X(n_4151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788683 (
+	.A1(n_3378),
+	.A2(\soc_top_intr_controller_reg2hw[prio35][q] [1]),
+	.B1(n_3374),
+	.B2(\soc_top_intr_controller_reg2hw[prio34][q] [1]),
+	.X(n_4150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788684 (
+	.A1(n_3323),
+	.A2(gpio_o[31]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [15]),
+	.X(n_4149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788685 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [16]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[16]),
+	.Y(n_4148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788686 (
+	.A1(n_3321),
+	.A2(io_out[24]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[16]),
+	.Y(n_4147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788687 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [16]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.X(n_4146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788688 (
+	.A1(n_3321),
+	.A2(io_out[25]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[17]),
+	.Y(n_4145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788689 (
+	.A1(n_3323),
+	.A2(gpio_o[20]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [4]),
+	.X(n_4144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788690 (
+	.A1(n_2765),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[19]),
+	.X(n_4143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788691 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [19]),
+	.B1(n_3315),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [19]),
+	.Y(n_4142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788692 (
+	.A1(n_3321),
+	.A2(gpio_o[19]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[19]),
+	.Y(n_4141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788693 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.X(n_4140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788694 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [20]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.Y(n_4139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788695 (
+	.A1(n_2765),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[21]),
+	.X(n_4138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788696 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.Y(n_4137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788697 (
+	.A1(n_3321),
+	.A2(gpio_o[21]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[21]),
+	.Y(n_4136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788698 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [22]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[22]),
+	.Y(n_4135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788699 (
+	.A1(n_3321),
+	.A2(io_out[30]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[22]),
+	.Y(n_4134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788700 (
+	.A1(n_3321),
+	.A2(io_out[31]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[23]),
+	.Y(n_4133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788701 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [23]),
+	.B1(n_3315),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [23]),
+	.X(n_4132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788702 (
+	.A1(n_2765),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[23]),
+	.Y(n_4131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788703 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [24]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.Y(n_4130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788704 (
+	.A1(n_3321),
+	.A2(io_out[32]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[24]),
+	.Y(n_4129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788705 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [25]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.X(n_4128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788706 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.Y(n_4127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788707 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [26]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.Y(n_4126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788708 (
+	.A1(n_3321),
+	.A2(gpio_o[26]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[26]),
+	.Y(n_4125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788709 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.X(n_4124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788710 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.X(n_4123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788711 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [27]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.Y(n_4122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788712 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.X(n_4121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788713 (
+	.A1(n_3321),
+	.A2(io_out[36]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[28]),
+	.Y(n_4120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788714 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.X(n_4119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788715 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [29]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.Y(n_4118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788716 (
+	.A1(n_3321),
+	.A2(io_out[37]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[29]),
+	.Y(n_4117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788717 (
+	.A1(n_2765),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[30]),
+	.X(n_4116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788718 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.Y(n_4115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g788719 (
+	.A1_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_count_q ),
+	.A2_N(n_1255),
+	.B1(n_67810),
+	.B2(n_2643),
+	.Y(n_4114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788720 (
+	.A1(n_3321),
+	.A2(gpio_o[30]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[30]),
+	.Y(n_4113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788721 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.X(n_4112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788722 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [31]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.Y(n_4111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788723 (
+	.A1(n_3321),
+	.A2(gpio_o[31]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[31]),
+	.Y(n_4110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788724 (
+	.A1(n_3323),
+	.A2(gpio_o[19]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [3]),
+	.X(n_4109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788725 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.Y(n_4108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788726 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [28]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.Y(n_4107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788727 (
+	.A1(n_3321),
+	.A2(io_out[35]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[27]),
+	.Y(n_4106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788728 (
+	.A1(n_3352),
+	.A2(\soc_top_intr_controller_reg2hw[prio31][q] [0]),
+	.B1(n_2394),
+	.B2(\soc_top_intr_controller_reg2hw[prio7][q] [0]),
+	.Y(n_4105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788729 (
+	.A1(n_3325),
+	.A2(soc_top_u_pwm_pwm_core_period_2[2]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.Y(n_4104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788730 (
+	.A1(n_3321),
+	.A2(gpio_o[25]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[25]),
+	.Y(n_4103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788731 (
+	.A1(n_3315),
+	.A2(\soc_top_GPIO_reg2hw[intr_state][q] [24]),
+	.B1(n_2767),
+	.B2(soc_top_GPIO_u_reg_data_in_qs[24]),
+	.X(n_4102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788732 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [22]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.X(n_4101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788733 (
+	.A1(n_3378),
+	.A2(\soc_top_intr_controller_reg2hw[prio35][q] [0]),
+	.B1(n_3376),
+	.B2(\soc_top_intr_controller_reg2hw[threshold0][q] [0]),
+	.X(n_4100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788734 (
+	.A1(n_3362),
+	.A2(\soc_top_intr_controller_reg2hw[prio31][q] [1]),
+	.B1(n_3356),
+	.B2(\soc_top_intr_controller_reg2hw[prio28][q] [1]),
+	.Y(n_4099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788735 (
+	.A1(n_3349),
+	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [0]),
+	.B1(n_3345),
+	.B2(\soc_top_intr_controller_reg2hw[prio22][q] [0]),
+	.X(n_4098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788736 (
+	.A1(n_3321),
+	.A2(gpio_o[20]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[20]),
+	.Y(n_4097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788737 (
+	.A1(n_2766),
+	.A2(soc_top_GPIO_u_reg_ctrl_en_input_filter_qs[18]),
+	.B1(n_3315),
+	.B2(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.Y(n_4096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788738 (
+	.A1(n_3321),
+	.A2(soc_top_GPIO_cio_gpio_q[18]),
+	.B1(n_2800),
+	.B2(soc_top_GPIO_cio_gpio_en_q[18]),
+	.Y(n_4095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788739 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [18]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [18]),
+	.Y(n_4094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788740 (
+	.A1(n_3316),
+	.A2(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.B1(n_3314),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.Y(n_4093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788741 (
+	.A1(n_2766),
+	.A2(\soc_top_GPIO_reg2hw[ctrl_en_input_filter][q] [17]),
+	.B1(n_2765),
+	.B2(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.X(n_4092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788742 (
+	.A1(n_30037),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B1(n_775),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.Y(n_4091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788743 (
+	.A1(n_3323),
+	.A2(gpio_o[30]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [14]),
+	.X(n_4090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788744 (
+	.A1(n_1415),
+	.A2(n_2010),
+	.B1(n_1664),
+	.B2(n_2011),
+	.Y(n_4089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g788745 (
+	.A1_N(n_1378),
+	.A2_N(n_3455),
+	.B1(n_3456),
+	.B2(n_2013),
+	.Y(n_4088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g788746 (
+	.A1_N(n_3456),
+	.A2_N(n_2013),
+	.B1(n_1365),
+	.B2(n_1671),
+	.Y(n_4087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788747 (
+	.A1(n_3323),
+	.A2(gpio_o[26]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [10]),
+	.X(n_4086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788748 (
+	.A1(n_3323),
+	.A2(gpio_o[25]),
+	.B1(n_2365),
+	.B2(\soc_top_gpio_to_xbarp[d_data] [9]),
+	.X(n_4085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g788749 (
+	.A1(n_3349),
+	.A2(\soc_top_intr_controller_reg2hw[prio26][q] [1]),
+	.B1(n_3345),
+	.B2(\soc_top_intr_controller_reg2hw[prio22][q] [1]),
+	.X(n_4084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788750 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.Y(n_4083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788751 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[0]),
+	.B1(n_3326),
+	.B2(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.Y(n_4082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788752 (
+	.A1(n_3326),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.Y(n_4081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788753 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B1(n_3325),
+	.B2(soc_top_u_pwm_pwm_core_period_2[1]),
+	.Y(n_4080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788754 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[3]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.Y(n_4079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788755 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[3]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[3]),
+	.Y(n_4078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788756 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.Y(n_4077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788757 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[4]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.Y(n_4076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788758 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[5]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.Y(n_4075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788759 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[5]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.Y(n_4074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788760 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.Y(n_4073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788761 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[6]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.Y(n_4072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788762 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[7]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.Y(n_4071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788763 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[11]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.Y(n_4070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788764 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[7]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.Y(n_4069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788765 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.Y(n_4068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788766 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[8]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[8]),
+	.Y(n_4067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788767 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[9]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.Y(n_4066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788768 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[9]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.Y(n_4065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788769 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.Y(n_4064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788770 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[10]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.Y(n_4063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788771 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.Y(n_4062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788772 (
+	.A1(n_3322),
+	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
+	.B1(n_3328),
+	.B2(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.Y(n_4061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g788773 (
+	.A1(n_2801),
+	.A2(soc_top_u_pwm_pwm_core_period[12]),
+	.B1(n_3327),
+	.B2(soc_top_u_pwm_pwm_core_DC_1[12]),
+	.Y(n_4060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788774 (
+	.A(soc_top_u_pwm_pwm_core_divisor[7]),
+	.B(n_1355),
+	.Y(n_4516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g788775 (
+	.A0(\soc_top_u_top_u_core_imd_val_q_ex[0] [0]),
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.S(n_2802),
+	.Y(n_4515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788776 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.B(n_1358),
+	.Y(n_4514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g788777 (
+	.A0(n_2715),
+	.A1(n_2619),
+	.S(n_1595),
+	.Y(n_4513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g788778 (
+	.A(n_1589),
+	.B(n_1593),
+	.C(n_2854),
+	.X(n_4512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788779 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.B(n_3065),
+	.Y(n_4511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g788780 (
+	.A(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B(n_1366),
+	.Y(n_4510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788781 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B(n_1352),
+	.X(n_4509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788782 (
+	.A1(n_25214),
+	.A2(n_544),
+	.B1(n_3815),
+	.Y(n_4507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g788783 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.A2(n_2620),
+	.B1(n_210),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.Y(n_4506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g788784 (
+	.A_N(n_27444),
+	.B(n_3358),
+	.C(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.D(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_4505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788785 (
+	.A1(n_25218),
+	.A2(n_544),
+	.B1(n_3815),
+	.Y(n_4503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g788786 (
+	.A_N(soc_top_u_top_u_core_csr_addr[6]),
+	.B(n_2595),
+	.C(n_2467),
+	.D(n_25175),
+	.X(n_4502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g788787 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[5]),
+	.B(n_3413),
+	.C(n_29691),
+	.Y(n_4501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g788788 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [5]),
+	.B(n_3977),
+	.C(n_25),
+	.X(n_4500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g788789 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.A2(n_1992),
+	.B1(n_61),
+	.B2(n_1271),
+	.C1(n_2073),
+	.X(n_4499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g788790 (
+	.A(n_1344),
+	.B(n_27095),
+	.X(n_4498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g788791 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.B(n_3999),
+	.C(n_1600),
+	.X(n_4496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788793 (
+	.A1(n_3415),
+	.A2(n_31),
+	.B1(n_3321),
+	.X(n_4493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788794 (
+	.A1(n_25201),
+	.A2(n_544),
+	.B1(n_3815),
+	.X(n_4492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788796 (
+	.A1(n_25210),
+	.A2(n_544),
+	.B1(n_3815),
+	.X(n_4490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788797 (
+	.A1(n_25212),
+	.A2(n_544),
+	.B1(n_3815),
+	.X(n_4489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788798 (
+	.A1(n_25199),
+	.A2(n_544),
+	.B1(n_3815),
+	.X(n_4488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g788799 (
+	.A1(n_25211),
+	.A2(n_544),
+	.B1(n_3815),
+	.X(n_4487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g788800 (
+	.A_N(n_4000),
+	.B(n_1880),
+	.X(n_4486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g788801 (
+	.A(n_3413),
+	.B(n_1667),
+	.C(FE_DBTN74_n_29691),
+	.X(n_4485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g788802 (
+	.A(n_3413),
+	.B(n_1666),
+	.C(FE_DBTN74_n_29691),
+	.X(n_4483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g788803 (
+	.A(n_3819),
+	.B(n_2508),
+	.C(n_61002),
+	.X(n_4482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788804 (
+	.A(n_4053),
+	.Y(n_4054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788805 (
+	.A(n_4028),
+	.Y(n_4027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788808 (
+	.A(n_4005),
+	.Y(n_4004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788809 (
+	.A(n_30737),
+	.Y(n_4001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788810 (
+	.A(n_3999),
+	.Y(n_4000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788811 (
+	.A(n_3997),
+	.Y(n_3996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788812 (
+	.A(n_3995),
+	.Y(n_3994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788813 (
+	.A(n_3993),
+	.Y(n_3992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788814 (
+	.A(n_3989),
+	.Y(n_3988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788815 (
+	.A(n_3987),
+	.Y(n_3986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788816 (
+	.A(n_3985),
+	.Y(n_3984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788817 (
+	.A(n_3983),
+	.Y(n_3982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788818 (
+	.A(n_3981),
+	.Y(n_3980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788819 (
+	.A(n_3979),
+	.Y(n_3978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788820 (
+	.A(n_3971),
+	.Y(n_3970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788821 (
+	.A(n_3967),
+	.Y(n_3966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788822 (
+	.A(n_3965),
+	.Y(n_3964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788823 (
+	.A(n_3954),
+	.Y(n_3953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788824 (
+	.A(n_3952),
+	.Y(n_3951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788825 (
+	.A(n_3950),
+	.Y(n_3949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788826 (
+	.A(n_3948),
+	.Y(n_3947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788827 (
+	.A(n_3946),
+	.Y(n_3945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788828 (
+	.A(n_3944),
+	.Y(n_3943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788829 (
+	.A(n_30001),
+	.Y(n_3941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g788830 (
+	.A(n_3940),
+	.Y(n_3939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788831 (
+	.A(n_3938),
+	.Y(n_3937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788832 (
+	.A(n_3936),
+	.Y(n_3935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788833 (
+	.A(n_3934),
+	.Y(n_3933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788834 (
+	.A(n_3932),
+	.Y(n_3931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g788837 (
+	.A(n_3920),
+	.Y(n_3919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g788838 (
+	.A(n_3917),
+	.Y(n_3918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g788839 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_1597),
+	.COUT(n_3913),
+	.SUM(n_3914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788841 (
+	.A1(n_2018),
+	.A2(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.B1_N(n_1950),
+	.Y(n_3912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788847 (
+	.A1(n_1978),
+	.A2(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.B1_N(n_1938),
+	.Y(n_3911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788874 (
+	.A1(n_1974),
+	.A2(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.B1_N(n_1939),
+	.Y(n_3910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788875 (
+	.A1(n_1999),
+	.A2(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.B1_N(n_1953),
+	.Y(n_3909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788876 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[26]),
+	.B1(n_29946),
+	.B2(n_29243),
+	.C1(n_2734),
+	.X(n_3908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788877 (
+	.A1(n_1986),
+	.A2(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.B1_N(n_1940),
+	.Y(n_3907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788878 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[27]),
+	.B1(n_29946),
+	.B2(n_29244),
+	.C1(n_2555),
+	.X(n_3906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788879 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[28]),
+	.B1(n_29946),
+	.B2(n_29245),
+	.C1(n_2554),
+	.X(n_3905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788880 (
+	.A1(n_1981),
+	.A2(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.B1_N(n_1941),
+	.Y(n_3904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788881 (
+	.A1(n_1982),
+	.A2(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.B1_N(n_1947),
+	.Y(n_3903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788882 (
+	.A1(n_1973),
+	.A2(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.B1_N(n_1954),
+	.Y(n_3902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788883 (
+	.A1(n_2367),
+	.A2(soc_top_u_top_u_core_csr_mstatus_mie),
+	.B1(soc_top_u_top_u_core_csr_restore_mret_id),
+	.Y(n_3901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788884 (
+	.A1(n_1997),
+	.A2(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.B1_N(n_1931),
+	.Y(n_3900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788885 (
+	.A1(n_2527),
+	.A2(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.B1_N(n_1943),
+	.Y(n_3899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788886 (
+	.A1(n_1971),
+	.A2(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.B1_N(n_1944),
+	.Y(n_3898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788887 (
+	.A1(n_1976),
+	.A2(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.B1_N(n_1961),
+	.Y(n_3897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788888 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[30]),
+	.B1(n_29946),
+	.B2(n_29247),
+	.C1(n_2733),
+	.X(n_3896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788889 (
+	.A1(n_1998),
+	.A2(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.B1_N(n_1946),
+	.Y(n_3895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788890 (
+	.A1(n_2000),
+	.A2(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.B1_N(n_1942),
+	.Y(n_3894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788891 (
+	.A1(soc_top_u_top_u_core_id_stage_i_mult_en_dec),
+	.A2(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.B1(n_3358),
+	.Y(n_3893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788892 (
+	.A1(n_1650),
+	.A2(n_2454),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[2] [11]),
+	.Y(n_3892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788893 (
+	.A1(n_1972),
+	.A2(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.B1_N(n_1949),
+	.Y(n_3891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788894 (
+	.A1(n_2524),
+	.A2(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.B1_N(n_1960),
+	.Y(n_3890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788895 (
+	.A1(n_1602),
+	.A2(n_2454),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[1] [11]),
+	.Y(n_3889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788896 (
+	.A1(n_1651),
+	.A2(n_2454),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_3888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788898 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[31]),
+	.B1(n_29946),
+	.B2(n_29248),
+	.C1(n_2630),
+	.X(n_3886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788899 (
+	.A1(n_1988),
+	.A2(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.B1_N(n_1945),
+	.Y(n_3885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788900 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[25]),
+	.B1(n_29946),
+	.B2(n_29242),
+	.C1(n_2735),
+	.X(n_3884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788901 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[24]),
+	.B1(n_29946),
+	.B2(n_29241),
+	.C1(n_2736),
+	.X(n_3883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788902 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[23]),
+	.B1(n_29946),
+	.B2(n_29240),
+	.C1(n_2559),
+	.X(n_3882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788903 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[22]),
+	.B1(n_29946),
+	.B2(n_29239),
+	.C1(n_2744),
+	.X(n_3881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788904 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[21]),
+	.B1(n_29946),
+	.B2(n_29238),
+	.C1(n_2561),
+	.X(n_3880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788906 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[20]),
+	.B1(n_29946),
+	.B2(n_29237),
+	.C1(n_2562),
+	.X(n_3879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788907 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[19]),
+	.B1(n_29946),
+	.B2(n_29236),
+	.C1(n_2563),
+	.X(n_3878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788908 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[18]),
+	.B1(n_29946),
+	.B2(n_29235),
+	.C1(n_2564),
+	.X(n_3877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788909 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[17]),
+	.B1(n_29946),
+	.B2(n_29234),
+	.C1(n_2737),
+	.X(n_3876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788910 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[16]),
+	.B1(n_29946),
+	.B2(n_29233),
+	.C1(n_2565),
+	.X(n_3875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788911 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[15]),
+	.B1(n_29946),
+	.B2(n_29232),
+	.C1(n_2566),
+	.X(n_3874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788912 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[14]),
+	.B1(n_29946),
+	.B2(n_29231),
+	.C1(n_2738),
+	.X(n_3873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788913 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[13]),
+	.B1(n_29946),
+	.B2(n_29230),
+	.C1(n_2567),
+	.X(n_3872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788914 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[12]),
+	.B1(n_29946),
+	.B2(n_29229),
+	.C1(n_2740),
+	.X(n_3871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g788915 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[10]),
+	.B1(n_29946),
+	.B2(n_29227),
+	.C1(n_2568),
+	.X(n_3870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788916 (
+	.A1(n_1630),
+	.A2(n_2454),
+	.B1(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.storage[3] [11]),
+	.Y(n_3869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788917 (
+	.A(n_2938),
+	.B(n_67858),
+	.Y(n_3868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g788918 (
+	.A1(n_1381),
+	.A2(n_1411),
+	.A3(n_1179),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.Y(n_3867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788919 (
+	.A(n_33053),
+	.B(n_2586),
+	.Y(n_3866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g788920 (
+	.A1(n_25226),
+	.A2(n_66054),
+	.B1_N(n_3375),
+	.Y(n_3865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g788921 (
+	.A1(n_25415),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_lsu_err_q),
+	.B1(n_2039),
+	.C1(n_25429),
+	.Y(n_3864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g788922 (
+	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.A2(n_168),
+	.B1(n_1669),
+	.C1(n_2932),
+	.Y(n_3863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g788923 (
+	.A1(n_25435),
+	.A2(n_1132),
+	.A3(n_66206),
+	.B1(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.Y(n_3862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788924 (
+	.A(n_25275),
+	.B_N(n_3375),
+	.Y(n_3861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788925 (
+	.A(n_3367),
+	.B(n_2803),
+	.Y(n_3860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788926 (
+	.A1(n_2451),
+	.A2(n_1204),
+	.B1(soc_top_u_top_u_core_fp_flush),
+	.Y(n_3859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788932 (
+	.A(n_2801),
+	.B(soc_top_u_pwm_pwm_core_period[1]),
+	.Y(n_3853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788935 (
+	.A(n_1362),
+	.B(n_3443),
+	.Y(n_3850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788936 (
+	.A(n_2014),
+	.B(n_3448),
+	.Y(n_3849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788937 (
+	.A(n_3453),
+	.B(n_1654),
+	.Y(n_3848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788938 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.B_N(n_2012),
+	.Y(n_3847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788939 (
+	.A(n_1633),
+	.B(n_3449),
+	.Y(n_3846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788940 (
+	.A(n_2006),
+	.B(n_3458),
+	.Y(n_3845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788941 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.B_N(n_2009),
+	.Y(n_3844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788943 (
+	.A(n_3364),
+	.B(\soc_top_intr_controller_reg2hw[prio11][q] [1]),
+	.Y(n_3842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g788944 (
+	.A1(n_1426),
+	.A2(n_2025),
+	.B1(n_2518),
+	.Y(n_3841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788945 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.B(n_2585),
+	.Y(n_3840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g788947 (
+	.A1(n_2397),
+	.A2(n_1182),
+	.B1_N(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.Y(n_3838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788948 (
+	.A(n_3381),
+	.B(\soc_top_intr_controller_reg2hw[prio1][q] [1]),
+	.Y(n_3837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788949 (
+	.A(n_3364),
+	.B(\soc_top_intr_controller_reg2hw[prio11][q] [0]),
+	.Y(n_3836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788950 (
+	.A(n_3359),
+	.B(n_2520),
+	.Y(n_3835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g788952 (
+	.A1(n_2448),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Y(n_4059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g788981 (
+	.A(n_3354),
+	.B(n_1354),
+	.Y(n_4058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788983 (
+	.A(soc_top_u_top_u_core_csr_restore_mret_id),
+	.B_N(n_2873),
+	.Y(n_4057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g788987 (
+	.A(n_3383),
+	.B(n_66044),
+	.Y(n_4056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g788990 (
+	.A(n_2880),
+	.B_N(n_2884),
+	.Y(n_4055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789013 (
+	.A(n_2675),
+	.B(n_1269),
+	.Y(n_4053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789014 (
+	.A(n_3360),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.Y(n_4052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789016 (
+	.A(n_3354),
+	.B_N(n_1652),
+	.Y(n_4051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789017 (
+	.A(n_3375),
+	.B(n_1289),
+	.Y(n_4050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789018 (
+	.A(n_3351),
+	.B(n_2395),
+	.Y(n_4049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789019 (
+	.A(n_2680),
+	.B(n_3438),
+	.Y(n_4048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789021 (
+	.A(n_3446),
+	.B(n_1924),
+	.X(n_4047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789027 (
+	.A(n_2537),
+	.B(n_2605),
+	.Y(n_4046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789028 (
+	.A(n_2607),
+	.B(n_2538),
+	.X(n_4045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g789030 (
+	.A1(n_714),
+	.A2(n_1274),
+	.B1(n_2047),
+	.C1(n_2074),
+	.D1(n_2054),
+	.X(n_4044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789031 (
+	.A(n_2541),
+	.B(n_2542),
+	.Y(n_4043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789032 (
+	.A(n_2544),
+	.B(n_2723),
+	.Y(n_4042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789033 (
+	.A(n_2629),
+	.B(n_2549),
+	.X(n_4041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789044 (
+	.A(n_2631),
+	.B(n_2553),
+	.X(n_4040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789046 (
+	.A_N(n_3436),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.Y(n_4039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789053 (
+	.A_N(n_3427),
+	.B(n_2880),
+	.Y(n_4038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789061 (
+	.A(n_2634),
+	.B(n_2560),
+	.X(n_4037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789062 (
+	.A_N(n_3432),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.Y(n_4036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789065 (
+	.A(n_25214),
+	.B(n_2808),
+	.Y(n_4035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789066 (
+	.A(n_25218),
+	.B(n_2808),
+	.Y(n_4034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789069 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.B_N(n_3430),
+	.Y(n_4033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789072 (
+	.A(n_156),
+	.B(n_3431),
+	.Y(n_4032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789081 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[2]),
+	.B(n_1638),
+	.Y(n_4031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789084 (
+	.A(n_30756),
+	.B(\soc_top_xbar_to_timer[a_mask] [0]),
+	.Y(n_4030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789090 (
+	.A(n_1350),
+	.B(n_3355),
+	.Y(n_4028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789097 (
+	.A(n_25225),
+	.B(n_3346),
+	.Y(n_4026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789098 (
+	.A(n_2746),
+	.B(n_2633),
+	.Y(n_4025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789104 (
+	.A(n_2545),
+	.B(n_2546),
+	.Y(n_4021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789105 (
+	.A(n_2536),
+	.B(n_2600),
+	.X(n_4020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789107 (
+	.A(n_2637),
+	.B(n_2543),
+	.X(n_4019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789108 (
+	.A1(n_711),
+	.A2(n_1271),
+	.B1(n_44),
+	.B2(n_1274),
+	.C1(n_2636),
+	.X(n_4018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789109 (
+	.A1(n_710),
+	.A2(n_1271),
+	.B1(n_78),
+	.B2(n_1274),
+	.C1(n_2742),
+	.X(n_4017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789110 (
+	.A(n_2592),
+	.B(n_2550),
+	.Y(n_4016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789111 (
+	.A(n_2552),
+	.B(n_2551),
+	.X(n_4015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789112 (
+	.A(n_25225),
+	.B(n_3423),
+	.Y(n_4014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789113 (
+	.A(n_2556),
+	.B(n_2557),
+	.Y(n_4013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789114 (
+	.A(n_2632),
+	.B(n_2558),
+	.Y(n_4012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789118 (
+	.A1(n_744),
+	.A2(n_1271),
+	.B1(n_77),
+	.B2(n_1274),
+	.C1(n_2569),
+	.X(n_4011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789122 (
+	.A(n_97),
+	.B(n_30022),
+	.Y(n_4010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789123 (
+	.A(n_3360),
+	.B(n_544),
+	.Y(n_4009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789125 (
+	.A(n_2884),
+	.B(n_672),
+	.X(n_4008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g789126 (
+	.A1(n_25356),
+	.A2(n_1195),
+	.A3(n_25416),
+	.B1(n_672),
+	.Y(n_4007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789128 (
+	.A(n_2608),
+	.B(n_2615),
+	.Y(n_4006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789131 (
+	.A(n_2362),
+	.B(n_3375),
+	.Y(n_4005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789132 (
+	.A(n_3438),
+	.B(n_2363),
+	.Y(n_4003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789134 (
+	.A(n_25352),
+	.B_N(n_3437),
+	.Y(n_3999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789135 (
+	.A(n_25224),
+	.B(n_3423),
+	.Y(n_3998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789137 (
+	.A(n_25225),
+	.B(n_3348),
+	.Y(n_3997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789139 (
+	.A(n_25293),
+	.B_N(n_30027),
+	.Y(n_3995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789140 (
+	.A(n_25224),
+	.B(n_3346),
+	.Y(n_3993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789143 (
+	.A(n_2716),
+	.B(n_2495),
+	.Y(n_3990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789144 (
+	.A(n_25225),
+	.B(n_3350),
+	.Y(n_3989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789146 (
+	.A(n_25225),
+	.B(n_3389),
+	.Y(n_3987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789147 (
+	.A(n_25293),
+	.B(n_3350),
+	.Y(n_3985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789149 (
+	.A(n_25224),
+	.B(n_3389),
+	.Y(n_3983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789151 (
+	.A(n_25270),
+	.B_N(n_30027),
+	.Y(n_3981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789153 (
+	.A(n_25293),
+	.B(n_3348),
+	.Y(n_3979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789156 (
+	.A(n_3418),
+	.B(n_2363),
+	.Y(n_3977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789158 (
+	.A(n_3440),
+	.B(n_10),
+	.X(n_3976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789159 (
+	.A(n_3439),
+	.B(n_10),
+	.X(n_3975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789160 (
+	.A(n_3429),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.X(n_3974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789162 (
+	.A(n_3429),
+	.B(n_10),
+	.X(n_3972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789163 (
+	.A(n_1347),
+	.B_N(n_2881),
+	.Y(n_3971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789164 (
+	.A(n_3439),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.X(n_3969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789165 (
+	.A(n_3440),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.X(n_3968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789166 (
+	.A(n_2881),
+	.B(n_1687),
+	.Y(n_3967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789172 (
+	.A(n_3435),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_3965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789173 (
+	.A(n_3311),
+	.B(n_56310),
+	.X(n_3963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g789174 (
+	.A_N(n_25210),
+	.B(n_2809),
+	.X(n_3962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g789175 (
+	.A_N(n_25212),
+	.B(n_2809),
+	.X(n_3961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789176 (
+	.A(n_2809),
+	.B(n_1291),
+	.Y(n_3960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g789177 (
+	.A_N(n_25199),
+	.B(n_2809),
+	.X(n_3959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g789178 (
+	.A_N(n_25201),
+	.B(n_2809),
+	.X(n_3958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g789179 (
+	.A_N(n_25211),
+	.B(n_2809),
+	.X(n_3957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789180 (
+	.A(n_3415),
+	.B(n_679),
+	.X(n_3956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g789181 (
+	.A1(n_2364),
+	.A2(n_1394),
+	.B1(n_2800),
+	.X(n_3955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789182 (
+	.A(n_1601),
+	.B(n_3426),
+	.X(n_3954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789183 (
+	.A(n_1351),
+	.B(n_3425),
+	.X(n_3952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789184 (
+	.A(n_1348),
+	.B(n_3425),
+	.X(n_3950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789185 (
+	.A(n_3435),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789186 (
+	.A(n_1601),
+	.B(n_3425),
+	.Y(n_3946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789187 (
+	.A_N(n_3426),
+	.B(n_1649),
+	.Y(n_3944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789189 (
+	.A(n_3439),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789190 (
+	.A(n_1351),
+	.B(n_3426),
+	.Y(n_3938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789191 (
+	.A(n_1348),
+	.B(n_3426),
+	.Y(n_3936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789192 (
+	.A(n_3429),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789193 (
+	.A(n_3440),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789194 (
+	.A(n_2816),
+	.B(n_30037),
+	.X(n_3930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789195 (
+	.A(n_2816),
+	.B(n_775),
+	.X(n_3928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789197 (
+	.A(n_2803),
+	.B(n_3355),
+	.X(n_3925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g789198 (
+	.A(n_1930),
+	.B(n_2811),
+	.X(n_3924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g789199 (
+	.A_N(n_1290),
+	.B(n_3415),
+	.X(n_3923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g789200 (
+	.A_N(n_3423),
+	.B(n_677),
+	.X(n_3922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g789201 (
+	.A(n_2882),
+	.B(n_30037),
+	.X(n_3921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g789202 (
+	.A(n_1930),
+	.B(n_2812),
+	.X(n_3920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789203 (
+	.A(n_2882),
+	.B(n_775),
+	.Y(n_3917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g789204 (
+	.A_N(n_66054),
+	.B(n_3415),
+	.X(n_3916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_2 g789205 (
+	.A_N(soc_top_u_top_u_core_cs_registers_i_n_6240),
+	.B(n_1360),
+	.C(n_2531),
+	.X(n_3915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789207 (
+	.A(n_3820),
+	.Y(n_3819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789208 (
+	.A(n_3818),
+	.Y(n_3817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789210 (
+	.A(n_3813),
+	.Y(n_3814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789211 (
+	.A(n_3810),
+	.Y(n_3809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789212 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [5]),
+	.X(n_3805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789213 (
+	.A1(n_2001),
+	.A2(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.B1_N(n_1937),
+	.Y(n_3804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g789214 (
+	.A1(n_1275),
+	.A2(soc_top_u_top_u_core_csr_mepc[11]),
+	.B1(n_29946),
+	.B2(n_29228),
+	.C1(n_2635),
+	.X(n_3803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789215 (
+	.A1(n_1979),
+	.A2(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.B1_N(n_1936),
+	.Y(n_3802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789216 (
+	.A1(n_1980),
+	.A2(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.B1_N(n_1951),
+	.Y(n_3801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789217 (
+	.A1(n_1983),
+	.A2(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.B1_N(n_1935),
+	.Y(n_3800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789218 (
+	.A1(n_2002),
+	.A2(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.B1_N(n_1934),
+	.Y(n_3799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789219 (
+	.A1(n_1985),
+	.A2(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.B1_N(n_1933),
+	.Y(n_3798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789220 (
+	.A1(n_2519),
+	.A2(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.B1_N(n_1932),
+	.Y(n_3797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789221 (
+	.A1(n_1989),
+	.A2(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.B1_N(n_1962),
+	.Y(n_3796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789222 (
+	.A1(n_1990),
+	.A2(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.B1_N(n_1958),
+	.Y(n_3795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789223 (
+	.A1(n_1975),
+	.A2(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.B1_N(n_1959),
+	.Y(n_3794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g789224 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [2]),
+	.B(n_2021),
+	.X(n_3793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789225 (
+	.A1(n_1994),
+	.A2(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.B1_N(n_1952),
+	.Y(n_3792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789226 (
+	.A1(n_1984),
+	.A2(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.B1_N(n_1956),
+	.Y(n_3791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g789227 (
+	.A1(n_2488),
+	.A2(n_1336),
+	.B1(n_1377),
+	.X(n_3790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789228 (
+	.A1(n_1977),
+	.A2(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.B1_N(n_1957),
+	.Y(n_3789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g789229 (
+	.A(n_66289),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [11]),
+	.C(n_68922),
+	.X(n_3788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g789230 (
+	.A(n_32158),
+	.B(n_61776),
+	.Y(n_3787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g789231 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
+	.B(n_1738),
+	.Y(n_3786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g789233 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_33050),
+	.Y(n_3784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g789234 (
+	.A1(n_27098),
+	.A2(n_2438),
+	.B1(n_2452),
+	.Y(n_3783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g789235 (
+	.A(n_69511),
+	.B(n_1740),
+	.Y(n_3782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g789236 (
+	.A0(n_2438),
+	.A1(n_27098),
+	.S(soc_top_u_top_u_core_lsu_wdata[31]),
+	.X(n_3781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g789237 (
+	.A(n_29205),
+	.B(n_66051),
+	.C(n_25140),
+	.D_N(n_1353),
+	.Y(n_3780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g789238 (
+	.A1(n_29249),
+	.A2(n_1275),
+	.B1(n_27309),
+	.B2(n_29945),
+	.C1(n_29946),
+	.C2(n_29218),
+	.Y(n_3779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g789239 (
+	.A1(soc_top_u_top_u_core_csr_mepc[29]),
+	.A2(n_1275),
+	.B1(n_27337),
+	.B2(n_29945),
+	.C1(n_29946),
+	.C2(n_29246),
+	.Y(n_3778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g789240 (
+	.A(n_1898),
+	.B(n_1876),
+	.C(n_1911),
+	.D(n_1877),
+	.Y(n_3777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g789241 (
+	.A1(n_63580),
+	.A2(n_1284),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.B2(n_1282),
+	.C1(n_675),
+	.C2(n_25640),
+	.Y(n_3776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g789242 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
+	.B(n_2443),
+	.C(n_2521),
+	.D_N(n_16120),
+	.X(n_3775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g789243 (
+	.A(n_1887),
+	.B(n_1909),
+	.C(n_1891),
+	.D(n_1888),
+	.Y(n_3774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789244 (
+	.A(n_791),
+	.B(n_1123),
+	.C(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.X(n_3773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g789245 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mid_pipe_info_q[0][is_inf] ),
+	.B(n_2510),
+	.C(n_2509),
+	.X(n_3772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789246 (
+	.A(n_778),
+	.B(n_1117),
+	.C(soc_top_u_pwm_pwm_core_DC_1[1]),
+	.X(n_3771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789247 (
+	.A(n_792),
+	.B(n_1436),
+	.C(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.X(n_3770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789248 (
+	.A(n_841),
+	.B(n_1120),
+	.C(soc_top_u_pwm_pwm_core_DC_1[15]),
+	.X(n_3769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789249 (
+	.A(n_2932),
+	.B(n_2157),
+	.Y(n_3768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789250 (
+	.A(n_190),
+	.B(n_1115),
+	.C(soc_top_u_pwm_pwm_core_DC_2[1]),
+	.X(n_3767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g789251 (
+	.A_N(n_25469),
+	.B(n_25426),
+	.C(n_2663),
+	.Y(n_3766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789252 (
+	.A(n_190),
+	.B(n_1435),
+	.C(soc_top_u_pwm_pwm_core_period_2[1]),
+	.X(n_3765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g789253 (
+	.A_N(n_25179),
+	.B(n_1353),
+	.C(n_25412),
+	.D(soc_top_u_top_u_core_debug_mode),
+	.Y(n_3764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g789254 (
+	.A(n_1334),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6876),
+	.C_N(n_2467),
+	.Y(n_3763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789255 (
+	.A(n_778),
+	.B(n_1438),
+	.C(soc_top_u_pwm_pwm_core_period[1]),
+	.X(n_3762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g789256 (
+	.A(n_61830),
+	.B(n_2020),
+	.C(n_1215),
+	.Y(n_3761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789257 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.B(n_1439),
+	.C(n_318),
+	.X(n_3760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g789258 (
+	.A(n_769),
+	.B(n_1116),
+	.C(soc_top_u_pwm_pwm_core_period[13]),
+	.X(n_3759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789259 (
+	.A1(n_1993),
+	.A2(n_2069),
+	.B1(n_2500),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.Y(n_3758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g789260 (
+	.A1(n_2502),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_3757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789262 (
+	.A1(n_2411),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [15]),
+	.B1(n_2401),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [18]),
+	.Y(n_3755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789263 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [7]),
+	.X(n_3754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g789265 (
+	.A1(n_1837),
+	.A2(n_25217),
+	.B1(n_2644),
+	.Y(n_3752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789266 (
+	.A1(n_2399),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [19]),
+	.B1(n_2405),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [20]),
+	.Y(n_3751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g789267 (
+	.A_N(n_25435),
+	.B(n_1293),
+	.C(n_1198),
+	.D(soc_top_u_top_u_core_id_stage_i_rf_we_dec),
+	.Y(n_3750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789268 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [5]),
+	.X(n_3749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789269 (
+	.A1(n_2402),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [1]),
+	.B1(n_2401),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.X(n_3748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g789270 (
+	.A1(n_2038),
+	.A2(n_25194),
+	.B1(io_out[4]),
+	.B2(n_25192),
+	.Y(n_3747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g789271 (
+	.A1(n_156),
+	.A2(soc_top_u_pwm_pwm_core_period[4]),
+	.A3(n_1369),
+	.B1(n_792),
+	.B2(soc_top_u_pwm_pwm_core_period[5]),
+	.Y(n_3746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789272 (
+	.A1(n_2431),
+	.A2(\soc_top_intr_controller_reg2hw[prio2][q] [0]),
+	.B1(n_2394),
+	.B2(\soc_top_intr_controller_reg2hw[prio6][q] [0]),
+	.X(n_3745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g789273 (
+	.A(n_1860),
+	.B(n_1848),
+	.C(n_1850),
+	.D(n_1849),
+	.Y(n_3744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789274 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [7]),
+	.X(n_3743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789275 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[185] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [4]),
+	.X(n_3742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g789276 (
+	.A1(n_1592),
+	.A2(n_1294),
+	.A3(\soc_top_intr_controller_reg2hw[prio3][q] [0]),
+	.B1(n_2439),
+	.B2(\soc_top_intr_controller_reg2hw[prio0][q] [0]),
+	.X(n_3741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789278 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [5]),
+	.X(n_3739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789279 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [6]),
+	.X(n_3738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789280 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [6]),
+	.X(n_3737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789281 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [5]),
+	.X(n_3736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789282 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[176] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[177] [0]),
+	.X(n_3735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789283 (
+	.A1(n_1918),
+	.A2(n_69701),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_3734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789284 (
+	.A1(n_2392),
+	.A2(n_29230),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [13]),
+	.X(n_3733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789285 (
+	.A1(n_1918),
+	.A2(n_30151),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_3732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789286 (
+	.A1(n_2392),
+	.A2(n_29232),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [15]),
+	.X(n_3731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789287 (
+	.A1(n_1918),
+	.A2(n_51477),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.Y(n_3730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789288 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_3729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789289 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [6]),
+	.X(n_3728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789290 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_3727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789291 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [4]),
+	.X(n_3726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789292 (
+	.A1(n_1918),
+	.A2(n_31465),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.Y(n_3725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789293 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [6]),
+	.X(n_3724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789294 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.Y(n_3723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789296 (
+	.A1(n_1918),
+	.A2(n_67778),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.Y(n_3721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789297 (
+	.A1(n_1918),
+	.A2(n_73650),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.Y(n_3720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789298 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [4]),
+	.X(n_3719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789299 (
+	.A1(n_2192),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[144] [0]),
+	.B1(n_2266),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[145] [0]),
+	.X(n_3718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789300 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [0]),
+	.X(n_3717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789301 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [0]),
+	.X(n_3716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789302 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [0]),
+	.X(n_3715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789303 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [0]),
+	.X(n_3714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789304 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [0]),
+	.X(n_3713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789305 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [0]),
+	.X(n_3712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789306 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [0]),
+	.X(n_3711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789307 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [0]),
+	.X(n_3710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789309 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [0]),
+	.X(n_3708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789310 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [0]),
+	.X(n_3707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789311 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [0]),
+	.X(n_3706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789312 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [0]),
+	.X(n_3705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789313 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [0]),
+	.X(n_3704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789314 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [0]),
+	.X(n_3703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789315 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [0]),
+	.X(n_3702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789316 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [0]),
+	.X(n_3701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789317 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [0]),
+	.X(n_3700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789318 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [0]),
+	.X(n_3699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789319 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [0]),
+	.X(n_3698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789320 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [0]),
+	.X(n_3697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789321 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [0]),
+	.X(n_3696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789322 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [6]),
+	.X(n_3695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789323 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [1]),
+	.X(n_3694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789324 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [1]),
+	.X(n_3693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789325 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [1]),
+	.X(n_3692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789326 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[33] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [1]),
+	.X(n_3691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789327 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [6]),
+	.X(n_3690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789328 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [1]),
+	.X(n_3689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789329 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [1]),
+	.X(n_3688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789330 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [1]),
+	.X(n_3687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789331 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [1]),
+	.X(n_3686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789332 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [1]),
+	.X(n_3685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789333 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [1]),
+	.X(n_3684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789334 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [5]),
+	.X(n_3683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789335 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [1]),
+	.X(n_3682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789336 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [0]),
+	.X(n_3681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789337 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [2]),
+	.X(n_3680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789338 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [2]),
+	.X(n_3679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789339 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [2]),
+	.X(n_3678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789340 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [6]),
+	.X(n_3677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789341 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [2]),
+	.X(n_3676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789342 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [2]),
+	.X(n_3675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789343 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [2]),
+	.X(n_3674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789344 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [2]),
+	.X(n_3673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789345 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [2]),
+	.X(n_3672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789346 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [2]),
+	.X(n_3671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789347 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [2]),
+	.X(n_3670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789348 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [2]),
+	.X(n_3669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789349 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [2]),
+	.X(n_3668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789350 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [2]),
+	.X(n_3667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789351 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [2]),
+	.X(n_3666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789352 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [2]),
+	.X(n_3665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789353 (
+	.A1(n_30028),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [2]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [2]),
+	.X(n_3664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789354 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [2]),
+	.X(n_3663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789355 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [2]),
+	.X(n_3662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789356 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [2]),
+	.X(n_3661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789357 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [7]),
+	.X(n_3660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789358 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [2]),
+	.X(n_3659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789359 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [6]),
+	.X(n_3658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789360 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [2]),
+	.X(n_3657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789361 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [5]),
+	.X(n_3656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789362 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [3]),
+	.X(n_3655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789363 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [3]),
+	.X(n_3654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789364 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [3]),
+	.X(n_3653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789365 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [3]),
+	.X(n_3652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789366 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [5]),
+	.X(n_3651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789367 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [3]),
+	.X(n_3650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789368 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [3]),
+	.X(n_3649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789369 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [3]),
+	.X(n_3648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789370 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [6]),
+	.X(n_3647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789371 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [3]),
+	.X(n_3646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789372 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [3]),
+	.X(n_3645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789373 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [3]),
+	.X(n_3644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789374 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [4]),
+	.X(n_3643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789375 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [4]),
+	.X(n_3642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789376 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [4]),
+	.X(n_3641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789377 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [4]),
+	.X(n_3640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789378 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [4]),
+	.X(n_3639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789379 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [4]),
+	.X(n_3638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789380 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [4]),
+	.X(n_3637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789381 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [4]),
+	.X(n_3636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789382 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[153] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [4]),
+	.X(n_3635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789383 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[130] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [4]),
+	.X(n_3634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789384 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [4]),
+	.X(n_3633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789385 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[225] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [4]),
+	.X(n_3632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789386 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [4]),
+	.X(n_3631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789387 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[201] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [4]),
+	.X(n_3630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789388 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[233] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [4]),
+	.X(n_3629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789389 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [4]),
+	.X(n_3628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789390 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[89] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [4]),
+	.X(n_3627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789391 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[73] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [4]),
+	.X(n_3626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789392 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [4]),
+	.X(n_3625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789393 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[113] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [4]),
+	.X(n_3624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789394 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [0]),
+	.X(n_3623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789395 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[154] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [5]),
+	.X(n_3622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789396 (
+	.A1(n_1991),
+	.A2(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.B1_N(n_1948),
+	.Y(n_3621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789397 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[118] [5]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[119] [5]),
+	.X(n_3620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789398 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[110] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [5]),
+	.X(n_3619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789399 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [5]),
+	.X(n_3618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789400 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [5]),
+	.X(n_3617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789401 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [5]),
+	.X(n_3616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789402 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [5]),
+	.X(n_3615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789403 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [5]),
+	.X(n_3614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789404 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [5]),
+	.X(n_3613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789405 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [5]),
+	.X(n_3612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789406 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [5]),
+	.X(n_3611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789407 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [5]),
+	.X(n_3610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789408 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [5]),
+	.X(n_3609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789409 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [5]),
+	.X(n_3608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789410 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [5]),
+	.X(n_3607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789411 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [5]),
+	.X(n_3606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789412 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [5]),
+	.X(n_3605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789413 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[250] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [6]),
+	.X(n_3604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789414 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[202] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [6]),
+	.X(n_3603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789415 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [6]),
+	.X(n_3602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789416 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[234] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [6]),
+	.X(n_3601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789417 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[242] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [6]),
+	.X(n_3600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789418 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [6]),
+	.X(n_3599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789419 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [6]),
+	.X(n_3598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789420 (
+	.A1(n_2431),
+	.A2(\soc_top_intr_controller_reg2hw[prio2][q] [1]),
+	.B1(n_2394),
+	.B2(\soc_top_intr_controller_reg2hw[prio6][q] [1]),
+	.X(n_3597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789421 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[90] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[91] [6]),
+	.X(n_3596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789422 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[66] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [6]),
+	.X(n_3595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789423 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [6]),
+	.X(n_3594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789424 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [6]),
+	.X(n_3593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789425 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [5]),
+	.X(n_3592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789426 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [6]),
+	.X(n_3591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789427 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[178] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [6]),
+	.X(n_3590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789428 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [7]),
+	.X(n_3589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789429 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[18] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[19] [6]),
+	.X(n_3588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789430 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[34] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [6]),
+	.X(n_3587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789431 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [6]),
+	.X(n_3586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789432 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [6]),
+	.X(n_3585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789433 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[2] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [6]),
+	.X(n_3584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789434 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [7]),
+	.X(n_3583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789435 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [7]),
+	.X(n_3582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789436 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [7]),
+	.X(n_3581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789437 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[105] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[111] [7]),
+	.X(n_3580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789438 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [7]),
+	.X(n_3579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789439 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [7]),
+	.X(n_3578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789440 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [7]),
+	.X(n_3577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789441 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [7]),
+	.X(n_3576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789442 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [7]),
+	.X(n_3575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789443 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [7]),
+	.X(n_3574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789444 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [5]),
+	.X(n_3573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789445 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[22] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[23] [7]),
+	.X(n_3572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789446 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[39] [7]),
+	.X(n_3571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789447 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [7]),
+	.X(n_3570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789448 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [7]),
+	.X(n_3569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789449 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[235] [3]),
+	.X(n_3568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789450 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [2]),
+	.X(n_3567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789451 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [6]),
+	.X(n_3566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789452 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[129] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [0]),
+	.X(n_3565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789453 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [2]),
+	.X(n_3564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789454 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [0]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [0]),
+	.X(n_3563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789455 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [0]),
+	.X(n_3562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789456 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [3]),
+	.X(n_3561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789457 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [3]),
+	.X(n_3560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789458 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [7]),
+	.X(n_3559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789459 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [3]),
+	.X(n_3558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789460 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[102] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [0]),
+	.X(n_3557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789461 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[138] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[139] [2]),
+	.X(n_3556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789462 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[238] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[239] [1]),
+	.X(n_3555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789463 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[86] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [0]),
+	.X(n_3554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789464 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [0]),
+	.X(n_3553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789465 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[106] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[107] [3]),
+	.X(n_3552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789466 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[42] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [4]),
+	.X(n_3551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789467 (
+	.A1(n_1918),
+	.A2(n_30144),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.Y(n_3550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789468 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [2]),
+	.X(n_3549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789469 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [1]),
+	.X(n_3548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789470 (
+	.A1(n_1918),
+	.A2(n_68926),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.Y(n_3547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789471 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[255] [7]),
+	.X(n_3546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789472 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [3]),
+	.X(n_3545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789473 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[194] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[195] [2]),
+	.X(n_3544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789474 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [1]),
+	.X(n_3543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789475 (
+	.A1(n_1918),
+	.A2(n_36786),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.Y(n_3542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789476 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [2]),
+	.X(n_3541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789477 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [1]),
+	.X(n_3540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789478 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[226] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[227] [2]),
+	.X(n_3539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789479 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [1]),
+	.X(n_3538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789480 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.Y(n_3537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789481 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[215] [1]),
+	.X(n_3536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789482 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[82] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[83] [3]),
+	.X(n_3535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789483 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[230] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[231] [1]),
+	.X(n_3534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789484 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[98] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[99] [3]),
+	.X(n_3533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789485 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [3]),
+	.X(n_3532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789486 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[183] [1]),
+	.X(n_3531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789487 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[198] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [1]),
+	.X(n_3530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789488 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[3] [3]),
+	.X(n_3529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789489 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[182] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[179] [3]),
+	.X(n_3528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789490 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [0]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[79] [0]),
+	.X(n_3527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789491 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[78] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [3]),
+	.X(n_3526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789492 (
+	.A1(n_1918),
+	.A2(n_31260),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_3525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789493 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [3]),
+	.X(n_3524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789494 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [1]),
+	.X(n_3523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789495 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [1]),
+	.X(n_3522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789496 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[114] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[115] [3]),
+	.X(n_3521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789497 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [2]),
+	.X(n_3520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789498 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[243] [3]),
+	.X(n_3519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789499 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[166] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[167] [1]),
+	.X(n_3518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789500 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[150] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[151] [1]),
+	.X(n_3517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789501 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[6] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[7] [1]),
+	.X(n_3516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789502 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[30] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[31] [1]),
+	.X(n_3515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789503 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [1]),
+	.X(n_3514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789504 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[223] [1]),
+	.X(n_3513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789505 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [1]),
+	.X(n_3512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789506 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[26] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[27] [6]),
+	.X(n_3511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789507 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[47] [1]),
+	.X(n_3510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789508 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[214] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [3]),
+	.X(n_3509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789509 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [1]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [1]),
+	.X(n_3508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789510 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[170] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[171] [5]),
+	.X(n_3507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789511 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[222] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [5]),
+	.X(n_3506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789512 (
+	.A1(n_1918),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.Y(n_3505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789513 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [1]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [1]),
+	.X(n_3504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789514 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[81] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[87] [4]),
+	.X(n_3503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789515 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [6]),
+	.X(n_3502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789516 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[97] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[103] [4]),
+	.X(n_3501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789517 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[58] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[59] [2]),
+	.X(n_3500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789518 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[254] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[251] [5]),
+	.X(n_3499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789519 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [3]),
+	.X(n_3498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789520 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[38] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[35] [3]),
+	.X(n_3497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789521 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[46] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[43] [3]),
+	.X(n_3496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789522 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[190] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[191] [7]),
+	.X(n_3495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789523 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[122] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[123] [4]),
+	.X(n_3494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789524 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[65] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[71] [4]),
+	.X(n_3493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789525 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[50] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[51] [2]),
+	.X(n_3492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789526 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[162] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[163] [4]),
+	.X(n_3491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789527 (
+	.A1(n_2392),
+	.A2(n_29225),
+	.B1(n_1260),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [8]),
+	.Y(n_3490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789528 (
+	.A1(n_2266),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[193] [4]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[199] [4]),
+	.X(n_3489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789529 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[218] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[219] [4]),
+	.X(n_3488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789530 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[54] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[55] [7]),
+	.X(n_3487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789531 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[10] [4]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [4]),
+	.X(n_3486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789532 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[126] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[127] [7]),
+	.X(n_3485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789533 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[15] [7]),
+	.X(n_3484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789534 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[62] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[63] [7]),
+	.X(n_3483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789535 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[246] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[247] [7]),
+	.X(n_3482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789536 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[14] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[11] [3]),
+	.X(n_3481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789537 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[174] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[175] [7]),
+	.X(n_3480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789538 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[131] [3]),
+	.X(n_3479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789539 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[203] [5]),
+	.X(n_3478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789540 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [3]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[155] [3]),
+	.X(n_3477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789541 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[134] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[135] [7]),
+	.X(n_3476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789542 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[142] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[143] [7]),
+	.X(n_3475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789543 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[158] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[159] [7]),
+	.X(n_3474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789544 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[94] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[95] [7]),
+	.X(n_3473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789545 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[74] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[75] [6]),
+	.X(n_3472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g789546 (
+	.A1(n_1592),
+	.A2(\soc_top_intr_controller_reg2hw[prio3][q] [1]),
+	.A3(n_1294),
+	.B1(n_2439),
+	.B2(\soc_top_intr_controller_reg2hw[prio0][q] [1]),
+	.Y(n_3471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789547 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[206] [7]),
+	.B1(n_2167),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[207] [7]),
+	.X(n_3470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789548 (
+	.A1(n_2399),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [27]),
+	.B1(n_2407),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.Y(n_3469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789549 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[146] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[147] [5]),
+	.X(n_3468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789550 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[186] [2]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[187] [2]),
+	.X(n_3467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789551 (
+	.A1(n_2212),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[210] [6]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[211] [6]),
+	.X(n_3466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789552 (
+	.A1(n_2317),
+	.A2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[70] [5]),
+	.B1(n_30028),
+	.B2(\soc_top_u_uart_u_uart_core_read_fifo_fifo_buffer[67] [5]),
+	.X(n_3465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g789553 (
+	.A1(n_2402),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [25]),
+	.B1(n_2401),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [26]),
+	.Y(n_3464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g789554 (
+	.A1(n_2402),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[1] [9]),
+	.B1(n_2401),
+	.B2(\soc_top_u_top_u_core_imd_val_q_ex[1] [10]),
+	.X(n_3463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g789555 (
+	.A1(n_2530),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.B1_N(n_3442),
+	.Y(n_3832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g789556 (
+	.A1(n_2496),
+	.A2(n_1588),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.Y(n_3831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g789559 (
+	.A(n_30756),
+	.B(n_26),
+	.C(n_67160),
+	.Y(n_3830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g789560 (
+	.A(n_30756),
+	.B(n_27),
+	.C(n_67160),
+	.Y(n_3829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g789561 (
+	.A1(n_909),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.B1(n_1713),
+	.C1(n_2518),
+	.Y(n_3828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g789562 (
+	.A1(n_958),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.B1(n_1694),
+	.C1(n_2515),
+	.Y(n_3827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g789563 (
+	.A(n_2928),
+	.B(n_1660),
+	.C_N(n_1715),
+	.Y(n_3460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g789564 (
+	.A1(n_1678),
+	.A2(n_37903),
+	.B1(n_37925),
+	.B2(n_1317),
+	.C1(FE_DBTN91_n_15483),
+	.Y(n_3826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g789565 (
+	.A1(n_63570),
+	.A2(n_1284),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.B2(n_1282),
+	.C1(n_675),
+	.C2(n_25639),
+	.Y(n_3825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789566 (
+	.A1(n_744),
+	.A2(n_1270),
+	.B1(n_710),
+	.B2(n_1274),
+	.C1(n_1873),
+	.X(n_3824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789567 (
+	.A1(n_744),
+	.A2(n_1274),
+	.B1(n_135),
+	.B2(n_1578),
+	.C1(n_2078),
+	.X(n_3823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g789568 (
+	.A1(n_681),
+	.A2(n_1270),
+	.B1(n_767),
+	.B2(n_1578),
+	.C1(n_2055),
+	.X(n_3822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g789570 (
+	.A_N(n_25175),
+	.B(n_3437),
+	.C(soc_top_u_top_u_core_csr_addr[6]),
+	.Y(n_3820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g789571 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(n_2881),
+	.C(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.Y(n_3818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g789572 (
+	.A1(n_1921),
+	.A2(soc_top_u_top_data_we),
+	.B1(n_12752),
+	.Y(n_3815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g789573 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(n_2881),
+	.C(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.Y(n_3813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g789574 (
+	.A_N(n_25227),
+	.B(n_768),
+	.C(n_1289),
+	.D(n_26),
+	.X(n_3812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g789575 (
+	.A1(n_2022),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.B1(n_3370),
+	.X(n_3811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_2 g789576 (
+	.A_N(n_1334),
+	.B_N(n_66051),
+	.C(n_1360),
+	.D(n_1364),
+	.X(n_3810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g789577 (
+	.A1(n_2391),
+	.A2(n_1278),
+	.B1(n_1583),
+	.X(n_3808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g789578 (
+	.A(n_12752),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.C_N(n_2485),
+	.X(n_3807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g789579 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.B(n_130),
+	.C_N(n_2448),
+	.X(n_3806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g789580 (
+	.A(n_1925),
+	.Y(n_1924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789585 (
+	.A(n_30027),
+	.Y(n_3389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789586 (
+	.A(n_3381),
+	.Y(n_3380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789587 (
+	.A(n_3379),
+	.Y(n_3378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789588 (
+	.A(n_3377),
+	.Y(n_3376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789589 (
+	.A(n_3374),
+	.Y(n_3373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789590 (
+	.A(n_3372),
+	.Y(n_3371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789592 (
+	.A(n_3368),
+	.Y(n_3367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789593 (
+	.A(n_3366),
+	.Y(n_3365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789594 (
+	.A(n_3364),
+	.Y(n_3363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789595 (
+	.A(n_3362),
+	.Y(n_3361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789596 (
+	.A(n_3360),
+	.Y(n_3359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789597 (
+	.A(n_3358),
+	.Y(n_3357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789598 (
+	.A(n_3355),
+	.Y(n_3354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789599 (
+	.A(n_3352),
+	.Y(n_3351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789600 (
+	.A(n_3350),
+	.Y(n_3349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789601 (
+	.A(n_3348),
+	.Y(n_3347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789602 (
+	.A(n_3346),
+	.Y(n_3345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789603 (
+	.A(n_3344),
+	.Y(n_3343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789604 (
+	.A(n_3342),
+	.Y(n_3341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789605 (
+	.A(n_30020),
+	.Y(n_3339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789606 (
+	.A(n_3338),
+	.Y(n_3337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789607 (
+	.A(n_3336),
+	.Y(n_3335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789608 (
+	.A(n_3334),
+	.Y(n_3333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789609 (
+	.A(n_3332),
+	.Y(n_3331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g789610 (
+	.A(n_3330),
+	.Y(n_3329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789611 (
+	.A(n_3313),
+	.Y(n_3312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789612 (
+	.A(n_3311),
+	.Y(n_3310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789613 (
+	.A(n_3309),
+	.Y(n_3308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g789620 (
+	.A(n_2940),
+	.Y(n_3304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789640 (
+	.A(n_3280),
+	.Y(n_3281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789641 (
+	.A(n_3279),
+	.Y(n_3278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789642 (
+	.A(n_3277),
+	.Y(n_3276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789660 (
+	.A(n_3256),
+	.Y(n_3258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789662 (
+	.A(n_3255),
+	.Y(n_3254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g789681 (
+	.A(n_3244),
+	.Y(n_3233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g789691 (
+	.A(n_29635),
+	.Y(n_3223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789695 (
+	.A(n_3219),
+	.Y(n_3218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789696 (
+	.A(n_3217),
+	.Y(n_3216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789697 (
+	.A(n_30022),
+	.Y(n_3214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g789698 (
+	.A(n_30021),
+	.Y(n_3212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g789699 (
+	.A(n_3211),
+	.Y(n_3210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789701 (
+	.A(n_981),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[5]),
+	.COUT(n_3207),
+	.SUM(n_3459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789702 (
+	.A(n_900),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.COUT(n_3458),
+	.SUM(n_3206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789703 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.COUT(n_3204),
+	.SUM(n_3205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789704 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.B(n_25537),
+	.COUT(n_3202),
+	.SUM(n_3203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789705 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.COUT(n_3200),
+	.SUM(n_3201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789706 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.COUT(n_3198),
+	.SUM(n_3199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789707 (
+	.A(n_25526),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[3]),
+	.COUT(n_3196),
+	.SUM(n_3197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789708 (
+	.A(soc_top_u_top_u_core_csr_addr[6]),
+	.B(n_25529),
+	.COUT(n_3194),
+	.SUM(n_3195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789709 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.COUT(n_3192),
+	.SUM(n_3193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789710 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.COUT(n_3190),
+	.SUM(n_3191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789711 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.B(n_286),
+	.COUT(n_3456),
+	.SUM(n_3457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789712 (
+	.A(n_25552),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[29]),
+	.COUT(n_3188),
+	.SUM(n_3189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789713 (
+	.A(n_25550),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[27]),
+	.COUT(n_3186),
+	.SUM(n_3187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789714 (
+	.A(n_25548),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[25]),
+	.COUT(n_3184),
+	.SUM(n_3185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789715 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_491),
+	.COUT(n_3182),
+	.SUM(n_3183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789716 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.B(n_25544),
+	.COUT(n_3180),
+	.SUM(n_3181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789717 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.COUT(n_3178),
+	.SUM(n_3179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789718 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[17]),
+	.B(n_25540),
+	.COUT(n_3176),
+	.SUM(n_3177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789719 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[13]),
+	.B(n_25536),
+	.COUT(n_3174),
+	.SUM(n_3175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789720 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.B(n_25532),
+	.COUT(n_3172),
+	.SUM(n_3173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789721 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.B(n_25528),
+	.COUT(n_3170),
+	.SUM(n_3171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789722 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.COUT(n_3168),
+	.SUM(n_3169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789723 (
+	.A(n_25551),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[28]),
+	.COUT(n_3166),
+	.SUM(n_3167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789724 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.COUT(n_3164),
+	.SUM(n_3165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789725 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[12]),
+	.B(n_25535),
+	.COUT(n_3162),
+	.SUM(n_3163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789726 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.B(n_25531),
+	.COUT(n_3160),
+	.SUM(n_3161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789727 (
+	.A(n_25524),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.COUT(n_3158),
+	.SUM(n_3159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789728 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.COUT(n_3156),
+	.SUM(n_3157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789729 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.COUT(n_3154),
+	.SUM(n_3155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789730 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.COUT(n_3152),
+	.SUM(n_3153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789731 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.COUT(n_3150),
+	.SUM(n_3151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789732 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.COUT(n_3148),
+	.SUM(n_3149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789733 (
+	.A(n_25525),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[2]),
+	.COUT(n_3146),
+	.SUM(n_3147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789734 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.COUT(n_3144),
+	.SUM(n_3145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789735 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.COUT(n_3142),
+	.SUM(n_3143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789736 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.COUT(n_3140),
+	.SUM(n_3141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789737 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.COUT(n_3138),
+	.SUM(n_3139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789738 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.COUT(n_3136),
+	.SUM(n_3137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789739 (
+	.A(n_25549),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[26]),
+	.COUT(n_3134),
+	.SUM(n_3135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789740 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.COUT(n_3132),
+	.SUM(n_3133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789741 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.COUT(n_3130),
+	.SUM(n_3131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789742 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.COUT(n_3128),
+	.SUM(n_3129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789743 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.COUT(n_3126),
+	.SUM(n_3127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789744 (
+	.A(n_25523),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.COUT(n_3124),
+	.SUM(n_3125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789745 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.COUT(n_3122),
+	.SUM(n_3123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789746 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_dccm_to_xbar[d_valid] ),
+	.COUT(n_3120),
+	.SUM(n_3121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789747 (
+	.A(n_337),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.COUT(n_3454),
+	.SUM(n_3455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789748 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[16]),
+	.B(n_25539),
+	.COUT(n_3118),
+	.SUM(n_3119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789749 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(n_447),
+	.COUT(n_3116),
+	.SUM(n_3117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789750 (
+	.A(n_25542),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[19]),
+	.COUT(n_3114),
+	.SUM(n_3115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789751 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.B(n_25541),
+	.COUT(n_3112),
+	.SUM(n_3113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789752 (
+	.A(n_25545),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[22]),
+	.COUT(n_3110),
+	.SUM(n_3111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789753 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.COUT(n_3108),
+	.SUM(n_3109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789754 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [0]),
+	.B(\soc_top_iccm_to_xbar[d_valid] ),
+	.COUT(n_3106),
+	.SUM(n_3107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789755 (
+	.A(n_25546),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[23]),
+	.COUT(n_3104),
+	.SUM(n_3105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789756 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.COUT(n_3102),
+	.SUM(n_3103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789757 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.COUT(n_3100),
+	.SUM(n_3101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789758 (
+	.A(n_941),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[9]),
+	.COUT(n_3099),
+	.SUM(n_3453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789759 (
+	.A(n_74767),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.COUT(n_3097),
+	.SUM(n_3098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789760 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.B(n_25533),
+	.COUT(n_3095),
+	.SUM(n_3096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789761 (
+	.A(n_25547),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[24]),
+	.COUT(n_3093),
+	.SUM(n_3094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789762 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.COUT(n_3091),
+	.SUM(n_3092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789763 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.B(n_25530),
+	.COUT(n_3089),
+	.SUM(n_3090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789764 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.COUT(n_3087),
+	.SUM(n_3088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789765 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.COUT(n_3085),
+	.SUM(n_3086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789766 (
+	.A(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.B(n_25534),
+	.COUT(n_3083),
+	.SUM(n_3084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789767 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.COUT(n_3081),
+	.SUM(n_3082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789768 (
+	.A(n_25543),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.COUT(n_3079),
+	.SUM(n_3080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789769 (
+	.A(n_945),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[5]),
+	.COUT(n_3078),
+	.SUM(n_3450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789770 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.COUT(n_3076),
+	.SUM(n_3077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789771 (
+	.A(n_944),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[9]),
+	.COUT(n_3075),
+	.SUM(n_3449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789772 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.COUT(n_3073),
+	.SUM(n_3074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789773 (
+	.A(n_342),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.COUT(n_3448),
+	.SUM(n_3072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789774 (
+	.A(n_25553),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[30]),
+	.COUT(n_3070),
+	.SUM(n_3071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789775 (
+	.A(n_25527),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.COUT(n_3068),
+	.SUM(n_3069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789776 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [0]),
+	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.COUT(n_3066),
+	.SUM(n_3067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g789777 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.B(n_916),
+	.COUT(n_3065),
+	.SUM(n_3447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789779 (
+	.A(n_12752),
+	.B(n_1757),
+	.Y(n_3064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789780 (
+	.A(n_12752),
+	.B(n_1765),
+	.Y(n_3063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789781 (
+	.A(n_12752),
+	.B(n_1766),
+	.Y(n_3062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789782 (
+	.A(n_12752),
+	.B(n_1764),
+	.Y(n_3061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789783 (
+	.A(n_12752),
+	.B(n_1748),
+	.Y(n_3060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789784 (
+	.A(n_12752),
+	.B(n_1763),
+	.Y(n_3059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789785 (
+	.A(n_12752),
+	.B(n_1844),
+	.Y(n_3058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789786 (
+	.A(n_12752),
+	.B(n_1761),
+	.Y(n_3057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789787 (
+	.A(n_12752),
+	.B(n_1834),
+	.Y(n_3056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789788 (
+	.A(n_12752),
+	.B(n_1831),
+	.Y(n_3055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789789 (
+	.A(n_12752),
+	.B(n_1833),
+	.Y(n_3054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789790 (
+	.A(n_12752),
+	.B(n_1755),
+	.Y(n_3053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789791 (
+	.A(n_12752),
+	.B(n_1753),
+	.Y(n_3052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789792 (
+	.A(n_12752),
+	.B(n_1747),
+	.Y(n_3051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789793 (
+	.A(n_12752),
+	.B(n_1838),
+	.Y(n_3050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789794 (
+	.A(n_12752),
+	.B(n_1768),
+	.Y(n_3049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789795 (
+	.A(n_12752),
+	.B(n_1762),
+	.Y(n_3048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789796 (
+	.A(n_12752),
+	.B(n_1845),
+	.Y(n_3047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789797 (
+	.A(n_12752),
+	.B(n_1835),
+	.Y(n_3046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789798 (
+	.A(n_12752),
+	.B(n_1751),
+	.Y(n_3045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789799 (
+	.A(n_12752),
+	.B(n_1754),
+	.Y(n_3044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789800 (
+	.A(n_12752),
+	.B(n_1750),
+	.Y(n_3043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789801 (
+	.A(n_12752),
+	.B(n_1749),
+	.Y(n_3042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789802 (
+	.A(n_12752),
+	.B(n_1839),
+	.Y(n_3041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789803 (
+	.A(n_12752),
+	.B(n_1746),
+	.Y(n_3040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789804 (
+	.A(n_12752),
+	.B(n_1843),
+	.Y(n_3039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789805 (
+	.A(n_12752),
+	.B(n_1756),
+	.Y(n_3038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789806 (
+	.A(n_12752),
+	.B(n_1842),
+	.Y(n_3037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789807 (
+	.A(n_12752),
+	.B(n_1832),
+	.Y(n_3036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789808 (
+	.A(n_12752),
+	.B(n_1769),
+	.Y(n_3035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789809 (
+	.A(n_12752),
+	.B(n_1752),
+	.Y(n_3034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789810 (
+	.A(n_12752),
+	.B(n_1767),
+	.Y(n_3033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789811 (
+	.A(n_12752),
+	.B(n_1840),
+	.Y(n_3032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789812 (
+	.A(n_12752),
+	.B(n_1841),
+	.Y(n_3031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789813 (
+	.A(n_12752),
+	.B(n_1760),
+	.Y(n_3030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789814 (
+	.A(n_12752),
+	.B(n_1846),
+	.Y(n_3029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789815 (
+	.A(n_12752),
+	.B(n_1759),
+	.Y(n_3028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789816 (
+	.A(n_12752),
+	.B(n_1758),
+	.Y(n_3027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789817 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_63834),
+	.Y(n_3026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789818 (
+	.A(n_73723),
+	.B(n_1966),
+	.Y(n_3025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789819 (
+	.A(n_25239),
+	.B(n_2150),
+	.Y(n_3024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789820 (
+	.A(n_25238),
+	.B(n_2092),
+	.Y(n_3023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g789821 (
+	.A(n_1770),
+	.B(n_1818),
+	.Y(n_3022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789822 (
+	.A(n_25236),
+	.B(n_2120),
+	.Y(n_3021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789823 (
+	.A(n_25235),
+	.B(n_2051),
+	.Y(n_3020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789824 (
+	.A(n_25233),
+	.B(n_2146),
+	.Y(n_3019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789825 (
+	.A(n_25232),
+	.B(n_2057),
+	.Y(n_3018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789826 (
+	.A(n_2512),
+	.B(n_1659),
+	.Y(n_3017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789827 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[26]),
+	.X(n_3016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789828 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[20]),
+	.X(n_3015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789829 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[14]),
+	.X(n_3014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789830 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[8]),
+	.X(n_3013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789831 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[7]),
+	.X(n_3012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789832 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[4]),
+	.X(n_3011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789833 (
+	.A(n_2469),
+	.B(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_3010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789834 (
+	.A(n_27237),
+	.B_N(n_2488),
+	.Y(n_3009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789835 (
+	.A(n_25240),
+	.B(n_2130),
+	.Y(n_3008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g789836 (
+	.A(n_2447),
+	.B(n_25142),
+	.X(n_3007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789837 (
+	.A(n_25241),
+	.B(n_2128),
+	.Y(n_3006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789838 (
+	.A(n_25243),
+	.B(n_2052),
+	.Y(n_3005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789839 (
+	.A(n_25251),
+	.B(n_2048),
+	.Y(n_3004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789840 (
+	.A(n_1643),
+	.B_N(n_2452),
+	.Y(n_3003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789841 (
+	.A(n_25254),
+	.B(n_2042),
+	.Y(n_3002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789843 (
+	.A(n_25249),
+	.B(n_2108),
+	.Y(n_3000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789844 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[31]),
+	.X(n_2999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789845 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[27]),
+	.X(n_2998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789846 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[25]),
+	.X(n_2997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789847 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[19]),
+	.X(n_2996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789848 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[15]),
+	.X(n_2995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789849 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[11]),
+	.X(n_2994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789850 (
+	.A(n_25258),
+	.B(n_2046),
+	.Y(n_2993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789851 (
+	.A(n_25259),
+	.B(n_2104),
+	.Y(n_2992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789852 (
+	.A(\soc_top_ifu_to_xbar[a_valid] ),
+	.B(n_2486),
+	.X(n_2991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789853 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[3]),
+	.B(n_30033),
+	.Y(n_2990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789854 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[23]),
+	.X(n_2989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789855 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[0]),
+	.B(n_30033),
+	.Y(n_2988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789856 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[2]),
+	.B(n_30033),
+	.Y(n_2987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789857 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_timeout[1]),
+	.B(n_30033),
+	.Y(n_2986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789858 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[6]),
+	.X(n_2985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789859 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[5]),
+	.X(n_2984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789860 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[13]),
+	.X(n_2983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789861 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[12]),
+	.X(n_2982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789862 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[21]),
+	.X(n_2981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789863 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[9]),
+	.X(n_2980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789864 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[17]),
+	.X(n_2979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789865 (
+	.A(n_25230),
+	.B(n_2049),
+	.Y(n_2978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789866 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[22]),
+	.X(n_2977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789867 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[29]),
+	.X(n_2976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789868 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[28]),
+	.X(n_2975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789869 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[24]),
+	.X(n_2974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789870 (
+	.A(n_25237),
+	.B(n_2135),
+	.Y(n_2973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789871 (
+	.A(n_2075),
+	.B(n_1258),
+	.Y(n_2972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789872 (
+	.A(n_33050),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_rptr [1]),
+	.Y(n_2971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789873 (
+	.A(n_25234),
+	.B(n_2072),
+	.Y(n_2970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789875 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[30]),
+	.X(n_2968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789877 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[16]),
+	.X(n_2966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789878 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[10]),
+	.X(n_2965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789879 (
+	.A(n_2392),
+	.B(n_29228),
+	.Y(n_2964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789880 (
+	.A(n_1872),
+	.B(n_1869),
+	.Y(n_2963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789881 (
+	.A(n_2363),
+	.B(\soc_top_plic_resp[d_data] [5]),
+	.Y(n_2962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789882 (
+	.A(n_25229),
+	.B(n_2096),
+	.Y(n_2961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789883 (
+	.A(n_25257),
+	.B(n_2045),
+	.Y(n_2960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789886 (
+	.A(n_25242),
+	.B(n_2140),
+	.Y(n_2959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789887 (
+	.A(n_1993),
+	.B(n_1655),
+	.Y(n_2958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789888 (
+	.A(n_25244),
+	.B(n_2123),
+	.Y(n_2957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789889 (
+	.A(n_25245),
+	.B(n_2076),
+	.Y(n_2956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789890 (
+	.A(n_25246),
+	.B(n_2141),
+	.Y(n_2955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789891 (
+	.A(n_25247),
+	.B(n_2118),
+	.Y(n_2954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789892 (
+	.A(n_25256),
+	.B(n_2102),
+	.Y(n_2953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g789893 (
+	.A(n_30033),
+	.B(soc_top_u_uart_u_uart_core_rx_timeout[18]),
+	.X(n_2952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789894 (
+	.A(n_25248),
+	.B(n_2084),
+	.Y(n_2951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789895 (
+	.A(n_25255),
+	.B(n_2043),
+	.Y(n_2950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789896 (
+	.A(n_25250),
+	.B(n_2040),
+	.Y(n_2949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789897 (
+	.A(n_25252),
+	.B(n_2067),
+	.Y(n_2948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789898 (
+	.A(n_25231),
+	.B(n_2142),
+	.Y(n_2947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789899 (
+	.A(n_25253),
+	.B(n_2041),
+	.Y(n_2946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789901 (
+	.A(n_86),
+	.B(n_1992),
+	.X(n_3446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g789907 (
+	.A(n_2507),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.X(n_3445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789908 (
+	.A(\soc_top_plic_resp[d_valid] ),
+	.B(n_2362),
+	.Y(n_2945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789913 (
+	.A(\soc_top_pwm_to_xbar[d_valid] ),
+	.B(n_1921),
+	.Y(n_2944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789917 (
+	.A(n_2528),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.Y(n_3444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789919 (
+	.A(n_25227),
+	.B_N(n_2446),
+	.Y(n_3443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789920 (
+	.A_N(soc_top_u_pwm_pwm_core_period_2[9]),
+	.B(n_2530),
+	.Y(n_3442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789922 (
+	.A(soc_top_dccm_adapter_data_mem_u_sramreqfifo_n_15),
+	.B(n_33050),
+	.Y(n_2943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g789923 (
+	.A(n_2447),
+	.B(n_25141),
+	.X(n_3441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789928 (
+	.A(n_2475),
+	.B(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_2942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789934 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.B(n_2364),
+	.Y(n_2941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789954 (
+	.A(n_1348),
+	.B(n_2459),
+	.Y(n_3440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g789959 (
+	.A(n_1351),
+	.B(n_2459),
+	.Y(n_3439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789960 (
+	.A(n_2424),
+	.B(n_1690),
+	.Y(n_3438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789961 (
+	.A(n_25140),
+	.B_N(n_2467),
+	.Y(n_3437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789963 (
+	.A_N(n_2489),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[3]),
+	.Y(n_3436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789966 (
+	.A(n_2459),
+	.B_N(n_1649),
+	.Y(n_3435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789983 (
+	.A(n_2509),
+	.B(n_65193),
+	.Y(n_3433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g789985 (
+	.A_N(n_2490),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[3]),
+	.Y(n_3432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g789992 (
+	.A(n_2526),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.Y(n_3431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g789996 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.B_N(n_2502),
+	.Y(n_3430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790012 (
+	.A(n_1601),
+	.B(n_2459),
+	.Y(n_3429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790013 (
+	.A(n_1995),
+	.B_N(n_2495),
+	.Y(n_3428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790019 (
+	.A(n_2442),
+	.B(n_2529),
+	.Y(n_3427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790029 (
+	.A(n_30035),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Y(n_3426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790030 (
+	.A(n_30035),
+	.B(n_673),
+	.Y(n_3425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790031 (
+	.A(n_2362),
+	.B(n_2468),
+	.Y(n_3423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790032 (
+	.A(n_1981),
+	.B_N(n_1941),
+	.Y(n_3421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790033 (
+	.A(n_1982),
+	.B_N(n_1947),
+	.Y(n_3420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790034 (
+	.A(n_1988),
+	.B_N(n_1945),
+	.Y(n_3419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790035 (
+	.A(n_2424),
+	.B(n_2446),
+	.Y(n_3418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790036 (
+	.A(n_1998),
+	.B_N(n_1946),
+	.Y(n_3417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790037 (
+	.A(n_25225),
+	.B(n_2416),
+	.Y(n_3416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790038 (
+	.A(n_25225),
+	.B(n_2365),
+	.Y(n_3415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790039 (
+	.A(n_1991),
+	.B_N(n_1948),
+	.Y(n_3414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790040 (
+	.A(soc_top_u_top_u_core_is_fp_instr),
+	.B_N(n_1930),
+	.Y(n_3413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790041 (
+	.A(n_1986),
+	.B_N(n_1940),
+	.Y(n_3412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790042 (
+	.A(n_1999),
+	.B_N(n_1953),
+	.Y(n_3411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790043 (
+	.A(n_1974),
+	.B_N(n_1939),
+	.Y(n_3410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790044 (
+	.A(n_2018),
+	.B_N(n_1950),
+	.Y(n_3409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790045 (
+	.A(n_1977),
+	.B_N(n_1957),
+	.Y(n_3408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790046 (
+	.A(n_2001),
+	.B_N(n_1937),
+	.Y(n_3407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790047 (
+	.A(n_1979),
+	.B_N(n_1936),
+	.Y(n_3406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790048 (
+	.A(n_2002),
+	.B_N(n_1934),
+	.Y(n_3405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790049 (
+	.A(n_1985),
+	.B_N(n_1933),
+	.Y(n_3404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790050 (
+	.A(n_1972),
+	.B_N(n_1949),
+	.Y(n_3403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790051 (
+	.A(n_2519),
+	.B_N(n_1932),
+	.Y(n_3402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790052 (
+	.A(n_1983),
+	.B_N(n_1935),
+	.Y(n_3401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790053 (
+	.A(n_1989),
+	.B_N(n_1962),
+	.Y(n_3400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790054 (
+	.A(n_1990),
+	.B_N(n_1958),
+	.Y(n_3399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790055 (
+	.A(n_1984),
+	.B_N(n_1956),
+	.Y(n_3398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790056 (
+	.A(n_1980),
+	.B_N(n_1951),
+	.Y(n_3397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790057 (
+	.A(n_2524),
+	.B_N(n_1960),
+	.Y(n_3396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790058 (
+	.A(n_1994),
+	.B_N(n_1952),
+	.Y(n_3395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790059 (
+	.A(n_1997),
+	.B_N(n_1931),
+	.Y(n_3394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790060 (
+	.A(n_1973),
+	.B_N(n_1954),
+	.Y(n_3393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790061 (
+	.A(n_1975),
+	.B_N(n_1959),
+	.Y(n_3392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790062 (
+	.A(n_1971),
+	.B_N(n_1944),
+	.Y(n_3391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790064 (
+	.A(n_2000),
+	.B_N(n_1942),
+	.Y(n_3388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790065 (
+	.A_N(n_2454),
+	.B(n_25),
+	.Y(n_3387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790066 (
+	.A(n_1978),
+	.B_N(n_1938),
+	.Y(n_3386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790067 (
+	.A(n_2527),
+	.B_N(n_1943),
+	.Y(n_3385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790068 (
+	.A(n_1976),
+	.B_N(n_1961),
+	.Y(n_3384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790069 (
+	.A(n_27444),
+	.B(n_2447),
+	.X(n_3383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790070 (
+	.A(n_1929),
+	.B(n_1955),
+	.Y(n_3382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790071 (
+	.A(n_25225),
+	.B(n_2432),
+	.Y(n_3381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790072 (
+	.A(n_2424),
+	.B(n_1294),
+	.Y(n_3379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790073 (
+	.A(n_2424),
+	.B(n_1361),
+	.Y(n_3377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790074 (
+	.A(n_25225),
+	.B(n_2423),
+	.Y(n_3375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790075 (
+	.A(n_25222),
+	.B(n_2423),
+	.Y(n_3374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790076 (
+	.A(n_25225),
+	.B(n_2395),
+	.Y(n_3372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g790077 (
+	.A(n_29645),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.C(n_796),
+	.Y(n_3369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790078 (
+	.A(n_1304),
+	.B(n_2413),
+	.Y(n_3368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790079 (
+	.A(n_2415),
+	.B(n_677),
+	.Y(n_3366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790080 (
+	.A(n_25224),
+	.B(n_2416),
+	.Y(n_3364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790081 (
+	.A(n_25224),
+	.B(n_2363),
+	.Y(n_3362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790082 (
+	.A(n_2486),
+	.B_N(\soc_top_ifu_to_xbar[a_valid] ),
+	.Y(n_3360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790083 (
+	.A(n_29691),
+	.B(n_2077),
+	.Y(n_3358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790084 (
+	.A(n_25293),
+	.B(n_2363),
+	.Y(n_3356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790085 (
+	.A(n_2447),
+	.B(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_3355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790086 (
+	.A(n_25270),
+	.B(n_2363),
+	.Y(n_3353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790087 (
+	.A(n_66054),
+	.B_N(n_2424),
+	.Y(n_3352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790088 (
+	.A(n_1965),
+	.B(n_1289),
+	.Y(n_3350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790089 (
+	.A(n_1965),
+	.B(n_31),
+	.Y(n_3348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790090 (
+	.A(n_1965),
+	.B(n_679),
+	.Y(n_3346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790091 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B_N(n_2475),
+	.Y(n_3344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790092 (
+	.A(n_2487),
+	.B(n_673),
+	.Y(n_3342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790094 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.B_N(n_2494),
+	.Y(n_3338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790095 (
+	.A(n_2484),
+	.B_N(n_673),
+	.Y(n_3336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790096 (
+	.A(n_2491),
+	.B_N(n_673),
+	.Y(n_3334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790097 (
+	.A(n_2469),
+	.B(n_673),
+	.Y(n_3332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790098 (
+	.A(n_2481),
+	.B_N(n_673),
+	.Y(n_3330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790099 (
+	.A_N(n_25211),
+	.B(n_1921),
+	.X(n_3328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790100 (
+	.A_N(n_25201),
+	.B(n_1921),
+	.X(n_3327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790101 (
+	.A_N(n_25210),
+	.B(n_1921),
+	.X(n_3326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790102 (
+	.A_N(n_25212),
+	.B(n_1921),
+	.X(n_3325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790103 (
+	.A(n_1632),
+	.B(n_2486),
+	.Y(n_3324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790104 (
+	.A_N(n_25222),
+	.B(n_2364),
+	.X(n_3323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790105 (
+	.A_N(n_25199),
+	.B(n_1921),
+	.X(n_3322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790106 (
+	.A(n_2364),
+	.B(n_1323),
+	.X(n_3321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790107 (
+	.A(n_2367),
+	.B(soc_top_u_top_u_core_csr_save_if),
+	.X(n_3320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790108 (
+	.A(n_2367),
+	.B(soc_top_u_top_u_core_csr_save_wb),
+	.X(n_3319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790109 (
+	.A(n_1582),
+	.B(n_2511),
+	.X(n_3318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790110 (
+	.A(n_2367),
+	.B(n_2511),
+	.X(n_3317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790111 (
+	.A(n_2364),
+	.B(n_1361),
+	.X(n_3316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790112 (
+	.A(n_2364),
+	.B(n_1721),
+	.X(n_3315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790113 (
+	.A(n_2364),
+	.B(n_1690),
+	.X(n_3314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g790114 (
+	.A_N(n_43384),
+	.B(n_1930),
+	.X(n_3313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790115 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(n_2514),
+	.X(n_3311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g790116 (
+	.A(n_2469),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_3309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790117 (
+	.A_N(n_2491),
+	.B(n_10),
+	.Y(n_2940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790118 (
+	.A(n_10),
+	.B(n_2484),
+	.Y(n_3280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790119 (
+	.A(n_2494),
+	.B(n_10),
+	.X(n_3279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g790120 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_2482),
+	.X(n_3277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790121 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_2481),
+	.Y(n_3256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g790122 (
+	.A(n_10),
+	.B(n_2482),
+	.X(n_3255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g790123 (
+	.A_N(n_2491),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.X(n_3244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g790125 (
+	.A(n_2487),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Y(n_3219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g790126 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B_N(n_2469),
+	.X(n_3217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g790129 (
+	.A(n_2487),
+	.B(n_10),
+	.Y(n_3211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790131 (
+	.A(n_2714),
+	.Y(n_2939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790132 (
+	.A(n_2587),
+	.Y(n_2938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790148 (
+	.A(n_67221),
+	.Y(n_2876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790149 (
+	.A(n_67222),
+	.Y(n_2874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790150 (
+	.A(n_67223),
+	.Y(n_2871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790151 (
+	.A(n_67224),
+	.Y(n_2869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790152 (
+	.A(n_67225),
+	.Y(n_2867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790153 (
+	.A(n_2865),
+	.Y(n_2866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790154 (
+	.A(n_67226),
+	.Y(n_2863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790155 (
+	.A(n_67227),
+	.Y(n_2861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790156 (
+	.A(n_67228),
+	.Y(n_2859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790157 (
+	.A(n_67229),
+	.Y(n_2857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790158 (
+	.A(n_67230),
+	.Y(n_2855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790159 (
+	.A(n_67231),
+	.Y(n_2852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790160 (
+	.A(n_67232),
+	.Y(n_2850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790161 (
+	.A(n_67233),
+	.Y(n_2848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790162 (
+	.A(n_67234),
+	.Y(n_2846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790163 (
+	.A(n_67235),
+	.Y(n_2844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790164 (
+	.A(n_67236),
+	.Y(n_2842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790165 (
+	.A(n_67237),
+	.Y(n_2840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790166 (
+	.A(n_67238),
+	.Y(n_2838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790167 (
+	.A(n_67239),
+	.Y(n_2836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790168 (
+	.A(n_67240),
+	.Y(n_2834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790169 (
+	.A(n_67241),
+	.Y(n_2832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790170 (
+	.A(n_67242),
+	.Y(n_2830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790171 (
+	.A(n_67243),
+	.Y(n_2828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790172 (
+	.A(n_67244),
+	.Y(n_2826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790173 (
+	.A(n_67245),
+	.Y(n_2824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790174 (
+	.A(n_67246),
+	.Y(n_2822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790175 (
+	.A(n_67247),
+	.Y(n_2820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790176 (
+	.A(n_67248),
+	.Y(n_2818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790177 (
+	.A(n_2817),
+	.Y(n_2816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790178 (
+	.A(n_2815),
+	.Y(n_2814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790179 (
+	.A(n_2809),
+	.Y(n_2808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790180 (
+	.A(n_61170),
+	.Y(n_2805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790181 (
+	.A(n_2803),
+	.Y(n_2802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790182 (
+	.A(n_2763),
+	.Y(n_2762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790183 (
+	.A(n_2761),
+	.Y(n_2760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790184 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [4]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.X(n_2759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g790185 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_1440),
+	.X(n_2758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g790186 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_rptr [2]),
+	.B(n_1122),
+	.Y(n_2757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790187 (
+	.A(n_1587),
+	.B_N(n_2502),
+	.Y(n_2756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g790188 (
+	.A0(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[1]),
+	.S(n_1303),
+	.Y(n_2755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790189 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.X(n_2754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790190 (
+	.A(n_546),
+	.B(n_1878),
+	.Y(n_2753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790191 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_577),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.X(n_2752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790192 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.X(n_2751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790193 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.X(n_2750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790194 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [14]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.X(n_2749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790195 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [8]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.X(n_2748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790196 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [30]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.Y(n_2747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790197 (
+	.A1(n_714),
+	.A2(n_1578),
+	.B1(n_748),
+	.B2(n_1270),
+	.Y(n_2746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790198 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.X(n_2745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790199 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[22]),
+	.B1(n_29945),
+	.B2(n_27330),
+	.X(n_2744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790200 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [10]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.X(n_2743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790201 (
+	.A1(n_711),
+	.A2(n_1578),
+	.B1(n_77),
+	.B2(n_1270),
+	.X(n_2742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790202 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.X(n_2741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790203 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[12]),
+	.B1(n_29945),
+	.B2(n_27320),
+	.X(n_2740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790204 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_595),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.X(n_2739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790205 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[14]),
+	.B1(n_29945),
+	.B2(n_27322),
+	.X(n_2738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790206 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[17]),
+	.B1(n_29945),
+	.B2(n_27325),
+	.X(n_2737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790207 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[24]),
+	.B1(n_29945),
+	.B2(n_27332),
+	.X(n_2736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790208 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[25]),
+	.B1(n_27333),
+	.B2(n_29945),
+	.X(n_2735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790209 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[26]),
+	.B1(n_27334),
+	.B2(n_29945),
+	.X(n_2734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790210 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[30]),
+	.B1(n_27338),
+	.B2(n_29945),
+	.X(n_2733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g790211 (
+	.A1_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [1]),
+	.A2_N(n_1255),
+	.B1(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B2(n_1292),
+	.Y(n_2732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g790212 (
+	.A1(n_1293),
+	.A2(soc_top_u_top_data_we),
+	.A3(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.B1(n_1255),
+	.B2(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_instr_type_q [0]),
+	.X(n_2731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790213 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_583),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.X(n_2730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790214 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_576),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.X(n_2729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790215 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_582),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.X(n_2728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790216 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_586),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.X(n_2727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790217 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_591),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.X(n_2726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790218 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_600),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(n_2725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790219 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_602),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.X(n_2724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790220 (
+	.A1(n_79),
+	.A2(n_1271),
+	.B1(n_80),
+	.B2(n_1274),
+	.Y(n_2723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790221 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_598),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.X(n_2722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790222 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_596),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.X(n_2721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790223 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.X(n_2720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790224 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_588),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.X(n_2719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790225 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.X(n_2718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790226 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_579),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.X(n_2717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790227 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.C1(n_2500),
+	.Y(n_2716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g790228 (
+	.A1(n_1613),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.B1_N(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.Y(n_2715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g790229 (
+	.A(n_1497),
+	.B(n_1142),
+	.C(n_1143),
+	.Y(n_2714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790230 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [12]),
+	.A2(n_1474),
+	.B1(n_25243),
+	.Y(n_2713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790231 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [13]),
+	.A2(n_1473),
+	.B1(n_25244),
+	.Y(n_2712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790232 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [14]),
+	.A2(n_1532),
+	.B1(n_25245),
+	.Y(n_2711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790233 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [15]),
+	.A2(n_1449),
+	.B1(n_25246),
+	.Y(n_2710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790234 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [16]),
+	.A2(n_1174),
+	.B1(n_25247),
+	.Y(n_2709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790235 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [17]),
+	.A2(n_1444),
+	.B1(n_25248),
+	.Y(n_2708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790236 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [19]),
+	.A2(n_1524),
+	.B1(n_25249),
+	.Y(n_2707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790237 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [20]),
+	.A2(n_1543),
+	.B1(n_25250),
+	.Y(n_2706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790238 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [21]),
+	.A2(n_1156),
+	.B1(n_25251),
+	.Y(n_2705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790239 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [22]),
+	.A2(n_1180),
+	.B1(n_25252),
+	.Y(n_2704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790240 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [23]),
+	.A2(n_1454),
+	.B1(n_25253),
+	.Y(n_2703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790241 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [24]),
+	.A2(n_1452),
+	.B1(n_25254),
+	.Y(n_2702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790242 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [25]),
+	.A2(n_1527),
+	.B1(n_25255),
+	.Y(n_2701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790243 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [27]),
+	.A2(n_1193),
+	.B1(n_25257),
+	.Y(n_2700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790244 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [29]),
+	.A2(n_1209),
+	.B1(n_25259),
+	.Y(n_2699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790245 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [26]),
+	.A2(n_1506),
+	.B1(n_25256),
+	.Y(n_2698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790246 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [5]),
+	.A2(n_1514),
+	.B1(n_25236),
+	.Y(n_2697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790247 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [1]),
+	.A2(n_1185),
+	.B1(n_25232),
+	.Y(n_2696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790248 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [31]),
+	.A2(n_1520),
+	.B1(n_25230),
+	.Y(n_2695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790249 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [30]),
+	.A2(n_1125),
+	.B1(n_25229),
+	.Y(n_2694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790250 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [28]),
+	.A2(n_1149),
+	.B1(n_25258),
+	.Y(n_2693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790251 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [0]),
+	.A2(n_1481),
+	.B1(n_25231),
+	.Y(n_2692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790252 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [11]),
+	.A2(n_1152),
+	.B1(n_25242),
+	.Y(n_2691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790253 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [10]),
+	.A2(n_1145),
+	.B1(n_25241),
+	.Y(n_2690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790254 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [9]),
+	.A2(n_1140),
+	.B1(n_25240),
+	.Y(n_2689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790255 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [8]),
+	.A2(n_1536),
+	.B1(n_25239),
+	.Y(n_2688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790256 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [2]),
+	.A2(n_1453),
+	.B1(n_25233),
+	.Y(n_2687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790257 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [3]),
+	.A2(n_1455),
+	.B1(n_25234),
+	.Y(n_2686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790258 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [4]),
+	.A2(n_1190),
+	.B1(n_25235),
+	.Y(n_2685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790259 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [6]),
+	.A2(n_1154),
+	.B1(n_25237),
+	.Y(n_2684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790260 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvlhigh][q] [7]),
+	.A2(n_1135),
+	.B1(n_25238),
+	.Y(n_2683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790261 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_580),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(n_2682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g790262 (
+	.A1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.A2(n_25342),
+	.B1(n_2447),
+	.C1(n_25344),
+	.X(n_2681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790263 (
+	.A1(n_1131),
+	.A2(n_1337),
+	.B1(n_2468),
+	.Y(n_2680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790266 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_581),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.X(n_2677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g790267 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.D(n_1847),
+	.Y(n_2676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g790268 (
+	.A(n_796),
+	.B(n_1659),
+	.C(n_776),
+	.D(n_1710),
+	.Y(n_2675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790270 (
+	.A1(n_1297),
+	.A2(n_25212),
+	.B1(n_2110),
+	.Y(n_2673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790271 (
+	.A1(n_1297),
+	.A2(n_25214),
+	.B1(n_2071),
+	.Y(n_2672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790272 (
+	.A1(n_25225),
+	.A2(n_1300),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_reset),
+	.Y(n_2671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790273 (
+	.A1(n_25293),
+	.A2(n_1300),
+	.B1(soc_top_u_uart_u_uart_core_tx_fifo_clear),
+	.Y(n_2670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790274 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.X(n_2669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790275 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.Y(n_2668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790276 (
+	.A1(n_1297),
+	.A2(n_25201),
+	.B1(n_2061),
+	.Y(n_2667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790277 (
+	.A1(n_97),
+	.A2(n_1640),
+	.B1(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_2666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790278 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [25]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.X(n_2665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790279 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_584),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(n_2664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g790280 (
+	.A1(n_25414),
+	.A2(n_27375),
+	.A3(n_19182),
+	.B1(n_25471),
+	.Y(n_2663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g790281 (
+	.A(n_25435),
+	.B(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.C_N(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.D_N(n_25427),
+	.X(n_2662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g790283 (
+	.A1(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.A2(n_1375),
+	.B1_N(soc_top_u_pwm_pwm_core_period[11]),
+	.X(n_2660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790285 (
+	.A_N(soc_top_u_pwm_pwm_core_period_2[10]),
+	.B(n_1734),
+	.C(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.Y(n_2658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790286 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.Y(n_2657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790287 (
+	.A1(n_1631),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.Y(n_2656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790288 (
+	.A1(n_1646),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[5]),
+	.Y(n_2655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790289 (
+	.A1(n_1625),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.Y(n_2654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g790291 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [13]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [15]),
+	.C(n_1867),
+	.X(n_2652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g790292 (
+	.A(n_1865),
+	.B(n_1517),
+	.Y(n_2651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g790294 (
+	.A(n_33157),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.C(n_33156),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
+	.Y(n_2649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790295 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_597),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.X(n_2648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790296 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_601),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.X(n_2647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790297 (
+	.A(n_1362),
+	.B(n_1301),
+	.C(n_29),
+	.X(n_2646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790298 (
+	.A1(n_1636),
+	.A2(n_24589),
+	.B1(n_2397),
+	.Y(n_2645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g790299 (
+	.A1(n_656),
+	.A2(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.A3(n_1071),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [0]),
+	.Y(n_2644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g790300 (
+	.A(soc_top_u_top_u_core_id_stage_i_ebrk_insn),
+	.B(soc_top_u_top_u_core_id_stage_i_ecall_insn_dec),
+	.C(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.D_N(n_1293),
+	.X(n_2643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790301 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.X(n_2642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790303 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_604),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.X(n_2640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790304 (
+	.A1(n_1259),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_605),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(n_2639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790305 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [29]),
+	.X(n_2638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790306 (
+	.A1(n_1272),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.B1(n_1273),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_2637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790307 (
+	.A1(n_77),
+	.A2(n_1578),
+	.B1(n_78),
+	.B2(n_1270),
+	.X(n_2636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790308 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[11]),
+	.B1(n_29945),
+	.B2(n_27319),
+	.X(n_2635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790309 (
+	.A1(n_78),
+	.A2(n_1271),
+	.B1(FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9),
+	.B2(n_1274),
+	.X(n_2634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790310 (
+	.A1(n_704),
+	.A2(n_1271),
+	.B1(n_736),
+	.B2(n_1274),
+	.Y(n_2633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790311 (
+	.A1(n_691),
+	.A2(n_1578),
+	.B1(n_36),
+	.B2(n_1270),
+	.Y(n_2632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g790312 (
+	.A1_N(n_767),
+	.A2_N(n_1274),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B2(n_1272),
+	.Y(n_2631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790313 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[31]),
+	.B1(n_27339),
+	.B2(n_29945),
+	.X(n_2630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g790314 (
+	.A1_N(n_711),
+	.A2_N(n_1274),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.B2(n_1272),
+	.Y(n_2629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790315 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.X(n_2628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790316 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [18]),
+	.X(n_2627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g790317 (
+	.A0(n_1642),
+	.A1(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.S(n_97),
+	.Y(n_2626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790318 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.X(n_2625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790319 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [31]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.X(n_2624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790320 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.Y(n_2623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790321 (
+	.A1(n_1259),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_606),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.X(n_2622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790322 (
+	.A(n_33156),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]),
+	.D(n_33157),
+	.X(n_2621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790323 (
+	.A(n_1336),
+	.B(n_210),
+	.C(n_672),
+	.X(n_2620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g790324 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[0]),
+	.B(n_1614),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_div_by_zero_q ),
+	.Y(n_2619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790325 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_603),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.X(n_2618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g790326 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.A2(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B1(n_30144),
+	.B2(n_31670),
+	.C1(n_1030),
+	.C2(n_31465),
+	.Y(n_2617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790327 (
+	.A(n_27435),
+	.B(n_27436),
+	.C(n_27438),
+	.D(n_64897),
+	.X(n_2616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790328 (
+	.A1(n_691),
+	.A2(n_1271),
+	.B1(n_694),
+	.B2(n_1274),
+	.Y(n_2615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790330 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [27]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [28]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [29]),
+	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [30]),
+	.X(n_2613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g790331 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [2]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [3]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [1]),
+	.D(n_25814),
+	.Y(n_2612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790332 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_599),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.X(n_2611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790333 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [4]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [7]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [5]),
+	.D(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [6]),
+	.X(n_2610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790334 (
+	.A(n_27414),
+	.B(n_27432),
+	.C(n_27433),
+	.D(n_27431),
+	.X(n_2609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790335 (
+	.A1(n_36),
+	.A2(n_1578),
+	.B1(n_55),
+	.B2(n_1270),
+	.Y(n_2608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g790336 (
+	.A1_N(n_52),
+	.A2_N(n_1274),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.B2(n_1272),
+	.Y(n_2607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g790337 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.C(n_27375),
+	.D(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.Y(n_2606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790338 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_2605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790339 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_594),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.X(n_2604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790340 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_592),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(n_2603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g790341 (
+	.A(n_26918),
+	.B(n_26880),
+	.C(n_25296),
+	.D(n_64738),
+	.X(n_2602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g790342 (
+	.A1(n_1057),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B1(n_39365),
+	.B2(n_36105),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.C2(n_66776),
+	.Y(n_2601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790343 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_2600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790344 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_587),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.X(n_2599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790345 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_589),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.X(n_2598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790346 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_593),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.X(n_2597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790347 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_590),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.X(n_2596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790348 (
+	.A(n_2508),
+	.B(n_25176),
+	.C(n_25173),
+	.X(n_2595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g790349 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_we_q),
+	.B(n_27375),
+	.C(soc_top_u_top_u_core_load_store_unit_i_data_or_pmp_err),
+	.D_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.Y(n_2594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790350 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_585),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.X(n_2593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790351 (
+	.A1(n_745),
+	.A2(n_1578),
+	.B1(n_691),
+	.B2(n_1270),
+	.Y(n_2592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790352 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.X(n_2591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790354 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_n_578),
+	.A2(n_1259),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(n_2589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790355 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.B1(n_1282),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.Y(n_2588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g790356 (
+	.A(n_1442),
+	.B(n_1515),
+	.C(n_1130),
+	.Y(n_2587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g790357 (
+	.A1(n_507),
+	.A2(n_76727),
+	.B1(n_497),
+	.C1(n_1150),
+	.Y(n_2586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790358 (
+	.A1(n_1662),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.B1(n_1861),
+	.Y(n_2585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g790359 (
+	.A1(n_174),
+	.A2(n_147),
+	.A3(soc_top_u_top_u_core_priv_mode_id[0]),
+	.B1(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [0]),
+	.X(n_2584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g790360 (
+	.A1(n_174),
+	.A2(n_147),
+	.A3(soc_top_u_top_u_core_priv_mode_id[1]),
+	.B1(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B2(\soc_top_u_top_u_core_cs_registers_i_dcsr_q[prv] [1]),
+	.X(n_2583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790361 (
+	.A(n_2370),
+	.B(n_1687),
+	.C(n_285),
+	.X(n_2582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790362 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [21]),
+	.X(n_2581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790363 (
+	.A_N(n_1426),
+	.B(n_1389),
+	.C(n_1374),
+	.Y(n_2580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g790364 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [2]),
+	.B(n_1912),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [1]),
+	.Y(n_2579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790365 (
+	.A1(n_1677),
+	.A2(n_1694),
+	.B1(n_1428),
+	.X(n_2578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g790366 (
+	.A(n_1317),
+	.B(n_1593),
+	.C(n_37903),
+	.X(n_2577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g790367 (
+	.A1(n_28),
+	.A2(n_177),
+	.A3(soc_top_u_uart_u_uart_core_rx_status),
+	.B1(n_25271),
+	.B2(\soc_top_uart_to_xbar[d_data] [0]),
+	.X(n_2576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g790368 (
+	.A1(n_768),
+	.A2(n_25210),
+	.A3(soc_top_u_uart_u_uart_core_tx_fifo_init),
+	.B1(n_184),
+	.B2(n_1296),
+	.X(n_2575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g790369 (
+	.A0(n_1411),
+	.A1(n_1186),
+	.S(n_25109),
+	.Y(n_2574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790370 (
+	.A1(n_1289),
+	.A2(soc_top_intr_controller_u_reg_msip0_qs),
+	.B1(n_183),
+	.B2(\soc_top_intr_controller_reg2hw[prio29][q] [0]),
+	.Y(n_2573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790371 (
+	.A1(n_1268),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.B1(n_1286),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.X(n_2572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790372 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.A2(n_1732),
+	.B1(n_25262),
+	.B2(n_1339),
+	.Y(n_2571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790373 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.A2(n_1404),
+	.B1(n_25263),
+	.B2(n_1635),
+	.Y(n_2570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790374 (
+	.A1(n_710),
+	.A2(n_1578),
+	.B1(n_711),
+	.B2(n_1270),
+	.X(n_2569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790375 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[10]),
+	.B1(n_29945),
+	.B2(n_27318),
+	.X(n_2568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790376 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[13]),
+	.B1(n_29945),
+	.B2(n_27321),
+	.X(n_2567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790377 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[15]),
+	.B1(n_29945),
+	.B2(n_27323),
+	.X(n_2566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790378 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[16]),
+	.B1(n_29945),
+	.B2(n_27324),
+	.X(n_2565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790379 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[18]),
+	.B1(n_29945),
+	.B2(n_27326),
+	.X(n_2564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790380 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[19]),
+	.B1(n_29945),
+	.B2(n_27327),
+	.X(n_2563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790381 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[20]),
+	.B1(n_29945),
+	.B2(n_27328),
+	.X(n_2562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790382 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[21]),
+	.B1(n_29945),
+	.B2(n_27329),
+	.X(n_2561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790383 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_2560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790384 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[23]),
+	.B1(n_29945),
+	.B2(n_27331),
+	.X(n_2559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790385 (
+	.A1(n_745),
+	.A2(n_1271),
+	.B1(n_55),
+	.B2(n_1274),
+	.Y(n_2558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790386 (
+	.A1(n_694),
+	.A2(n_1271),
+	.B1(n_79),
+	.B2(n_1274),
+	.Y(n_2557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790387 (
+	.A1(n_71),
+	.A2(n_1578),
+	.B1(n_721),
+	.B2(n_1270),
+	.Y(n_2556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790388 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[27]),
+	.B1(n_27335),
+	.B2(n_29945),
+	.X(n_2555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g790389 (
+	.A1(n_29944),
+	.A2(soc_top_u_top_u_core_csr_mtvec[28]),
+	.B1(n_27336),
+	.B2(n_29945),
+	.X(n_2554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g790390 (
+	.A1_N(n_61),
+	.A2_N(n_1578),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B2(n_1269),
+	.Y(n_2553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790391 (
+	.A1(FE_DBTN111_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP_9),
+	.A2(n_1271),
+	.B1(n_748),
+	.B2(n_1274),
+	.X(n_2552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g790392 (
+	.A1_N(n_714),
+	.A2_N(n_1270),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B2(n_1577),
+	.Y(n_2551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790393 (
+	.A1(n_736),
+	.A2(n_1271),
+	.B1(n_36),
+	.B2(n_1274),
+	.Y(n_2550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790394 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_2549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790395 (
+	.A1(n_77),
+	.A2(n_1271),
+	.B1(n_734),
+	.B2(n_1274),
+	.X(n_2548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790397 (
+	.A1(n_55),
+	.A2(n_1271),
+	.B1(n_721),
+	.B2(n_1274),
+	.Y(n_2546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790398 (
+	.A1(n_694),
+	.A2(n_1578),
+	.B1(n_71),
+	.B2(n_1270),
+	.Y(n_2545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790399 (
+	.A1(n_52),
+	.A2(n_1578),
+	.B1(n_61),
+	.B2(n_1270),
+	.Y(n_2544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790400 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_2543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790401 (
+	.A1(n_721),
+	.A2(n_1271),
+	.B1(n_61),
+	.B2(n_1274),
+	.Y(n_2542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790402 (
+	.A1(n_79),
+	.A2(n_1578),
+	.B1(n_52),
+	.B2(n_1270),
+	.Y(n_2541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g790403 (
+	.A1(n_748),
+	.A2(n_1271),
+	.B1(n_691),
+	.B2(n_1274),
+	.Y(n_2540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790404 (
+	.A1(n_736),
+	.A2(n_1578),
+	.B1(n_745),
+	.B2(n_1270),
+	.X(n_2539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g790405 (
+	.A1(n_1577),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.B1(n_1269),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_2538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790406 (
+	.A1(n_44),
+	.A2(n_1271),
+	.B1(n_704),
+	.B2(n_1274),
+	.X(n_2537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g790407 (
+	.A1(n_714),
+	.A2(n_1271),
+	.B1(n_745),
+	.B2(n_1274),
+	.X(n_2536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790408 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[11]),
+	.B(n_1512),
+	.C(soc_top_intr_gpio[10]),
+	.Y(n_2936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790409 (
+	.A1(\soc_top_intr_controller_reg2hw[le][19][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[19]),
+	.B1(n_526),
+	.C1(soc_top_intr_controller_u_gateway_ia[19]),
+	.Y(n_2934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790410 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[5]),
+	.B(n_1189),
+	.C(soc_top_intr_gpio[4]),
+	.Y(n_2933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g790411 (
+	.A1(n_826),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B1(n_229),
+	.B2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.C1(n_1724),
+	.Y(n_2932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790412 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[9]),
+	.A2(n_160),
+	.B1(n_1416),
+	.C1(n_1370),
+	.Y(n_2931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790413 (
+	.A1(\soc_top_intr_controller_reg2hw[le][23][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[23]),
+	.B1(n_1097),
+	.C1(soc_top_intr_controller_u_gateway_ia[23]),
+	.Y(n_2929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g790414 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_buffer_empty),
+	.B(n_1367),
+	.C(n_1291),
+	.X(n_2535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g790415 (
+	.A1(n_1696),
+	.A2(n_25210),
+	.B1_N(n_1679),
+	.Y(n_2928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790416 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[25]),
+	.B(n_1519),
+	.C(soc_top_intr_gpio[24]),
+	.Y(n_2927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790417 (
+	.A1(\soc_top_intr_controller_reg2hw[le][22][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[22]),
+	.B1(n_1107),
+	.C1(soc_top_intr_controller_u_gateway_ia[22]),
+	.Y(n_2925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790418 (
+	.A1(\soc_top_intr_controller_reg2hw[le][2][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[2]),
+	.B1(n_532),
+	.C1(soc_top_intr_controller_u_gateway_ia[2]),
+	.Y(n_2923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790419 (
+	.A1(\soc_top_intr_controller_reg2hw[le][6][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[6]),
+	.B1(n_1095),
+	.C1(soc_top_intr_controller_u_gateway_ia[6]),
+	.Y(n_2921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790420 (
+	.A1(\soc_top_intr_controller_reg2hw[le][20][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[20]),
+	.B1(n_1108),
+	.C1(soc_top_intr_controller_u_gateway_ia[20]),
+	.Y(n_2919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790421 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[28]),
+	.B(n_1500),
+	.C(soc_top_intr_gpio[27]),
+	.Y(n_2918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790422 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[29]),
+	.B(n_1127),
+	.C(soc_top_intr_gpio[28]),
+	.Y(n_2917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790423 (
+	.A1(\soc_top_intr_controller_reg2hw[le][7][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[7]),
+	.B1(n_1104),
+	.C1(soc_top_intr_controller_u_gateway_ia[7]),
+	.Y(n_2915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790424 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[24]),
+	.B(n_1139),
+	.C(soc_top_intr_gpio[23]),
+	.Y(n_2914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790425 (
+	.A1(\soc_top_intr_controller_reg2hw[le][35][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[35]),
+	.B1(n_513),
+	.C1(soc_top_intr_controller_u_gateway_ia[35]),
+	.Y(n_2912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790426 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[34]),
+	.B(n_1468),
+	.C(soc_top_intr_u_tx),
+	.Y(n_2911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790427 (
+	.A(soc_top_intr_controller_u_gateway_ia[36]),
+	.B_N(n_2532),
+	.Y(n_2534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790428 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[13]),
+	.B(n_1477),
+	.C(soc_top_intr_gpio[12]),
+	.Y(n_2910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g790429 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[13]),
+	.A2(n_777),
+	.B1(soc_top_u_pwm_pwm_core_period_2[14]),
+	.B2(n_798),
+	.C1(n_1663),
+	.Y(n_2909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790430 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[8]),
+	.B(n_1458),
+	.C(soc_top_intr_gpio[7]),
+	.Y(n_2908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790431 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[32]),
+	.B(n_1202),
+	.C(soc_top_intr_gpio[31]),
+	.Y(n_2907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790432 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[1]),
+	.B(n_1479),
+	.C(soc_top_intr_gpio[0]),
+	.Y(n_2906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790433 (
+	.A1(\soc_top_intr_controller_reg2hw[le][3][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[3]),
+	.B1(n_531),
+	.C1(soc_top_intr_controller_u_gateway_ia[3]),
+	.Y(n_2904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790434 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[31]),
+	.B(n_1136),
+	.C(soc_top_intr_gpio[30]),
+	.Y(n_2903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790435 (
+	.A1(\soc_top_intr_controller_reg2hw[le][26][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[26]),
+	.B1(n_1096),
+	.C1(soc_top_intr_controller_u_gateway_ia[26]),
+	.Y(n_2901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790436 (
+	.A1(\soc_top_intr_controller_reg2hw[le][18][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[18]),
+	.B1(n_527),
+	.C1(soc_top_intr_controller_u_gateway_ia[18]),
+	.Y(n_2899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790437 (
+	.A1(\soc_top_intr_controller_reg2hw[le][17][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[17]),
+	.B1(n_1112),
+	.C1(soc_top_intr_controller_u_gateway_ia[17]),
+	.Y(n_2897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790438 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[14]),
+	.B(n_1151),
+	.C(soc_top_intr_gpio[13]),
+	.Y(n_2896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790439 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[30]),
+	.B(n_1535),
+	.C(soc_top_intr_gpio[29]),
+	.Y(n_2895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790440 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[27]),
+	.B(n_1509),
+	.C(soc_top_intr_gpio[26]),
+	.Y(n_2894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790441 (
+	.A1(\soc_top_intr_controller_reg2hw[le][16][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[16]),
+	.B1(n_542),
+	.C1(soc_top_intr_controller_u_gateway_ia[16]),
+	.Y(n_2892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790442 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[10]),
+	.B(n_1165),
+	.C(soc_top_intr_gpio[9]),
+	.Y(n_2891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790443 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[9]),
+	.B(n_1469),
+	.C(soc_top_intr_gpio[8]),
+	.Y(n_2890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g790444 (
+	.A1(\soc_top_intr_controller_reg2hw[le][21][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[21]),
+	.B1(n_514),
+	.C1(soc_top_intr_controller_u_gateway_ia[21]),
+	.Y(n_2888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790445 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[15]),
+	.B(n_1464),
+	.C(soc_top_intr_gpio[14]),
+	.Y(n_2887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790446 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[12]),
+	.B(n_1211),
+	.C(soc_top_intr_gpio[11]),
+	.Y(n_2886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790447 (
+	.A_N(soc_top_intr_controller_u_gateway_ia[4]),
+	.B(n_1482),
+	.C(soc_top_intr_gpio[3]),
+	.Y(n_2885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g790448 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B_N(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.C(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.D(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_2884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g790450 (
+	.A_N(n_25352),
+	.B(n_2467),
+	.C(n_1728),
+	.X(n_2882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790451 (
+	.A(n_28),
+	.B(n_1291),
+	.C(n_285),
+	.X(n_2881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g790452 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.C(n_1181),
+	.X(n_2880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g790453 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B_N(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.C(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.D(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.X(n_2879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g790454 (
+	.A(FE_DBTN91_n_15483),
+	.B(n_1317),
+	.C(n_1678),
+	.Y(n_2878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790457 (
+	.A(n_1805),
+	.B(n_1582),
+	.Y(n_2873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g790461 (
+	.A_N(n_25273),
+	.B(soc_top_main_swith_host_lsu_dev_select_t[1]),
+	.C(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.D(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Y(n_2865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g790467 (
+	.A_N(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.C(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.D(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.X(n_2854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g790486 (
+	.A(n_1353),
+	.B(n_1728),
+	.C(n_25179),
+	.D(n_25174),
+	.Y(n_2817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790487 (
+	.A(n_2424),
+	.B(n_31),
+	.C(n_27),
+	.Y(n_2815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790488 (
+	.A_N(n_1955),
+	.B(n_1929),
+	.Y(n_2813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g790489 (
+	.A_N(n_25109),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ),
+	.C(n_27238),
+	.D(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_2812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790490 (
+	.A(n_1429),
+	.B(n_27238),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_2811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790491 (
+	.A(n_1967),
+	.B(n_544),
+	.C(n_1390),
+	.Y(n_2810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g790492 (
+	.A(n_1921),
+	.B(soc_top_u_top_data_we),
+	.C(n_544),
+	.X(n_2809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790493 (
+	.A(n_1967),
+	.B(n_544),
+	.C(n_1680),
+	.Y(n_2807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g790496 (
+	.A(n_179),
+	.B(\soc_top_lsu_to_xbar[a_address] [31]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [31]),
+	.X(n_2803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790497 (
+	.A(n_1921),
+	.B(n_1291),
+	.X(n_2801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790498 (
+	.A(n_2364),
+	.B(n_1294),
+	.X(n_2800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790500 (
+	.A0(n_70131),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[8]),
+	.S(n_1254),
+	.X(n_2798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790502 (
+	.A0(n_56302),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.S(n_1254),
+	.X(n_2796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790503 (
+	.A0(n_37552),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[22]),
+	.S(n_1254),
+	.X(n_2795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790504 (
+	.A0(n_53269),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.S(n_1254),
+	.X(n_2794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790506 (
+	.A0(n_69718),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[6]),
+	.S(n_1254),
+	.X(n_2792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790507 (
+	.A0(n_38206),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.S(n_1254),
+	.X(n_2791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790508 (
+	.A0(n_56356),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.S(n_1254),
+	.X(n_2790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790509 (
+	.A0(n_51499),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.S(n_1254),
+	.X(n_2789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790510 (
+	.A0(n_25518),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[3]),
+	.S(n_1254),
+	.X(n_2788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790511 (
+	.A0(n_67577),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.S(n_1254),
+	.X(n_2787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790512 (
+	.A0(n_35903),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[13]),
+	.S(n_1254),
+	.X(n_2786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790513 (
+	.A0(n_37553),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[18]),
+	.S(n_1254),
+	.X(n_2785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790514 (
+	.A0(n_31100),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[4]),
+	.S(n_1254),
+	.X(n_2784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790516 (
+	.A0(n_37555),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[16]),
+	.S(n_1254),
+	.X(n_2782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790518 (
+	.A0(n_50549),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.S(n_1254),
+	.X(n_2780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790519 (
+	.A0(n_35902),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.S(n_1254),
+	.X(n_2779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790520 (
+	.A0(n_68365),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.S(n_1254),
+	.X(n_2778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790521 (
+	.A0(n_25514),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.S(n_1254),
+	.X(n_2777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790522 (
+	.A0(n_25517),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.S(n_1254),
+	.X(n_2776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g790523 (
+	.A0(n_69546),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.S(n_1254),
+	.X(n_2775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790524 (
+	.A0(n_37551),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.S(n_1254),
+	.X(n_2774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790525 (
+	.A0(n_36668),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.S(n_1254),
+	.X(n_2773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790528 (
+	.A0(n_25510),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[27]),
+	.S(n_1254),
+	.X(n_2770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790529 (
+	.A0(n_37554),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[17]),
+	.S(n_1254),
+	.X(n_2769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g790530 (
+	.A0(n_25516),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[1]),
+	.S(n_1254),
+	.X(n_2768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g790531 (
+	.A(n_2364),
+	.B(n_183),
+	.C(n_29),
+	.X(n_2767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g790532 (
+	.A(n_2364),
+	.B(n_1289),
+	.C(n_677),
+	.X(n_2766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g790533 (
+	.A(n_2364),
+	.B(n_2446),
+	.X(n_2765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g790534 (
+	.A(n_25270),
+	.B(n_1300),
+	.C_N(n_1362),
+	.X(n_2764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g790535 (
+	.A(n_2475),
+	.B(n_10),
+	.X(n_2763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g790536 (
+	.A(n_10),
+	.B_N(n_2494),
+	.X(n_2761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790538 (
+	.A(n_2516),
+	.Y(n_2517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790540 (
+	.A(n_2497),
+	.Y(n_2498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790541 (
+	.A(n_2493),
+	.Y(n_2492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790547 (
+	.A(n_2443),
+	.Y(n_2442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790548 (
+	.A(n_2440),
+	.Y(n_2439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790549 (
+	.A(n_2435),
+	.Y(n_2436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790550 (
+	.A(n_2434),
+	.Y(n_2433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790551 (
+	.A(n_2432),
+	.Y(n_2431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790553 (
+	.A(n_30038),
+	.Y(n_2427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790554 (
+	.A(n_2426),
+	.Y(n_2425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790555 (
+	.A(n_2424),
+	.Y(n_2423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790556 (
+	.A(n_30036),
+	.Y(n_2421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790558 (
+	.A(n_2416),
+	.Y(n_2415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790559 (
+	.A(n_2414),
+	.Y(n_2413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790560 (
+	.A(n_2412),
+	.Y(n_2411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790561 (
+	.A(n_2409),
+	.Y(n_2408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790562 (
+	.A(n_2407),
+	.Y(n_2406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790563 (
+	.A(n_2405),
+	.Y(n_2404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790564 (
+	.A(n_2403),
+	.Y(n_2402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790565 (
+	.A(n_2401),
+	.Y(n_2400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790566 (
+	.A(n_2399),
+	.Y(n_2398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790567 (
+	.A(n_2396),
+	.Y(n_2397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790568 (
+	.A(n_2395),
+	.Y(n_2394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790569 (
+	.A(n_2392),
+	.Y(n_2391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790570 (
+	.A(n_2387),
+	.Y(n_2386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790571 (
+	.A(n_2385),
+	.Y(n_2384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g790572 (
+	.A(n_2381),
+	.Y(n_2380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790573 (
+	.A(n_2378),
+	.Y(n_2377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790574 (
+	.A(n_2375),
+	.Y(n_2374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790576 (
+	.A(n_2371),
+	.Y(n_2370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790577 (
+	.A(n_2369),
+	.Y(n_2368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g790578 (
+	.A(n_2367),
+	.Y(n_2366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790579 (
+	.A(n_2365),
+	.Y(n_2364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g790580 (
+	.A(n_2363),
+	.Y(n_2362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g790747 (
+	.A(n_2167),
+	.Y(n_2183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790777 (
+	.A_N(n_1691),
+	.B(n_1397),
+	.Y(n_2157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790778 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.Y(n_2156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g790779 (
+	.A(n_4),
+	.B(n_658),
+	.C(soc_top_intr_controller_ip[36]),
+	.X(n_2155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790780 (
+	.A(n_146),
+	.B(n_1631),
+	.Y(n_2154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790781 (
+	.A1(n_660),
+	.A2(n_25523),
+	.B1(n_25111),
+	.Y(n_2153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790782 (
+	.A(\soc_top_xbar_to_lsu[d_error] ),
+	.B(n_1418),
+	.Y(n_2152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790783 (
+	.A(n_1630),
+	.B(n_544),
+	.X(n_2151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790784 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [8]),
+	.A2(soc_top_GPIO_data_in_q[8]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [8]),
+	.Y(n_2150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790785 (
+	.A(n_44490),
+	.B(n_1356),
+	.Y(n_2149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790786 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.Y(n_2148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790787 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.Y(n_2147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790788 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [2]),
+	.A2(soc_top_GPIO_data_in_q[2]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [2]),
+	.Y(n_2146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790789 (
+	.A1(n_660),
+	.A2(n_25527),
+	.B1(n_25111),
+	.X(n_2145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790790 (
+	.A(n_1594),
+	.B(n_25188),
+	.X(n_2144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790791 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.Y(n_2143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790792 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [0]),
+	.A2(soc_top_GPIO_data_in_q[0]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [0]),
+	.Y(n_2142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790793 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [15]),
+	.A2(soc_top_GPIO_data_in_q[15]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [15]),
+	.Y(n_2141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790794 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [11]),
+	.A2(soc_top_GPIO_data_in_q[11]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [11]),
+	.Y(n_2140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790795 (
+	.A1(n_888),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.B1(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.Y(n_2139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790796 (
+	.A1(n_142),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B1(n_797),
+	.Y(n_2138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790797 (
+	.A1(n_774),
+	.A2(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B1(n_164),
+	.Y(n_2137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790798 (
+	.A1(n_871),
+	.A2(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.B1(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.Y(n_2136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790799 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [6]),
+	.A2(soc_top_GPIO_data_in_q[6]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [6]),
+	.Y(n_2135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790800 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.Y(n_2134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790801 (
+	.A1(n_660),
+	.A2(n_25529),
+	.B1(n_25111),
+	.Y(n_2133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790802 (
+	.A(n_1651),
+	.B(n_544),
+	.X(n_2132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790803 (
+	.A1(n_193),
+	.A2(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.B1(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.Y(n_2131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790804 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [9]),
+	.A2(soc_top_GPIO_data_in_q[9]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [9]),
+	.Y(n_2130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790805 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.Y(n_2129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790806 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [10]),
+	.A2(soc_top_GPIO_data_in_q[10]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [10]),
+	.Y(n_2128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790807 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.Y(n_2127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790808 (
+	.A1(n_660),
+	.A2(n_25531),
+	.B1(n_25111),
+	.X(n_2126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790809 (
+	.A1(n_660),
+	.A2(n_25532),
+	.B1(n_25111),
+	.X(n_2125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790810 (
+	.A1(n_660),
+	.A2(n_25533),
+	.B1(n_25111),
+	.X(n_2124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790811 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [13]),
+	.A2(soc_top_GPIO_data_in_q[13]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [13]),
+	.Y(n_2123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790812 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.Y(n_2122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790813 (
+	.A1(n_660),
+	.A2(n_25535),
+	.B1(n_25111),
+	.Y(n_2121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790814 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [5]),
+	.A2(soc_top_GPIO_data_in_q[5]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [5]),
+	.Y(n_2120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790815 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.Y(n_2119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790816 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [16]),
+	.A2(soc_top_GPIO_data_in_q[16]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [16]),
+	.Y(n_2118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790817 (
+	.A1(n_660),
+	.A2(n_25536),
+	.B1(n_25111),
+	.X(n_2117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790818 (
+	.A(n_1260),
+	.B(\soc_top_u_top_u_core_cs_registers_i_gen_trigger_regs.tmatch_value_q[0] [3]),
+	.Y(n_2116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790819 (
+	.A1(n_660),
+	.A2(n_25537),
+	.B1(n_25111),
+	.X(n_2115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790820 (
+	.A1(n_660),
+	.A2(n_25552),
+	.B1(n_25111),
+	.X(n_2114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790821 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.Y(n_2113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790822 (
+	.A(n_29944),
+	.B(soc_top_u_top_u_core_csr_mtvec[29]),
+	.Y(n_2112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790823 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.Y(n_2111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790824 (
+	.A1(n_25212),
+	.A2(n_25203),
+	.B1(soc_top_u_uart_u_uart_core_rx_clr),
+	.Y(n_2110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790825 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.Y(n_2109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790826 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [19]),
+	.A2(soc_top_GPIO_data_in_q[19]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [19]),
+	.Y(n_2108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790827 (
+	.A1(n_660),
+	.A2(n_25526),
+	.B1(n_25111),
+	.Y(n_2107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790828 (
+	.A1(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.A2(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B1(n_24588),
+	.X(n_2106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790829 (
+	.A1(n_660),
+	.A2(n_25541),
+	.B1(n_25111),
+	.X(n_2105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790830 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [29]),
+	.A2(soc_top_GPIO_data_in_q[29]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [29]),
+	.Y(n_2104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790831 (
+	.A(n_29645),
+	.B(n_796),
+	.Y(n_2103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790832 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [26]),
+	.A2(soc_top_GPIO_data_in_q[26]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [26]),
+	.Y(n_2102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790833 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.Y(n_2101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790834 (
+	.A1(n_660),
+	.A2(n_25543),
+	.B1(n_25111),
+	.X(n_2100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790835 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.Y(n_2099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790836 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.B(n_1423),
+	.Y(n_2098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790837 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.Y(n_2097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790838 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [30]),
+	.A2(soc_top_GPIO_data_in_q[30]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [30]),
+	.Y(n_2096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790839 (
+	.A(n_1660),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_2095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790840 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.B(n_1379),
+	.Y(n_2094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790841 (
+	.A1(n_660),
+	.A2(n_25544),
+	.B1(n_25111),
+	.Y(n_2093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790842 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [7]),
+	.A2(soc_top_GPIO_data_in_q[7]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [7]),
+	.Y(n_2092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790843 (
+	.A(n_1285),
+	.B(n_25605),
+	.Y(n_2091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790844 (
+	.A(pwm1_oe),
+	.B(n_1584),
+	.X(n_2090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790845 (
+	.A1(n_660),
+	.A2(n_25525),
+	.B1(n_25111),
+	.X(n_2089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790846 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.Y(n_2088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790847 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.Y(n_2087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790848 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.Y(n_2086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790849 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.Y(n_2085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790850 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [17]),
+	.A2(soc_top_GPIO_data_in_q[17]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [17]),
+	.Y(n_2084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790851 (
+	.A(pwm2_oe),
+	.B(n_1590),
+	.X(n_2083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790852 (
+	.A(n_30058),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.Y(n_2082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790853 (
+	.A1(n_660),
+	.A2(n_25548),
+	.B1(n_25111),
+	.X(n_2081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790854 (
+	.A1(n_25271),
+	.A2(\soc_top_uart_to_xbar[d_opcode] [0]),
+	.B1(n_1367),
+	.X(n_2080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790855 (
+	.A1(n_660),
+	.A2(n_25554),
+	.B1(n_25111),
+	.X(n_2079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790856 (
+	.A(n_1269),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_2078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790857 (
+	.A1(n_25193),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_n_1705 ),
+	.B1(n_62451),
+	.Y(n_2077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790858 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [14]),
+	.A2(soc_top_GPIO_data_in_q[14]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [14]),
+	.Y(n_2076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790859 (
+	.A1(soc_top_u_uart_u_uart_core_rx_sbit),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_n_217),
+	.B1(n_1673),
+	.Y(n_2075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790860 (
+	.A(n_1577),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.Y(n_2074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790861 (
+	.A(n_1577),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_2073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790862 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [3]),
+	.A2(soc_top_GPIO_data_in_q[3]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [3]),
+	.Y(n_2072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790863 (
+	.A1(n_25214),
+	.A2(n_25203),
+	.B1(soc_top_u_uart_u_uart_core_tx_en),
+	.Y(n_2071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790864 (
+	.A1(n_660),
+	.A2(n_25551),
+	.B1(n_25111),
+	.X(n_2070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790865 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_2069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790866 (
+	.A1(n_660),
+	.A2(n_25549),
+	.B1(n_25111),
+	.Y(n_2068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790867 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [22]),
+	.A2(soc_top_GPIO_data_in_q[22]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [22]),
+	.Y(n_2067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790868 (
+	.A1(n_660),
+	.A2(n_25547),
+	.B1(n_25111),
+	.X(n_2066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790869 (
+	.A1(n_660),
+	.A2(n_25546),
+	.B1(n_25111),
+	.X(n_2065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790870 (
+	.A1(n_660),
+	.A2(n_25545),
+	.B1(n_25111),
+	.X(n_2064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790871 (
+	.A1(n_660),
+	.A2(n_25540),
+	.B1(n_25111),
+	.X(n_2063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790872 (
+	.A1(n_660),
+	.A2(n_25539),
+	.B1(n_25111),
+	.X(n_2062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790873 (
+	.A1(n_25201),
+	.A2(n_25203),
+	.B1(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(n_2061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790874 (
+	.A1(n_660),
+	.A2(n_25538),
+	.B1(n_25111),
+	.Y(n_2060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790875 (
+	.A1(n_660),
+	.A2(n_25542),
+	.B1(n_25111),
+	.X(n_2059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790876 (
+	.A1(n_660),
+	.A2(n_25534),
+	.B1(n_25111),
+	.Y(n_2058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790877 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [1]),
+	.A2(soc_top_GPIO_data_in_q[1]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [1]),
+	.Y(n_2057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790878 (
+	.A1(n_660),
+	.A2(n_25530),
+	.B1(n_25111),
+	.Y(n_2056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790879 (
+	.A(n_1272),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_2055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790880 (
+	.A(n_1272),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.Y(n_2054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790881 (
+	.A1(n_660),
+	.A2(n_25528),
+	.B1(n_25111),
+	.Y(n_2053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790882 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [12]),
+	.A2(soc_top_GPIO_data_in_q[12]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [12]),
+	.Y(n_2052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790883 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [4]),
+	.A2(soc_top_GPIO_data_in_q[4]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [4]),
+	.Y(n_2051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790884 (
+	.A1(n_660),
+	.A2(n_25553),
+	.B1(n_25111),
+	.X(n_2050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790885 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [31]),
+	.A2(soc_top_GPIO_data_in_q[31]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [31]),
+	.Y(n_2049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790886 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [21]),
+	.A2(soc_top_GPIO_data_in_q[21]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [21]),
+	.Y(n_2048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790887 (
+	.A(n_1269),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_2047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790888 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [28]),
+	.A2(soc_top_GPIO_data_in_q[28]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [28]),
+	.Y(n_2046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790889 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [27]),
+	.A2(soc_top_GPIO_data_in_q[27]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [27]),
+	.Y(n_2045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790890 (
+	.A1(n_660),
+	.A2(n_25524),
+	.B1(n_25111),
+	.Y(n_2044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790891 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [25]),
+	.A2(soc_top_GPIO_data_in_q[25]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [25]),
+	.Y(n_2043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790892 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [24]),
+	.A2(soc_top_GPIO_data_in_q[24]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [24]),
+	.Y(n_2042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790893 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [23]),
+	.A2(soc_top_GPIO_data_in_q[23]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [23]),
+	.Y(n_2041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790894 (
+	.A1(\soc_top_GPIO_reg2hw[intr_ctrl_en_falling][q] [20]),
+	.A2(soc_top_GPIO_data_in_q[20]),
+	.B1(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [20]),
+	.Y(n_2040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790895 (
+	.A1(n_25470),
+	.A2(n_459),
+	.B1(n_27233),
+	.Y(n_2039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790896 (
+	.A1(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.A2(soc_top_u_spi_host_spi_host_ctrl[8]),
+	.B1(io_out[4]),
+	.Y(n_2038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790897 (
+	.A1(n_660),
+	.A2(n_25550),
+	.B1(n_25111),
+	.X(n_2037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790898 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[9]),
+	.B1(n_1684),
+	.X(n_2036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790900 (
+	.A(n_1349),
+	.B(n_672),
+	.Y(n_2034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790901 (
+	.A(n_1340),
+	.B(n_1172),
+	.X(n_2033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g790903 (
+	.A1(n_656),
+	.A2(n_25208),
+	.B1_N(n_25215),
+	.X(n_2031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790904 (
+	.A(n_1269),
+	.B(n_1577),
+	.Y(n_2030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790905 (
+	.A(n_1602),
+	.B(n_544),
+	.X(n_2029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g790906 (
+	.A1(\soc_top_intr_controller_reg2hw[le][36][q] ),
+	.A2(soc_top_intr_controller_u_gateway_src_q[36]),
+	.B1_N(soc_top_intr_srx),
+	.Y(n_2532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g790907 (
+	.A(n_1650),
+	.B(n_544),
+	.X(n_2028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g790908 (
+	.A1(n_672),
+	.A2(n_27237),
+	.B1_N(n_27239),
+	.X(n_2027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g790909 (
+	.A(n_1669),
+	.B(n_1724),
+	.X(n_2026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790910 (
+	.A(n_1389),
+	.B_N(n_1713),
+	.Y(n_2025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g790912 (
+	.A(n_25181),
+	.B(n_29205),
+	.C(n_25190),
+	.Y(n_2531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g790913 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[10]),
+	.A2(n_774),
+	.B1_N(n_1734),
+	.Y(n_2530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g790914 (
+	.A(n_16415),
+	.B(n_1594),
+	.X(n_2529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790916 (
+	.A(n_144),
+	.B(n_1341),
+	.Y(n_2528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790917 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[4].filter_diff_ctr_q [3]),
+	.Y(n_2527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790918 (
+	.A(n_148),
+	.B(n_1730),
+	.Y(n_2526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790920 (
+	.A_N(n_1595),
+	.B(n_64176),
+	.Y(n_2525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790921 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[13].filter_diff_ctr_q [3]),
+	.Y(n_2524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790922 (
+	.A(n_25638),
+	.B(n_1283),
+	.Y(n_2523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790924 (
+	.A(n_25287),
+	.B(n_1598),
+	.Y(n_2521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g790925 (
+	.A1(\soc_top_iccm_to_xbar[d_valid] ),
+	.A2(n_938),
+	.B1_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.X(n_2520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790926 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[29].filter_diff_ctr_q [3]),
+	.Y(n_2519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790927 (
+	.A1(n_827),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B1(n_1387),
+	.Y(n_2518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790928 (
+	.A_N(n_1601),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.Y(n_2516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g790929 (
+	.A1(n_875),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B1_N(n_1682),
+	.Y(n_2515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790930 (
+	.A(n_29645),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.Y(n_2022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790931 (
+	.A_N(n_25470),
+	.B(n_1418),
+	.Y(n_2514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790932 (
+	.A(n_1630),
+	.B(n_1596),
+	.Y(n_2021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790933 (
+	.A(n_1298),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_SNaN_S ),
+	.Y(n_2513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790934 (
+	.A(n_1577),
+	.B(n_29645),
+	.Y(n_2512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g790935 (
+	.A1(soc_top_u_top_u_core_csr_save_wb),
+	.A2(soc_top_u_top_u_core_csr_save_if),
+	.B1_N(soc_top_u_top_u_core_csr_save_id),
+	.Y(n_2511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g790937 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.A2(n_30455),
+	.B1(n_25291),
+	.X(n_2510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790938 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_1589),
+	.Y(n_2509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790939 (
+	.A(n_61001),
+	.B(n_1334),
+	.Y(n_2508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790940 (
+	.A(n_131),
+	.B(n_1306),
+	.Y(n_2507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790942 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[5]),
+	.B1(n_1410),
+	.X(n_2506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790943 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[7]),
+	.B1(n_1704),
+	.X(n_2505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790944 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[2]),
+	.B1(n_1425),
+	.X(n_2504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790945 (
+	.A(n_1732),
+	.B(n_1339),
+	.Y(n_2503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790946 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.B_N(n_1636),
+	.Y(n_2502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g790947 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[6]),
+	.B1(n_1708),
+	.X(n_2501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790948 (
+	.A(n_1655),
+	.B(n_1173),
+	.Y(n_2500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790949 (
+	.A1(n_61102),
+	.A2(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[8]),
+	.B1(n_1697),
+	.Y(n_2497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790950 (
+	.A(soc_top_u_top_u_core_fp_src_fmt[0]),
+	.B(n_1599),
+	.Y(n_2496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790951 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.B(n_1299),
+	.Y(n_2495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790952 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.B_N(n_1693),
+	.Y(n_2494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g790953 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_discard_req_q),
+	.A2(soc_top_u_top_u_core_pc_set),
+	.B1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.Y(n_2493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790954 (
+	.A(n_1693),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_2491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790955 (
+	.A_N(n_1359),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.Y(n_2490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790956 (
+	.A_N(n_1648),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.Y(n_2489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790957 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B(n_1345),
+	.Y(n_2488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g790958 (
+	.A_N(n_1342),
+	.B(n_754),
+	.X(n_2487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g790959 (
+	.A1(soc_top_iccm_adapter_inst_mem_u_sramreqfifo_n_52),
+	.A2(n_25097),
+	.B1(soc_top_iccm_adapter_inst_mem_reqfifo_wready),
+	.Y(n_2486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g790960 (
+	.A1(n_519),
+	.A2(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_320),
+	.B1(n_25297),
+	.Y(n_2485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790961 (
+	.A_N(n_1342),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_2484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790962 (
+	.A(n_1642),
+	.B(n_754),
+	.Y(n_2482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790963 (
+	.A(n_1642),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Y(n_2481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790964 (
+	.A(n_1301),
+	.B(n_1296),
+	.Y(n_2479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790965 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B(n_1402),
+	.Y(n_2478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790966 (
+	.A(n_1404),
+	.B(n_1635),
+	.Y(n_2477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790967 (
+	.A(n_12752),
+	.B(n_1390),
+	.Y(n_2476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790968 (
+	.A(n_754),
+	.B(n_1640),
+	.Y(n_2475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g790969 (
+	.A(n_12752),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.C(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_2473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g790970 (
+	.A(soc_top_u_top_u_core_pc_mux_id[2]),
+	.B(n_25268),
+	.C(n_61102),
+	.X(n_2472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790971 (
+	.A(n_1384),
+	.B(n_0),
+	.Y(n_2471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790972 (
+	.A(n_1368),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_2470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790973 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.B(n_1640),
+	.Y(n_2469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g790974 (
+	.A(n_66054),
+	.B_N(n_1592),
+	.Y(n_2468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g790975 (
+	.A(n_1364),
+	.B(n_25179),
+	.X(n_2467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790976 (
+	.A(n_12752),
+	.B(n_1680),
+	.Y(n_2466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790977 (
+	.A(n_1414),
+	.B(n_0),
+	.Y(n_2465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g790978 (
+	.A_N(n_1402),
+	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_2464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790979 (
+	.A(n_1272),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_2463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790980 (
+	.A(n_1368),
+	.B(n_0),
+	.Y(n_2462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790981 (
+	.A(n_1683),
+	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_2461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790982 (
+	.A(n_1414),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_2460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790983 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B(n_1420),
+	.Y(n_2459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790984 (
+	.A(n_1384),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_2458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790987 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B(n_1700),
+	.Y(n_2455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790988 (
+	.A(n_1597),
+	.B(n_544),
+	.Y(n_2454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790989 (
+	.A(n_1391),
+	.B(n_0),
+	.Y(n_2453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790990 (
+	.A(n_1593),
+	.B(n_1589),
+	.Y(n_2452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g790991 (
+	.A(n_67255),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.Y(n_2451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790993 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_branch_discard_q[0]),
+	.B(n_1302),
+	.Y(n_2448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g790994 (
+	.A_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.C(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.Y(n_2447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790995 (
+	.A(n_25293),
+	.B(n_1290),
+	.Y(n_2446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g790997 (
+	.A(n_67778),
+	.B(n_1588),
+	.Y(n_2443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g790999 (
+	.A(n_1592),
+	.B(n_1323),
+	.Y(n_2440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791000 (
+	.A(n_1643),
+	.B(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.X(n_2438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791001 (
+	.A(n_1391),
+	.B(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_2437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791002 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B(n_1698),
+	.Y(n_2435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791003 (
+	.A(n_1703),
+	.B(n_117),
+	.Y(n_2434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791004 (
+	.A(n_1592),
+	.B(n_31),
+	.Y(n_2432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791005 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(n_1371),
+	.Y(n_2430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791007 (
+	.A(n_1392),
+	.B_N(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_2426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g791008 (
+	.A_N(n_25105),
+	.B(n_770),
+	.C(\soc_top_xbar_to_timer[a_address] [7]),
+	.X(n_2424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791010 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(n_1392),
+	.Y(n_2420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791011 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.B(n_1405),
+	.Y(n_2419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791012 (
+	.A(n_1703),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_2417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791013 (
+	.A(n_1592),
+	.B(n_1289),
+	.Y(n_2416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791014 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(n_1729),
+	.Y(n_2414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791015 (
+	.A(n_1695),
+	.B(n_766),
+	.Y(n_2412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791016 (
+	.A1(n_25429),
+	.A2(n_25186),
+	.B1(n_24588),
+	.Y(n_2410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791017 (
+	.A(n_766),
+	.B(n_1419),
+	.Y(n_2409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791018 (
+	.A(n_766),
+	.B(n_1431),
+	.Y(n_2407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791019 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(n_1431),
+	.Y(n_2405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791020 (
+	.A(n_1695),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Y(n_2403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791021 (
+	.A(n_766),
+	.B(n_1729),
+	.Y(n_2401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791022 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.B(n_1419),
+	.Y(n_2399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791023 (
+	.A(n_1285),
+	.B(n_1586),
+	.Y(n_2396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791024 (
+	.A(n_1592),
+	.B(n_679),
+	.Y(n_2395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791025 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_1632),
+	.Y(n_2393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791026 (
+	.A_N(n_25349),
+	.B(n_1600),
+	.X(n_2392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791027 (
+	.A(n_1582),
+	.B(soc_top_u_top_u_core_csr_save_if),
+	.X(n_2390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791028 (
+	.A(n_1582),
+	.B(soc_top_u_top_u_core_csr_save_wb),
+	.X(n_2389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791029 (
+	.A(n_96),
+	.B(n_1692),
+	.X(n_2388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791030 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(n_1656),
+	.X(n_2387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791031 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(n_1692),
+	.X(n_2385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791032 (
+	.A_N(n_25217),
+	.B(n_1291),
+	.X(n_2383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791033 (
+	.A(n_1668),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Y(n_2382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791034 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(n_1293),
+	.X(n_2381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791035 (
+	.A(n_12752),
+	.B(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_2379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791036 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B(n_1688),
+	.X(n_2378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791037 (
+	.A(n_96),
+	.B(n_1688),
+	.X(n_2376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g791038 (
+	.A(n_1656),
+	.B_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.X(n_2375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g791040 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.B_N(n_1668),
+	.X(n_2371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791041 (
+	.A_N(n_25206),
+	.B(n_1291),
+	.Y(n_2369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791042 (
+	.A(n_1490),
+	.B(soc_top_u_top_u_core_csr_save_cause),
+	.X(n_2367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791043 (
+	.A(\soc_top_gpio_to_xbarp[d_valid] ),
+	.B(n_1672),
+	.X(n_2365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g791044 (
+	.A_N(\soc_top_plic_resp[d_valid] ),
+	.B(n_1424),
+	.Y(n_2363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g791046 (
+	.A(n_1712),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.X(n_2317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g791047 (
+	.A(n_1681),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.X(n_2296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g791048 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_1719),
+	.Y(n_2266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g791050 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_1712),
+	.X(n_2212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g791051 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.B(n_1681),
+	.X(n_2192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g791052 (
+	.A_N(n_1722),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.X(n_2167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791053 (
+	.A(n_1803),
+	.Y(n_2020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791054 (
+	.A(n_1778),
+	.Y(n_2019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791055 (
+	.A(n_2003),
+	.Y(n_2004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791062 (
+	.A(n_1922),
+	.Y(n_1921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g791063 (
+	.A_N(n_25470),
+	.B(n_25429),
+	.C(n_25415),
+	.X(n_1915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g791064 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.B(n_66707),
+	.C(n_64993),
+	.X(n_1914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791065 (
+	.A(n_68796),
+	.B(n_61768),
+	.X(n_1913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791066 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_RM_dly_S [0]),
+	.Y(n_1912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791067 (
+	.A(n_49744),
+	.B(n_16134),
+	.Y(n_1911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791069 (
+	.A(n_36786),
+	.B(n_39713),
+	.X(n_1909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791070 (
+	.A(n_48306),
+	.B(n_48302),
+	.X(n_1908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g791071 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.X(n_1907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791072 (
+	.A(n_30147),
+	.B(n_30396),
+	.X(n_1906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791073 (
+	.A(n_68926),
+	.B(n_36918),
+	.Y(n_1905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791075 (
+	.A(n_51477),
+	.B(n_51483),
+	.Y(n_1903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791076 (
+	.A(n_33467),
+	.B(soc_top_u_top_u_core_lsu_wdata[21]),
+	.X(n_1902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g791077 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [4]),
+	.B(n_36680),
+	.C(\soc_top_u_top_u_core_fp_operands[2] [5]),
+	.X(n_1901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791080 (
+	.A(n_68796),
+	.B(n_74767),
+	.Y(n_1898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791081 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B(n_30395),
+	.X(n_1897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791082 (
+	.A(n_73650),
+	.B(n_31180),
+	.Y(n_1896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791083 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_25268),
+	.C(soc_top_u_top_u_core_pc_mux_id[2]),
+	.Y(n_1895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791084 (
+	.A(n_31260),
+	.B(n_16135),
+	.Y(n_1894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791085 (
+	.A(n_30151),
+	.B(n_30415),
+	.X(n_1893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791086 (
+	.A(n_36679),
+	.B(n_44104),
+	.X(n_1892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g791088 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_rspfifo_n_125),
+	.B(soc_top_dccm_adapter_data_mem_u_rspfifo_n_488),
+	.C(soc_top_dccm_adapter_data_mem_u_rspfifo_n_489),
+	.Y(n_1890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791089 (
+	.A(n_12752),
+	.B(soc_top_iccm_ctrl_we),
+	.C(n_25301),
+	.Y(n_1889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791090 (
+	.A(n_31276),
+	.B(n_54575),
+	.X(n_1888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791091 (
+	.A(n_66457),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.X(n_1887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791093 (
+	.A(n_12752),
+	.B(soc_top_u_top_data_we),
+	.C(n_25228),
+	.Y(n_1885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791094 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.B(n_54453),
+	.Y(n_1884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791096 (
+	.A(n_49646),
+	.B(n_154),
+	.Y(n_1882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791097 (
+	.A(n_43984),
+	.B(n_16220),
+	.X(n_1881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791098 (
+	.A(n_25412),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6269),
+	.C(n_66051),
+	.Y(n_1880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791099 (
+	.A(n_70813),
+	.B(n_51105),
+	.Y(n_1879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791100 (
+	.A(n_97),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.X(n_1878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791101 (
+	.A(n_73723),
+	.B(n_68801),
+	.Y(n_1877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791102 (
+	.A(n_54412),
+	.B(n_71047),
+	.Y(n_1876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791103 (
+	.A(n_67778),
+	.B(n_16415),
+	.X(n_1875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791105 (
+	.A1(n_30),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_1873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791106 (
+	.A(n_1521),
+	.B(n_1451),
+	.Y(n_1872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g791107 (
+	.A(n_26959),
+	.B(n_26904),
+	.C(n_26899),
+	.X(n_1871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791108 (
+	.A(n_1492),
+	.B(n_1157),
+	.Y(n_1870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791109 (
+	.A(n_1200),
+	.B(n_1544),
+	.Y(n_1869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g791111 (
+	.A(n_37829),
+	.B(n_48311),
+	.C(n_36725),
+	.X(n_1867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791113 (
+	.A(n_33012),
+	.B(n_33037),
+	.C(n_32986),
+	.Y(n_1865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g791116 (
+	.A_N(n_25429),
+	.B(n_24589),
+	.C(soc_top_u_top_u_core_load_store_unit_i_split_misaligned_access),
+	.Y(n_1862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g791117 (
+	.A(soc_top_u_uart_u_uart_core_rx_sbit),
+	.B(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.C_N(soc_top_u_uart_u_uart_core_rx_en),
+	.Y(n_1861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g791118 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [8]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_1860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g791119 (
+	.A1(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.A2(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.B1_N(n_1638),
+	.X(n_1859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 g791120 (
+	.A(n_33159),
+	.B(n_33167),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
+	.D(n_33158),
+	.X(n_1858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791125 (
+	.A(n_798),
+	.B(soc_top_u_pwm_pwm_core_period_2[14]),
+	.C(n_1663),
+	.Y(n_1853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791126 (
+	.A(n_70309),
+	.B(n_34614),
+	.Y(n_1852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g791128 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_1850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g791129 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [20]),
+	.Y(n_1849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g791130 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [16]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_1848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g791131 (
+	.A(n_711),
+	.B(n_52),
+	.C(n_710),
+	.D(n_79),
+	.Y(n_1847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791132 (
+	.A0(soc_top_u_top_u_core_pc_id[8]),
+	.A1(soc_top_u_top_u_core_pc_wb[8]),
+	.S(n_65050),
+	.Y(n_1846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791133 (
+	.A0(soc_top_u_top_u_core_pc_id[29]),
+	.A1(soc_top_u_top_u_core_pc_wb[29]),
+	.S(n_65050),
+	.Y(n_1845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791134 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[11]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.S(n_65050),
+	.Y(n_1844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791135 (
+	.A0(soc_top_u_top_u_core_pc_id[20]),
+	.A1(soc_top_u_top_u_core_pc_wb[20]),
+	.S(n_65050),
+	.Y(n_1843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791136 (
+	.A0(soc_top_u_top_u_core_pc_id[16]),
+	.A1(soc_top_u_top_u_core_pc_wb[16]),
+	.S(n_65050),
+	.Y(n_1842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791137 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[7]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.S(n_65050),
+	.Y(n_1841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791138 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.S(n_65050),
+	.Y(n_1840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791139 (
+	.A0(soc_top_u_top_u_core_pc_id[25]),
+	.A1(soc_top_u_top_u_core_pc_wb[25]),
+	.S(n_65050),
+	.Y(n_1839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791140 (
+	.A0(soc_top_u_top_u_core_pc_id[27]),
+	.A1(soc_top_u_top_u_core_pc_wb[27]),
+	.S(n_65050),
+	.Y(n_1838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791141 (
+	.A1(n_783),
+	.A2(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.B1(n_177),
+	.B2(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.Y(n_1837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g791142 (
+	.A1(soc_top_u_pwm_pwm_core_DC_1[5]),
+	.A2(n_792),
+	.B1(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.B2(n_156),
+	.X(n_1836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791143 (
+	.A0(soc_top_u_top_u_core_pc_id[11]),
+	.A1(soc_top_u_top_u_core_pc_wb[11]),
+	.S(n_65050),
+	.Y(n_1835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791144 (
+	.A0(soc_top_u_top_u_core_pc_id[13]),
+	.A1(soc_top_u_top_u_core_pc_wb[13]),
+	.S(n_65050),
+	.Y(n_1834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791145 (
+	.A0(soc_top_u_top_u_core_pc_id[14]),
+	.A1(soc_top_u_top_u_core_pc_wb[14]),
+	.S(n_65050),
+	.Y(n_1833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791146 (
+	.A0(soc_top_u_top_u_core_pc_id[12]),
+	.A1(soc_top_u_top_u_core_pc_wb[12]),
+	.S(n_65050),
+	.Y(n_1832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791147 (
+	.A0(soc_top_u_top_u_core_pc_id[17]),
+	.A1(soc_top_u_top_u_core_pc_wb[17]),
+	.S(n_65050),
+	.Y(n_1831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g791148 (
+	.A1(n_789),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.B1(n_771),
+	.B2(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.X(n_1830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g791149 (
+	.A1(n_789),
+	.A2(soc_top_u_pwm_pwm_core_ctrl[2]),
+	.B1(n_771),
+	.B2(soc_top_u_pwm_pwm_core_ctrl_2[2]),
+	.X(n_1829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g791150 (
+	.A1(n_777),
+	.A2(soc_top_u_pwm_pwm_core_period_2[13]),
+	.B1(n_146),
+	.B2(soc_top_u_pwm_pwm_core_period_2[12]),
+	.Y(n_1828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791157 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [10]),
+	.Y(n_1821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791159 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [16]),
+	.Y(n_1819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g791160 (
+	.A(n_33029),
+	.B(n_32994),
+	.C(n_26853),
+	.D(n_26850),
+	.Y(n_1818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791161 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [6]),
+	.Y(n_1817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791163 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [17]),
+	.Y(n_1815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791167 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [8]),
+	.Y(n_1811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791170 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [9]),
+	.Y(n_1808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791171 (
+	.A(n_1484),
+	.B(n_1475),
+	.Y(n_1807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791172 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [5]),
+	.Y(n_1806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g791173 (
+	.A0(n_147),
+	.A1(soc_top_u_top_u_core_debug_mode),
+	.S(soc_top_u_top_u_core_csr_save_cause),
+	.X(n_1805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g791175 (
+	.A1(n_65200),
+	.A2(n_482),
+	.B1(n_1126),
+	.Y(n_1803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791179 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [11]),
+	.Y(n_1799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791182 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [2]),
+	.Y(n_1796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791184 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [19]),
+	.Y(n_1794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791185 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [20]),
+	.Y(n_1793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791186 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [21]),
+	.Y(n_1792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791187 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [22]),
+	.Y(n_1791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791188 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [23]),
+	.Y(n_1790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g791198 (
+	.A(n_33158),
+	.B(n_33167),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
+	.D(n_33159),
+	.Y(n_1780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791200 (
+	.A(n_1148),
+	.B(n_30081),
+	.Y(n_1778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791201 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [4]),
+	.Y(n_1777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791202 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [7]),
+	.Y(n_1776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791203 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [3]),
+	.Y(n_1775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g791204 (
+	.A1(n_674),
+	.A2(n_25218),
+	.B1(n_676),
+	.B2(n_25214),
+	.Y(n_1774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791205 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [1]),
+	.Y(n_1773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791206 (
+	.A1(n_25100),
+	.A2(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.B1(n_25260),
+	.B2(\soc_top_timer_to_xbar[d_data] [18]),
+	.Y(n_1772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g791208 (
+	.A(n_26848),
+	.B(n_32987),
+	.C(n_33028),
+	.D(n_26846),
+	.Y(n_1770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791209 (
+	.A0(soc_top_u_top_u_core_pc_id[6]),
+	.A1(soc_top_u_top_u_core_pc_wb[6]),
+	.S(n_65050),
+	.Y(n_1769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791210 (
+	.A0(soc_top_u_top_u_core_pc_id[4]),
+	.A1(soc_top_u_top_u_core_pc_wb[4]),
+	.S(n_65050),
+	.Y(n_1768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791211 (
+	.A0(soc_top_u_top_u_core_pc_id[2]),
+	.A1(soc_top_u_top_u_core_pc_wb[2]),
+	.S(n_65050),
+	.Y(n_1767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791212 (
+	.A0(soc_top_u_top_u_core_fp_load),
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_load_q ),
+	.S(n_65050),
+	.Y(n_1766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791213 (
+	.A0(soc_top_u_top_u_core_fp_rf_wen_id),
+	.A1(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.fp_rf_we_wb_q ),
+	.S(n_65050),
+	.Y(n_1765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791214 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[8]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.S(n_65050),
+	.Y(n_1764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791215 (
+	.A0(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.A1(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.S(n_65050),
+	.Y(n_1763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791216 (
+	.A0(soc_top_u_top_u_core_pc_id[30]),
+	.A1(soc_top_u_top_u_core_pc_wb[30]),
+	.S(n_65050),
+	.Y(n_1762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791217 (
+	.A0(soc_top_u_top_u_core_pc_id[10]),
+	.A1(soc_top_u_top_u_core_pc_wb[10]),
+	.S(n_65050),
+	.Y(n_1761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791218 (
+	.A0(soc_top_u_top_u_core_pc_id[1]),
+	.A1(soc_top_u_top_u_core_pc_wb[1]),
+	.S(n_65050),
+	.Y(n_1760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791219 (
+	.A0(soc_top_u_top_u_core_pc_id[5]),
+	.A1(soc_top_u_top_u_core_pc_wb[5]),
+	.S(n_65050),
+	.Y(n_1759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791220 (
+	.A0(soc_top_u_top_u_core_pc_id[7]),
+	.A1(soc_top_u_top_u_core_pc_wb[7]),
+	.S(n_65050),
+	.Y(n_1758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791221 (
+	.A0(soc_top_u_top_u_core_pc_id[9]),
+	.A1(soc_top_u_top_u_core_pc_wb[9]),
+	.S(n_65050),
+	.Y(n_1757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791222 (
+	.A0(soc_top_u_top_u_core_pc_id[18]),
+	.A1(soc_top_u_top_u_core_pc_wb[18]),
+	.S(n_65050),
+	.Y(n_1756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791223 (
+	.A0(soc_top_u_top_u_core_pc_id[19]),
+	.A1(soc_top_u_top_u_core_pc_wb[19]),
+	.S(n_65050),
+	.Y(n_1755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791224 (
+	.A0(soc_top_u_top_u_core_pc_id[31]),
+	.A1(soc_top_u_top_u_core_pc_wb[31]),
+	.S(n_65050),
+	.Y(n_1754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791225 (
+	.A0(soc_top_u_top_u_core_pc_id[21]),
+	.A1(soc_top_u_top_u_core_pc_wb[21]),
+	.S(n_65050),
+	.Y(n_1753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791226 (
+	.A0(soc_top_u_top_u_core_pc_id[3]),
+	.A1(soc_top_u_top_u_core_pc_wb[3]),
+	.S(n_65050),
+	.Y(n_1752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791227 (
+	.A0(soc_top_u_top_u_core_pc_id[15]),
+	.A1(soc_top_u_top_u_core_pc_wb[15]),
+	.S(n_65050),
+	.Y(n_1751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791228 (
+	.A0(soc_top_u_top_u_core_pc_id[28]),
+	.A1(soc_top_u_top_u_core_pc_wb[28]),
+	.S(n_65050),
+	.Y(n_1750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791229 (
+	.A0(soc_top_u_top_u_core_pc_id[26]),
+	.A1(soc_top_u_top_u_core_pc_wb[26]),
+	.S(n_65050),
+	.Y(n_1749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791230 (
+	.A0(soc_top_u_top_u_core_pc_id[23]),
+	.A1(soc_top_u_top_u_core_pc_wb[23]),
+	.S(n_65050),
+	.Y(n_1748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791231 (
+	.A0(soc_top_u_top_u_core_pc_id[24]),
+	.A1(soc_top_u_top_u_core_pc_wb[24]),
+	.S(n_65050),
+	.Y(n_1747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g791232 (
+	.A0(soc_top_u_top_u_core_pc_id[22]),
+	.A1(soc_top_u_top_u_core_pc_wb[22]),
+	.S(n_65050),
+	.Y(n_1746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791233 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[23].filter_diff_ctr_q [3]),
+	.Y(n_2018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791234 (
+	.A1(soc_top_u_pwm_pwm_core_period[11]),
+	.A2(n_765),
+	.B1(soc_top_u_pwm_pwm_core_period[10]),
+	.B2(n_791),
+	.Y(n_2017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g791235 (
+	.A1_N(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.A2_N(n_193),
+	.B1(n_153),
+	.B2(soc_top_u_pwm_pwm_core_period[7]),
+	.Y(n_2016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g791236 (
+	.A1_N(n_153),
+	.A2_N(soc_top_u_pwm_pwm_core_DC_1[7]),
+	.B1(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.B2(n_888),
+	.Y(n_2015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791237 (
+	.A1(n_842),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B1(n_1352),
+	.Y(n_1745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791238 (
+	.A1(n_878),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B1(n_1358),
+	.Y(n_1744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791239 (
+	.A1(n_864),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B1(n_1639),
+	.Y(n_2014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g791240 (
+	.A1(n_266),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B1_N(n_1365),
+	.Y(n_2013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g791241 (
+	.A1(n_200),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B1(n_1357),
+	.X(n_2012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g791242 (
+	.A1(n_226),
+	.A2(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B1(n_1633),
+	.X(n_2011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g791243 (
+	.A1(n_887),
+	.A2(soc_top_u_pwm_pwm_core_divisor[12]),
+	.B1(n_1653),
+	.X(n_2010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791244 (
+	.A1(n_890),
+	.A2(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B1(n_1355),
+	.Y(n_1743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791245 (
+	.A1(n_883),
+	.A2(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B1(n_1637),
+	.Y(n_1742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g791246 (
+	.A1(n_245),
+	.A2(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B1(n_1641),
+	.X(n_2009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791247 (
+	.A1(n_232),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B1(n_1654),
+	.Y(n_2008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791248 (
+	.A1(n_859),
+	.A2(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B1(n_1343),
+	.Y(n_2007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791249 (
+	.A1(n_838),
+	.A2(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B1(n_1366),
+	.Y(n_1741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g791250 (
+	.A1(n_251),
+	.A2(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B1(n_1647),
+	.Y(n_2006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g791251 (
+	.A1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.A2(n_894),
+	.B1(\soc_top_timer0_reg2hw[cfg0][prescale][q] [6]),
+	.B2(n_875),
+	.Y(n_2005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791252 (
+	.A1(soc_top_u_pwm_pwm_core_period_2[7]),
+	.A2(n_797),
+	.B1(soc_top_u_pwm_pwm_core_period_2[6]),
+	.B2(n_142),
+	.Y(n_2003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791253 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[27].filter_diff_ctr_q [3]),
+	.Y(n_2002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791254 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[24].filter_diff_ctr_q [3]),
+	.Y(n_2001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791255 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[5].filter_diff_ctr_q [3]),
+	.Y(n_2000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791256 (
+	.A(FE_DBTN73_n_31180),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(n_1740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791257 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[20].filter_diff_ctr_q [3]),
+	.Y(n_1999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791258 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[8].filter_diff_ctr_q [3]),
+	.Y(n_1998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791259 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[11].filter_diff_ctr_q [3]),
+	.Y(n_1997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791260 (
+	.A(n_1446),
+	.B(n_1175),
+	.X(n_1996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g791261 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_b_S ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_1995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791262 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[14].filter_diff_ctr_q [3]),
+	.Y(n_1994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g791263 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Zero_a_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_1993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g791264 (
+	.A1(n_681),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B1(n_767),
+	.B2(n_30),
+	.X(n_1992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791265 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[7].filter_diff_ctr_q [3]),
+	.Y(n_1991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791266 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[31].filter_diff_ctr_q [3]),
+	.Y(n_1990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791267 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[30].filter_diff_ctr_q [3]),
+	.Y(n_1989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791268 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[9].filter_diff_ctr_q [3]),
+	.Y(n_1988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g791269 (
+	.A1(soc_top_u_pwm_pwm_core_DC_2[7]),
+	.A2(n_797),
+	.B1(soc_top_u_pwm_pwm_core_DC_2[6]),
+	.B2(n_142),
+	.Y(n_1987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791270 (
+	.A(soc_top_u_pwm_pwm_core_divisor[15]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.Y(n_1739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791271 (
+	.A(n_73650),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_638 ),
+	.X(n_1738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791272 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[19].filter_diff_ctr_q [3]),
+	.Y(n_1986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791273 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[28].filter_diff_ctr_q [3]),
+	.Y(n_1985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791274 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[10].filter_diff_ctr_q [3]),
+	.Y(n_1984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791275 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[26].filter_diff_ctr_q [3]),
+	.Y(n_1983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791276 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[16].filter_diff_ctr_q [3]),
+	.Y(n_1982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791277 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[17].filter_diff_ctr_q [3]),
+	.Y(n_1981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791278 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[2].filter_diff_ctr_q [3]),
+	.Y(n_1980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791279 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[25].filter_diff_ctr_q [3]),
+	.Y(n_1979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791280 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[22].filter_diff_ctr_q [3]),
+	.Y(n_1978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791281 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[0].filter_diff_ctr_q [3]),
+	.Y(n_1977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791282 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[1].filter_diff_ctr_q [3]),
+	.Y(n_1976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791283 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[12].filter_diff_ctr_q [3]),
+	.Y(n_1975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791284 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[21].filter_diff_ctr_q [3]),
+	.Y(n_1974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791285 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[15].filter_diff_ctr_q [3]),
+	.Y(n_1973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791286 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[6].filter_diff_ctr_q [3]),
+	.Y(n_1972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791287 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [1]),
+	.B(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [2]),
+	.C(\soc_top_GPIO_gen_filter[3].filter_diff_ctr_q [3]),
+	.Y(n_1971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g791288 (
+	.A(n_68801),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.Y(n_1970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g791290 (
+	.A1(soc_top_u_top_u_core_lsu_wdata[31]),
+	.A2(n_24845),
+	.B1_N(n_1344),
+	.Y(n_1968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791291 (
+	.A(n_25335),
+	.B(soc_top_u_top_data_we),
+	.C(n_25228),
+	.Y(n_1967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791292 (
+	.A(n_54412),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(n_1966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791293 (
+	.A(n_71047),
+	.B(n_66569),
+	.Y(n_1737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g791294 (
+	.A(\soc_top_xbar_to_timer[a_address] [7]),
+	.B(n_770),
+	.C(n_25105),
+	.Y(n_1965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791295 (
+	.A(n_16134),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.X(n_1736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g791296 (
+	.A(n_49744),
+	.B(n_25328),
+	.X(n_1735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g791297 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(n_12752),
+	.C(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791298 (
+	.A(\soc_top_GPIO_gen_filter[30].filter_filter_q ),
+	.B(io_in[1]),
+	.Y(n_1962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791299 (
+	.A(\soc_top_GPIO_gen_filter[1].filter_filter_q ),
+	.B(io_in[9]),
+	.Y(n_1961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791300 (
+	.A(\soc_top_GPIO_gen_filter[13].filter_filter_q ),
+	.B(io_in[21]),
+	.Y(n_1960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791301 (
+	.A(\soc_top_GPIO_gen_filter[12].filter_filter_q ),
+	.B(io_in[20]),
+	.Y(n_1959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791302 (
+	.A(\soc_top_GPIO_gen_filter[31].filter_filter_q ),
+	.B(io_in[3]),
+	.Y(n_1958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791303 (
+	.A(\soc_top_GPIO_gen_filter[0].filter_filter_q ),
+	.B(io_in[8]),
+	.Y(n_1957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791304 (
+	.A(\soc_top_GPIO_gen_filter[10].filter_filter_q ),
+	.B(io_in[18]),
+	.Y(n_1956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g791305 (
+	.A0(n_25639),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[0]),
+	.S(n_675),
+	.X(n_1955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791306 (
+	.A(\soc_top_GPIO_gen_filter[15].filter_filter_q ),
+	.B(io_in[23]),
+	.Y(n_1954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791307 (
+	.A(\soc_top_GPIO_gen_filter[20].filter_filter_q ),
+	.B(io_in[28]),
+	.Y(n_1953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791308 (
+	.A(\soc_top_GPIO_gen_filter[14].filter_filter_q ),
+	.B(io_in[22]),
+	.Y(n_1952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791309 (
+	.A(\soc_top_GPIO_gen_filter[2].filter_filter_q ),
+	.B(io_in[10]),
+	.Y(n_1951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791310 (
+	.A(\soc_top_GPIO_gen_filter[23].filter_filter_q ),
+	.B(io_in[31]),
+	.Y(n_1950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791311 (
+	.A(\soc_top_GPIO_gen_filter[6].filter_filter_q ),
+	.B(io_in[14]),
+	.Y(n_1949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791312 (
+	.A(\soc_top_GPIO_gen_filter[7].filter_filter_q ),
+	.B(io_in[15]),
+	.Y(n_1948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791313 (
+	.A(\soc_top_GPIO_gen_filter[16].filter_filter_q ),
+	.B(io_in[24]),
+	.Y(n_1947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791314 (
+	.A(\soc_top_GPIO_gen_filter[8].filter_filter_q ),
+	.B(io_in[16]),
+	.Y(n_1946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791315 (
+	.A(\soc_top_GPIO_gen_filter[9].filter_filter_q ),
+	.B(io_in[17]),
+	.Y(n_1945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791316 (
+	.A(\soc_top_GPIO_gen_filter[3].filter_filter_q ),
+	.B(io_in[11]),
+	.Y(n_1944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791317 (
+	.A(\soc_top_GPIO_gen_filter[4].filter_filter_q ),
+	.B(io_in[12]),
+	.Y(n_1943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791318 (
+	.A(\soc_top_GPIO_gen_filter[5].filter_filter_q ),
+	.B(io_in[13]),
+	.Y(n_1942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791319 (
+	.A(\soc_top_GPIO_gen_filter[17].filter_filter_q ),
+	.B(io_in[25]),
+	.Y(n_1941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791320 (
+	.A(\soc_top_GPIO_gen_filter[19].filter_filter_q ),
+	.B(io_in[27]),
+	.Y(n_1940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791321 (
+	.A(\soc_top_GPIO_gen_filter[21].filter_filter_q ),
+	.B(io_in[29]),
+	.Y(n_1939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791322 (
+	.A(\soc_top_GPIO_gen_filter[22].filter_filter_q ),
+	.B(io_in[30]),
+	.Y(n_1938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791323 (
+	.A(\soc_top_GPIO_gen_filter[24].filter_filter_q ),
+	.B(io_in[32]),
+	.Y(n_1937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791324 (
+	.A(\soc_top_GPIO_gen_filter[25].filter_filter_q ),
+	.B(io_in[33]),
+	.Y(n_1936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791325 (
+	.A(\soc_top_GPIO_gen_filter[26].filter_filter_q ),
+	.B(io_in[34]),
+	.Y(n_1935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791326 (
+	.A(\soc_top_GPIO_gen_filter[27].filter_filter_q ),
+	.B(io_in[35]),
+	.Y(n_1934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791327 (
+	.A(\soc_top_GPIO_gen_filter[28].filter_filter_q ),
+	.B(io_in[36]),
+	.Y(n_1933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791328 (
+	.A(\soc_top_GPIO_gen_filter[29].filter_filter_q ),
+	.B(io_in[37]),
+	.Y(n_1932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g791329 (
+	.A(\soc_top_GPIO_gen_filter[11].filter_filter_q ),
+	.B(io_in[19]),
+	.Y(n_1931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g791330 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_mv_instr),
+	.B(n_1293),
+	.C(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.X(n_1930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g791331 (
+	.A0(n_25640),
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata[1]),
+	.S(n_675),
+	.X(n_1929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g791333 (
+	.A(\soc_top_pwm_to_xbar[d_valid] ),
+	.B(n_27306),
+	.C(n_25273),
+	.X(n_1922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791334 (
+	.A(n_12752),
+	.B(n_99),
+	.C(n_786),
+	.Y(n_1920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791335 (
+	.A(n_12752),
+	.B(n_99),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g791336 (
+	.A(n_1293),
+	.B(soc_top_u_top_u_core_id_stage_i_mv_instr),
+	.C(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.X(n_1918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g791337 (
+	.A(n_12752),
+	.B(n_786),
+	.C(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_4 g791338 (
+	.A(n_267),
+	.B(n_25444),
+	.C(n_1029),
+	.X(n_1916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791345 (
+	.A(n_1666),
+	.Y(n_1667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791347 (
+	.A(n_1433),
+	.Y(n_1644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791348 (
+	.A(n_1635),
+	.Y(n_1634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791350 (
+	.A(n_1614),
+	.Y(n_1613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791351 (
+	.A(n_1599),
+	.Y(n_1598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791352 (
+	.A(n_1597),
+	.Y(n_1596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791353 (
+	.A(n_1594),
+	.Y(n_1593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791354 (
+	.A(n_1591),
+	.Y(n_1590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791355 (
+	.A(n_1589),
+	.Y(n_1588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791356 (
+	.A(n_1587),
+	.Y(n_1586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791357 (
+	.A(n_1585),
+	.Y(n_1584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791358 (
+	.A(n_1583),
+	.Y(n_1582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791359 (
+	.A(n_1580),
+	.Y(n_1579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g791360 (
+	.A(n_1578),
+	.Y(n_1577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g791361 (
+	.A(n_1573),
+	.Y(n_1572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g791362 (
+	.A(n_1571),
+	.Y(n_1570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 g791363 (
+	.A(n_1569),
+	.Y(n_1568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g791382 (
+	.A(n_1547),
+	.Y(n_1546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791385 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.Y(n_1545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791388 (
+	.A(n_26853),
+	.B(n_33029),
+	.Y(n_1544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791389 (
+	.A(soc_top_GPIO_data_in_q[20]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [20]),
+	.Y(n_1543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791390 (
+	.A(io_out[36]),
+	.B(n_20),
+	.Y(n_1542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791391 (
+	.A(io_out[31]),
+	.B(n_666),
+	.Y(n_1541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791392 (
+	.A(soc_top_GPIO_cio_gpio_en_q[6]),
+	.B(n_16),
+	.Y(n_1540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791393 (
+	.A(io_out[30]),
+	.B(n_16),
+	.Y(n_1539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791394 (
+	.A(soc_top_GPIO_cio_gpio_en_q[27]),
+	.B(n_24),
+	.Y(n_1538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791395 (
+	.A(soc_top_GPIO_cio_gpio_en_q[24]),
+	.B(n_19),
+	.Y(n_1537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791396 (
+	.A(soc_top_GPIO_data_in_q[8]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [8]),
+	.Y(n_1536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791397 (
+	.A(\soc_top_intr_controller_reg2hw[le][30][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[30]),
+	.Y(n_1535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791398 (
+	.A(io_out[21]),
+	.B(n_22),
+	.Y(n_1534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791399 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_1533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791400 (
+	.A(soc_top_GPIO_data_in_q[14]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [14]),
+	.Y(n_1532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791401 (
+	.A(io_out[17]),
+	.B(n_21),
+	.Y(n_1531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791402 (
+	.A(io_out[32]),
+	.B(n_19),
+	.Y(n_1530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791403 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_12752),
+	.Y(n_1529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791404 (
+	.A(soc_top_GPIO_cio_gpio_en_q[7]),
+	.B(n_666),
+	.Y(n_1528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791405 (
+	.A(soc_top_GPIO_data_in_q[25]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [25]),
+	.Y(n_1527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791406 (
+	.A(soc_top_GPIO_cio_gpio_en_q[31]),
+	.B(n_669),
+	.Y(n_1526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791407 (
+	.A(io_out[22]),
+	.B(n_668),
+	.Y(n_1525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791408 (
+	.A(soc_top_GPIO_data_in_q[19]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [19]),
+	.Y(n_1524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791409 (
+	.A(soc_top_GPIO_cio_gpio_en_q[18]),
+	.B(n_17),
+	.Y(n_1523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791410 (
+	.A(soc_top_GPIO_cio_gpio_en_q[8]),
+	.B(n_19),
+	.Y(n_1522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791411 (
+	.A(n_26848),
+	.B(n_32987),
+	.Y(n_1521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791412 (
+	.A(soc_top_GPIO_data_in_q[31]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [31]),
+	.Y(n_1520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791413 (
+	.A(\soc_top_intr_controller_reg2hw[le][25][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[25]),
+	.Y(n_1519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791415 (
+	.A(n_26838),
+	.B(n_32998),
+	.Y(n_1517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791416 (
+	.A(io_out[10]),
+	.B(n_17),
+	.Y(n_1516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791417 (
+	.A(n_501),
+	.B(n_26838),
+	.Y(n_1515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791418 (
+	.A(soc_top_GPIO_data_in_q[5]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [5]),
+	.Y(n_1514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791419 (
+	.A(n_159),
+	.B(n_12752),
+	.Y(n_1513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791420 (
+	.A(\soc_top_intr_controller_reg2hw[le][11][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[11]),
+	.Y(n_1512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791422 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [1]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_DI[2] [0]),
+	.Y(n_1510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791423 (
+	.A(\soc_top_intr_controller_reg2hw[le][27][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[27]),
+	.Y(n_1509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791424 (
+	.A(soc_top_GPIO_cio_gpio_en_q[13]),
+	.B(n_22),
+	.Y(n_1508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791425 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_12752),
+	.Y(n_1507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791426 (
+	.A(soc_top_GPIO_data_in_q[26]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [26]),
+	.Y(n_1506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791427 (
+	.A(soc_top_GPIO_cio_gpio_en_q[10]),
+	.B(n_23),
+	.Y(n_1505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791429 (
+	.A(io_out[14]),
+	.B(n_16),
+	.Y(n_1503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791430 (
+	.A(soc_top_GPIO_cio_gpio_en_q[29]),
+	.B(n_22),
+	.Y(n_1502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791432 (
+	.A(\soc_top_intr_controller_reg2hw[le][28][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[28]),
+	.Y(n_1500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791434 (
+	.A(soc_top_GPIO_cio_gpio_en_q[25]),
+	.B(n_21),
+	.Y(n_1498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791435 (
+	.A(n_484),
+	.B(n_76727),
+	.Y(n_1497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791436 (
+	.A(soc_top_GPIO_cio_gpio_en_q[28]),
+	.B(n_20),
+	.Y(n_1496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791437 (
+	.A(io_out[23]),
+	.B(n_669),
+	.Y(n_1495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791438 (
+	.A(io_out[18]),
+	.B(n_23),
+	.Y(n_1494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791439 (
+	.A(soc_top_GPIO_cio_gpio_en_q[30]),
+	.B(n_668),
+	.Y(n_1493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791440 (
+	.A(n_480),
+	.B(n_1083),
+	.Y(n_1492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791442 (
+	.A(soc_top_u_top_u_core_debug_mode),
+	.B(soc_top_u_top_u_core_debug_csr_save),
+	.Y(n_1490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791443 (
+	.A(io_out[16]),
+	.B(n_19),
+	.Y(n_1489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791447 (
+	.A(gpio_o[21]),
+	.B(n_664),
+	.Y(n_1485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791448 (
+	.A(n_25068),
+	.B(n_33027),
+	.Y(n_1484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791450 (
+	.A(\soc_top_intr_controller_reg2hw[le][4][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[4]),
+	.Y(n_1482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791451 (
+	.A(soc_top_GPIO_data_in_q[0]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [0]),
+	.Y(n_1481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791452 (
+	.A(soc_top_GPIO_cio_gpio_en_q[4]),
+	.B(n_667),
+	.Y(n_1480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791453 (
+	.A(\soc_top_intr_controller_reg2hw[le][1][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[1]),
+	.Y(n_1479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791455 (
+	.A(\soc_top_intr_controller_reg2hw[le][13][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[13]),
+	.Y(n_1477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791457 (
+	.A(n_65605),
+	.B(n_25074),
+	.Y(n_1475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791458 (
+	.A(soc_top_GPIO_data_in_q[12]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [12]),
+	.Y(n_1474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791459 (
+	.A(soc_top_GPIO_data_in_q[13]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [13]),
+	.Y(n_1473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791460 (
+	.A(io_out[25]),
+	.B(n_18),
+	.Y(n_1472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791461 (
+	.A(soc_top_GPIO_cio_gpio_en_q[17]),
+	.B(n_18),
+	.Y(n_1471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791463 (
+	.A(\soc_top_intr_controller_reg2hw[le][9][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[9]),
+	.Y(n_1469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791464 (
+	.A(\soc_top_intr_controller_reg2hw[le][34][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[34]),
+	.Y(n_1468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791465 (
+	.A(soc_top_GPIO_cio_gpio_en_q[26]),
+	.B(n_23),
+	.Y(n_1467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791466 (
+	.A(io_out[8]),
+	.B(n_665),
+	.Y(n_1466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791467 (
+	.A(soc_top_GPIO_cio_gpio_en_q[22]),
+	.B(n_16),
+	.Y(n_1465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791468 (
+	.A(\soc_top_intr_controller_reg2hw[le][15][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[15]),
+	.Y(n_1464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791473 (
+	.A(soc_top_GPIO_cio_gpio_en_q[2]),
+	.B(n_17),
+	.Y(n_1460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791474 (
+	.A(soc_top_GPIO_cio_gpio_en_q[20]),
+	.B(n_667),
+	.Y(n_1459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791475 (
+	.A(\soc_top_intr_controller_reg2hw[le][8][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[8]),
+	.Y(n_1458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791476 (
+	.A(n_368),
+	.B(soc_top_u_pwm_pwm_core_period_2[15]),
+	.Y(n_1457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791477 (
+	.A(gpio_o[19]),
+	.B(n_15),
+	.Y(n_1456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791478 (
+	.A(soc_top_GPIO_data_in_q[3]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [3]),
+	.Y(n_1455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791479 (
+	.A(soc_top_GPIO_data_in_q[23]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [23]),
+	.Y(n_1454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791480 (
+	.A(soc_top_GPIO_data_in_q[2]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [2]),
+	.Y(n_1453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791481 (
+	.A(soc_top_GPIO_data_in_q[24]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [24]),
+	.Y(n_1452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791482 (
+	.A(n_33028),
+	.B(n_26846),
+	.Y(n_1451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791484 (
+	.A(soc_top_GPIO_data_in_q[15]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [15]),
+	.Y(n_1449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791486 (
+	.A(io_out[20]),
+	.B(n_20),
+	.Y(n_1447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791487 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [9]),
+	.Y(n_1446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791488 (
+	.A(soc_top_GPIO_cio_gpio_en_q[16]),
+	.B(n_665),
+	.Y(n_1445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791489 (
+	.A(soc_top_GPIO_data_in_q[17]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [17]),
+	.Y(n_1444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791491 (
+	.A(n_907),
+	.B(n_33026),
+	.Y(n_1442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791492 (
+	.A(soc_top_u_pwm_pwm_core_period_2[12]),
+	.B(n_146),
+	.Y(n_1441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791493 (
+	.A(soc_top_dccm_adapter_data_mem_u_rspfifo_n_15),
+	.B(n_25466),
+	.Y(n_1440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791494 (
+	.A(soc_top_u_pwm_pwm_core_period_2[11]),
+	.B(n_164),
+	.Y(n_1734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791495 (
+	.A(n_131),
+	.B(soc_top_u_pwm_pwm_core_period[8]),
+	.Y(n_1439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791496 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.Y(n_1733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791497 (
+	.A(n_61102),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[4]),
+	.Y(n_1732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791498 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.B_N(soc_top_u_pwm_pwm_core_period[0]),
+	.Y(n_1438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791499 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[8]),
+	.Y(n_1731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791500 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.Y(n_1730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791501 (
+	.A(n_209),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_1729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791502 (
+	.A(n_25173),
+	.B(n_25176),
+	.Y(n_1728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791503 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[7]),
+	.Y(n_1727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791504 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[11]),
+	.B(n_765),
+	.X(n_1726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791505 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [1]),
+	.Y(n_1725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791506 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.B_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.Y(n_1724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791507 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.Y(n_1722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791508 (
+	.A(n_25274),
+	.B(n_66054),
+	.Y(n_1721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791509 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.Y(n_1719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791510 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[3]),
+	.Y(n_1718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791511 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[27]),
+	.Y(n_1717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791512 (
+	.A(soc_top_u_pwm_pwm_core_divisor[14]),
+	.B(n_803),
+	.Y(n_1437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791513 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.Y(n_1716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791514 (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][qe] ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][qe] ),
+	.Y(n_1715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791515 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[10]),
+	.Y(n_1714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791516 (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.Y(n_1713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791517 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.B_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.Y(n_1712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791518 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [7]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.Y(n_1710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791520 (
+	.A(n_61102),
+	.B_N(n_25205),
+	.Y(n_1708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791521 (
+	.A(n_149),
+	.B(\soc_top_intr_controller_irq_id_o[0] [0]),
+	.X(n_1707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791522 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[12]),
+	.Y(n_1706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791523 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[2]),
+	.Y(n_1705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791524 (
+	.A(n_61102),
+	.B_N(n_25204),
+	.Y(n_1704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791525 (
+	.A(n_156),
+	.B(soc_top_u_pwm_pwm_core_DC_1[4]),
+	.X(n_1436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791526 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_1703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791527 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[18]),
+	.Y(n_1702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791528 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.Y(n_1700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791529 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.Y(n_1698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791530 (
+	.A(n_61102),
+	.B_N(n_25198),
+	.Y(n_1697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791531 (
+	.A_N(n_25206),
+	.B(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_1696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791532 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B_N(soc_top_u_pwm_pwm_core_period_2[0]),
+	.Y(n_1435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791533 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Y(n_1695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791534 (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.Y(n_1694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791535 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Y(n_1693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791536 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_1692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791537 (
+	.A(n_25213),
+	.B(n_25207),
+	.Y(n_1434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791538 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [1]),
+	.B(n_229),
+	.Y(n_1691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791539 (
+	.A(n_25270),
+	.B(n_25275),
+	.Y(n_1690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791540 (
+	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [3]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [3]),
+	.Y(n_1689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791541 (
+	.A_N(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_1688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791542 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.Y(n_1687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791543 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[28]),
+	.Y(n_1686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791544 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[31]),
+	.Y(n_1685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791545 (
+	.A(n_61102),
+	.B_N(n_25195),
+	.Y(n_1684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791546 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.Y(n_1683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791547 (
+	.A(n_894),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [7]),
+	.Y(n_1682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791548 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[0]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[1]),
+	.Y(n_1681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791549 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791550 (
+	.A(n_816),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_n_81 ),
+	.Y(n_1679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791551 (
+	.A(n_25188),
+	.B(n_25287),
+	.Y(n_1678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791552 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [4]),
+	.Y(n_1677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791553 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[4]),
+	.Y(n_1676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791554 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.B(n_25350),
+	.Y(n_1675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791555 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.B(n_657),
+	.Y(n_1673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791556 (
+	.A(n_27373),
+	.B(n_25273),
+	.X(n_1672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791557 (
+	.A(n_925),
+	.B(soc_top_u_pwm_pwm_core_divisor_2[15]),
+	.X(n_1671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791558 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[6]),
+	.Y(n_1670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791559 (
+	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [2]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
+	.Y(n_1669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791560 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.Y(n_1668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791561 (
+	.A(n_25435),
+	.B_N(soc_top_u_top_u_core_id_stage_i_div_en_dec),
+	.Y(n_1666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791562 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[5]),
+	.Y(n_1665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791563 (
+	.A_N(soc_top_u_pwm_pwm_core_divisor[7]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.Y(n_1664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791564 (
+	.A(soc_top_u_pwm_pwm_core_period_2[15]),
+	.B(n_368),
+	.X(n_1663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791565 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_sbit),
+	.B(soc_top_u_uart_u_uart_core_rx_time_n_217),
+	.Y(n_1662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791566 (
+	.A(n_777),
+	.B(n_798),
+	.Y(n_1661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791567 (
+	.A(n_25212),
+	.B(n_25206),
+	.Y(n_1660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791568 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.Y(n_1659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791569 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[14]),
+	.Y(n_1658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791570 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[19]),
+	.Y(n_1657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791571 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[4]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[3]),
+	.Y(n_1656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791572 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_1655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791573 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[8]),
+	.B(n_232),
+	.Y(n_1654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791574 (
+	.A(soc_top_u_pwm_pwm_core_divisor[12]),
+	.B(n_887),
+	.Y(n_1653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791575 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791576 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_814),
+	.Y(n_1651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791577 (
+	.A_N(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791578 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791579 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[0]),
+	.Y(n_1648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791580 (
+	.A(soc_top_u_pwm_pwm_core_divisor[4]),
+	.B(n_251),
+	.Y(n_1647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791581 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.Y(n_1646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791582 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.B(n_161),
+	.Y(n_1433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791583 (
+	.A(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.B(n_56708),
+	.Y(n_1643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791584 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.Y(n_1642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791585 (
+	.A(soc_top_u_pwm_pwm_core_divisor[1]),
+	.B(n_245),
+	.Y(n_1641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791586 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.Y(n_1640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791587 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[4]),
+	.B(n_864),
+	.Y(n_1639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791588 (
+	.A_N(soc_top_u_spi_host_spi_host_clgen_cnt[1]),
+	.B(n_994),
+	.Y(n_1638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791589 (
+	.A(soc_top_u_pwm_pwm_core_divisor[2]),
+	.B(n_883),
+	.Y(n_1637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791590 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.Y(n_1636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791591 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_25262),
+	.Y(n_1635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791592 (
+	.A(soc_top_u_pwm_pwm_core_divisor[8]),
+	.B(n_226),
+	.Y(n_1633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791593 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(n_25302),
+	.Y(n_1632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791594 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.Y(n_1631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791595 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791596 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[16]),
+	.X(n_1629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791597 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[18]),
+	.X(n_1628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791598 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[2]),
+	.X(n_1627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791599 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[22]),
+	.X(n_1626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791600 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.Y(n_1625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791602 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[6]),
+	.X(n_1623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791603 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[10]),
+	.X(n_1622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791604 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[25]),
+	.X(n_1621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791605 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[14]),
+	.X(n_1620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791606 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[15]),
+	.X(n_1619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791607 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[3]),
+	.X(n_1618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791608 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[30]),
+	.X(n_1617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791609 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[17]),
+	.X(n_1616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791610 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[1]),
+	.X(n_1615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791611 (
+	.A(n_27443),
+	.B(soc_top_u_top_u_core_multdiv_signed_mode_ex[1]),
+	.Y(n_1614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791612 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[19]),
+	.X(n_1612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791613 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[4]),
+	.X(n_1611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791614 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[5]),
+	.X(n_1610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791615 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[12]),
+	.X(n_1609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791616 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[26]),
+	.X(n_1608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791617 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[11]),
+	.X(n_1607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791619 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[9]),
+	.X(n_1605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791620 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[24]),
+	.X(n_1604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791621 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[13]),
+	.X(n_1603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791622 (
+	.A(n_814),
+	.B(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_1602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791623 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Y(n_1601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791624 (
+	.A(n_25184),
+	.B_N(n_61001),
+	.Y(n_1600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791625 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.B(n_25383),
+	.Y(n_1599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791626 (
+	.A(n_25272),
+	.B_N(\soc_top_dccm_to_xbar[a_ready] ),
+	.Y(n_1597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791627 (
+	.A(n_27509),
+	.B(soc_top_u_top_u_core_multdiv_signed_mode_ex[0]),
+	.Y(n_1595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791628 (
+	.A_N(n_66912),
+	.B(n_69509),
+	.Y(n_1594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791629 (
+	.A(n_25227),
+	.B(n_25105),
+	.Y(n_1592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791630 (
+	.A(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.B(soc_top_u_pwm_pwm_core_ctrl_2[0]),
+	.Y(n_1591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791631 (
+	.A(n_72869),
+	.B_N(n_25383),
+	.Y(n_1589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791632 (
+	.A(n_24588),
+	.B(n_30842),
+	.Y(n_1587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791633 (
+	.A(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.B(soc_top_u_pwm_pwm_core_ctrl[0]),
+	.Y(n_1585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791634 (
+	.A(soc_top_u_top_u_core_csr_save_cause),
+	.B(soc_top_u_top_u_core_debug_csr_save),
+	.Y(n_1583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g791635 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Sqrt_start_dly_S_8433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.Y(n_1581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791636 (
+	.A(n_32),
+	.B(n_675),
+	.X(n_1580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g791637 (
+	.A(n_86),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.X(n_1578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791638 (
+	.A(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B(n_12752),
+	.Y(n_1576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791639 (
+	.A_N(n_25217),
+	.B(n_223),
+	.X(n_1575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791640 (
+	.A_N(n_25206),
+	.B(n_789),
+	.X(n_1574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g791641 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[6]),
+	.X(n_1573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791642 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[2]),
+	.Y(n_1571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791643 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[3]),
+	.Y(n_1569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791644 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[5]),
+	.Y(n_1547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791650 (
+	.A(n_1339),
+	.Y(n_1338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791651 (
+	.A(n_1305),
+	.Y(n_1304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791652 (
+	.A(n_1302),
+	.Y(n_1303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791653 (
+	.A(n_1301),
+	.Y(n_1300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791654 (
+	.A(n_1299),
+	.Y(n_1298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791655 (
+	.A(n_1296),
+	.Y(n_1297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791656 (
+	.A(n_1293),
+	.Y(n_1292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791657 (
+	.A(n_1290),
+	.Y(n_1289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791658 (
+	.A(n_1288),
+	.Y(n_1287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791659 (
+	.A(n_1284),
+	.Y(n_1283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791661 (
+	.A(n_1278),
+	.Y(n_1277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791662 (
+	.A(n_1274),
+	.Y(n_1273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g791663 (
+	.A(n_1272),
+	.Y(n_1271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g791664 (
+	.A(n_1270),
+	.Y(n_1269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791665 (
+	.A(n_1263),
+	.Y(n_1262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791666 (
+	.A(n_1258),
+	.Y(n_1259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791667 (
+	.A(n_1257),
+	.Y(n_1256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g791686 (
+	.A(n_1234),
+	.Y(n_1233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g791701 (
+	.A(n_1218),
+	.Y(n_1216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791703 (
+	.A(n_907),
+	.B(n_33013),
+	.Y(n_1215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791704 (
+	.A(io_out[13]),
+	.B(n_664),
+	.Y(n_1214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791705 (
+	.A(n_65050),
+	.B(n_62451),
+	.Y(n_1213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791706 (
+	.A(soc_top_GPIO_cio_gpio_en_q[14]),
+	.B(n_668),
+	.Y(n_1212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791707 (
+	.A(\soc_top_intr_controller_reg2hw[le][12][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[12]),
+	.Y(n_1211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791708 (
+	.A(soc_top_GPIO_cio_gpio_en_q[0]),
+	.B(n_665),
+	.Y(n_1210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791709 (
+	.A(soc_top_GPIO_data_in_q[29]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [29]),
+	.Y(n_1209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791710 (
+	.A(soc_top_GPIO_cio_gpio_en_q[3]),
+	.B(n_15),
+	.Y(n_1208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791712 (
+	.A(soc_top_GPIO_cio_gpio_en_q[19]),
+	.B(n_15),
+	.Y(n_1206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791713 (
+	.A(n_32986),
+	.B(n_33037),
+	.Y(n_1205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791714 (
+	.A(n_267),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Div_enable_S ),
+	.Y(n_1204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791715 (
+	.A(io_out[37]),
+	.B(n_22),
+	.Y(n_1203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791716 (
+	.A(\soc_top_intr_controller_reg2hw[le][32][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[32]),
+	.Y(n_1202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791718 (
+	.A(n_32994),
+	.B(n_26850),
+	.Y(n_1200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791719 (
+	.A(gpio_o[31]),
+	.B(n_669),
+	.Y(n_1199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791720 (
+	.A_N(soc_top_u_top_u_core_valid_id_fpu),
+	.B(n_27232),
+	.Y(n_1198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791721 (
+	.A(io_out[24]),
+	.B(n_665),
+	.Y(n_1197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791722 (
+	.A(n_129),
+	.B(soc_top_u_pwm_pwm_core_DC_2[4]),
+	.Y(n_1196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791723 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.Y(n_1195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791725 (
+	.A(soc_top_GPIO_data_in_q[27]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [27]),
+	.Y(n_1193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791726 (
+	.A(soc_top_GPIO_cio_gpio_en_q[1]),
+	.B(n_18),
+	.Y(n_1192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791727 (
+	.A(soc_top_u_uart_u_uart_core_n_195),
+	.B_N(soc_top_u_uart_u_uart_core_tx_done),
+	.Y(n_1191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791728 (
+	.A(soc_top_GPIO_data_in_q[4]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [4]),
+	.Y(n_1190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791729 (
+	.A(\soc_top_intr_controller_reg2hw[le][5][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[5]),
+	.Y(n_1189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791730 (
+	.A(soc_top_GPIO_cio_gpio_en_q[12]),
+	.B(n_20),
+	.Y(n_1188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791732 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ),
+	.Y(n_1186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791733 (
+	.A(soc_top_GPIO_data_in_q[1]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [1]),
+	.Y(n_1185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g791734 (
+	.A(\soc_top_timer0_reg2hw[intr_enable0][0][q] ),
+	.B(\soc_top_timer0_reg2hw[intr_state0][0][q] ),
+	.X(n_1184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791736 (
+	.A(n_24588),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.Y(n_1182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791737 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[1]),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.Y(n_1181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791738 (
+	.A(soc_top_GPIO_data_in_q[22]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [22]),
+	.Y(n_1180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791739 (
+	.A(n_25430),
+	.B(n_1029),
+	.Y(n_1179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791740 (
+	.A(soc_top_GPIO_cio_gpio_en_q[11]),
+	.B(n_24),
+	.Y(n_1178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791741 (
+	.A(gpio_o[25]),
+	.B(n_21),
+	.Y(n_1177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791742 (
+	.A(io_out[15]),
+	.B(n_666),
+	.Y(n_1176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791743 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [10]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.Y(n_1175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791744 (
+	.A(soc_top_GPIO_data_in_q[16]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [16]),
+	.Y(n_1174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791745 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.Y(n_1173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791746 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.Y(n_1172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791748 (
+	.A(io_out[9]),
+	.B(n_18),
+	.Y(n_1170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791749 (
+	.A(n_25412),
+	.B_N(n_25183),
+	.Y(n_1169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791751 (
+	.A(soc_top_GPIO_cio_gpio_en_q[23]),
+	.B(n_666),
+	.Y(n_1167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791752 (
+	.A(soc_top_u_pwm_pwm_core_DC_1[10]),
+	.B(n_791),
+	.X(n_1166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791753 (
+	.A(\soc_top_intr_controller_reg2hw[le][10][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[10]),
+	.Y(n_1165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791754 (
+	.A(io_out[11]),
+	.B(n_15),
+	.Y(n_1164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791755 (
+	.A(gpio_o[26]),
+	.B(n_23),
+	.Y(n_1163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791756 (
+	.A(gpio_o[20]),
+	.B(n_667),
+	.Y(n_1162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791757 (
+	.A(io_out[19]),
+	.B(n_24),
+	.Y(n_1161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791758 (
+	.A(io_out[12]),
+	.B(n_667),
+	.Y(n_1160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791759 (
+	.A(n_795),
+	.B(n_12752),
+	.Y(n_1159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791760 (
+	.A(gpio_o[30]),
+	.B(n_668),
+	.Y(n_1158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791761 (
+	.A(n_479),
+	.B(n_506),
+	.Y(n_1157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791762 (
+	.A(soc_top_GPIO_data_in_q[21]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [21]),
+	.Y(n_1156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791763 (
+	.A(soc_top_GPIO_cio_gpio_en_q[9]),
+	.B(n_21),
+	.Y(n_1155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791764 (
+	.A(soc_top_GPIO_data_in_q[6]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [6]),
+	.Y(n_1154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791765 (
+	.A(soc_top_GPIO_cio_gpio_en_q[21]),
+	.B(n_664),
+	.Y(n_1153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791766 (
+	.A(soc_top_GPIO_data_in_q[11]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [11]),
+	.Y(n_1152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791767 (
+	.A(\soc_top_intr_controller_reg2hw[le][14][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[14]),
+	.Y(n_1151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g791768 (
+	.A(n_507),
+	.B(n_25083),
+	.Y(n_1150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791769 (
+	.A(soc_top_GPIO_data_in_q[28]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [28]),
+	.Y(n_1149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791770 (
+	.A(n_350),
+	.B(n_26829),
+	.Y(n_1148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791773 (
+	.A(soc_top_GPIO_data_in_q[10]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [10]),
+	.Y(n_1145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791774 (
+	.A(soc_top_GPIO_cio_gpio_en_q[5]),
+	.B(n_664),
+	.Y(n_1144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791775 (
+	.A(n_25079),
+	.B(n_401),
+	.Y(n_1143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791776 (
+	.A(n_508),
+	.B(n_26827),
+	.Y(n_1142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791778 (
+	.A(soc_top_GPIO_data_in_q[9]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [9]),
+	.Y(n_1140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791779 (
+	.A(\soc_top_intr_controller_reg2hw[le][24][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[24]),
+	.Y(n_1139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791780 (
+	.A(soc_top_GPIO_cio_gpio_q[18]),
+	.B(n_17),
+	.Y(n_1138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791782 (
+	.A(\soc_top_intr_controller_reg2hw[le][31][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[31]),
+	.Y(n_1136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791783 (
+	.A(soc_top_GPIO_data_in_q[7]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [7]),
+	.Y(n_1135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791784 (
+	.A(soc_top_GPIO_cio_gpio_en_q[15]),
+	.B(n_669),
+	.Y(n_1134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791786 (
+	.A(n_67033),
+	.B_N(soc_top_u_top_u_core_valid_id_fpu),
+	.Y(n_1132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791787 (
+	.A(n_25224),
+	.B(n_25274),
+	.Y(n_1131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791788 (
+	.A(n_1086),
+	.B(n_25076),
+	.Y(n_1130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791790 (
+	.A(io_out[35]),
+	.B(n_24),
+	.Y(n_1128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791791 (
+	.A(\soc_top_intr_controller_reg2hw[le][29][q] ),
+	.B(soc_top_intr_controller_u_gateway_src_q[29]),
+	.Y(n_1127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791792 (
+	.A(n_65200),
+	.B(n_1082),
+	.Y(n_1126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791793 (
+	.A(soc_top_GPIO_data_in_q[30]),
+	.B_N(\soc_top_GPIO_reg2hw[intr_ctrl_en_rising][q] [30]),
+	.Y(n_1125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791794 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.Y(n_1431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791795 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.Y(n_1430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791796 (
+	.A(n_25109),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_n_357 ),
+	.Y(n_1429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791797 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [5]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [5]),
+	.Y(n_1428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791798 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[13]),
+	.Y(n_1427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791799 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [9]),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_tick_count [9]),
+	.Y(n_1426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g791800 (
+	.A(n_61102),
+	.B_N(n_25264),
+	.Y(n_1425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g791801 (
+	.A_N(n_25422),
+	.B(\soc_top_lsu_to_xbar[a_valid] ),
+	.X(n_1424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791802 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[13]),
+	.Y(n_1423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791803 (
+	.A(\soc_top_xbar_to_timer[a_data] [5]),
+	.B(n_658),
+	.Y(n_1422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791804 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_buffer_full_217),
+	.B(n_97),
+	.Y(n_1420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791805 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [0]),
+	.B(n_209),
+	.X(n_1419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791806 (
+	.A(\soc_top_uart_to_xbar[d_valid] ),
+	.B(n_28),
+	.Y(n_1124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791807 (
+	.A_N(n_25414),
+	.B(n_30842),
+	.Y(n_1418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791808 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[21]),
+	.Y(n_1417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791809 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[10]),
+	.B(n_774),
+	.X(n_1416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791810 (
+	.A_N(soc_top_u_pwm_pwm_core_divisor[11]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.Y(n_1415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791811 (
+	.A(\soc_top_xbar_to_timer[a_data] [4]),
+	.B(n_2),
+	.Y(n_1414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791812 (
+	.A(n_140),
+	.B(soc_top_u_pwm_pwm_core_DC_1[9]),
+	.X(n_1123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791813 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[15]),
+	.Y(n_1413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791814 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[7]),
+	.B(n_175),
+	.Y(n_1412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791815 (
+	.A(n_25432),
+	.B_N(n_25360),
+	.Y(n_1411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791816 (
+	.A_N(soc_top_dccm_adapter_data_mem_u_reqfifo_n_15),
+	.B(\soc_top_dccm_to_xbar[d_valid] ),
+	.Y(n_1122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791817 (
+	.A(n_61102),
+	.B_N(n_25261),
+	.Y(n_1410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791818 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[29]),
+	.Y(n_1409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791820 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_1405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791821 (
+	.A(n_61102),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fetch_addr_q[3]),
+	.Y(n_1404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791822 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[11]),
+	.Y(n_1403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791823 (
+	.A(n_25211),
+	.B(n_25206),
+	.Y(n_1121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791824 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [4]),
+	.B(\soc_top_intr_controller_irq_id_o[0] [1]),
+	.Y(n_1402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791825 (
+	.A(\soc_top_GPIO_reg2hw[intr_ctrl_en_lvllow][q] [18]),
+	.B(\soc_top_GPIO_reg2hw[intr_state][q] [18]),
+	.Y(n_1401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791827 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[9]),
+	.Y(n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791828 (
+	.A(n_168),
+	.B(\soc_top_timer0_reg2hw[cfg0][prescale][q] [0]),
+	.X(n_1397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791829 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.Y(n_1396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791830 (
+	.A(n_150),
+	.B(soc_top_u_pwm_pwm_core_DC_1[14]),
+	.X(n_1120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791831 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[30]),
+	.Y(n_1395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791832 (
+	.A(n_25293),
+	.B(n_25275),
+	.Y(n_1394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791833 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[12]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.Y(n_1393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791834 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_1392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791835 (
+	.A(\soc_top_xbar_to_timer[a_data] [4]),
+	.B(\soc_top_xbar_to_timer[a_data] [3]),
+	.Y(n_1391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791836 (
+	.A(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.B_N(\soc_top_dccm_adapter_data_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_1390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791837 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [8]),
+	.B(n_909),
+	.Y(n_1389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791838 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[20]),
+	.Y(n_1388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791839 (
+	.A(\soc_top_timer0_gen_harts[0].u_core_tick_count [11]),
+	.B_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [11]),
+	.Y(n_1387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791840 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[25]),
+	.Y(n_1386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791841 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[24]),
+	.Y(n_1385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791842 (
+	.A(n_659),
+	.B(n_2),
+	.Y(n_1384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791843 (
+	.A(\soc_top_timer_to_xbar[d_valid] ),
+	.B(n_656),
+	.Y(n_1119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791844 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[23]),
+	.Y(n_1383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791845 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[26]),
+	.Y(n_1382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791846 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.B(n_25433),
+	.Y(n_1381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791847 (
+	.A(n_25288),
+	.B_N(soc_top_instr_rdata[22]),
+	.Y(n_1380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791848 (
+	.A(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[13]),
+	.Y(n_1379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791849 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[11]),
+	.B(n_787),
+	.Y(n_1378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791850 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [1]),
+	.Y(n_1377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791851 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_intr),
+	.B(soc_top_u_uart_u_uart_core_rx_clr),
+	.Y(n_1118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791852 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[0]),
+	.B_N(soc_top_u_pwm_pwm_core_DC_1[0]),
+	.Y(n_1117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791853 (
+	.A(n_27235),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.Y(n_1376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791854 (
+	.A(n_176),
+	.B(soc_top_u_pwm_pwm_core_period[12]),
+	.X(n_1116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791855 (
+	.A(n_791),
+	.B(soc_top_u_pwm_pwm_core_period[10]),
+	.Y(n_1375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791856 (
+	.A_N(\soc_top_timer0_reg2hw[cfg0][prescale][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
+	.Y(n_1374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791857 (
+	.A(\soc_top_xbar_to_timer[a_data] [5]),
+	.B(\soc_top_xbar_to_timer[a_data] [2]),
+	.Y(n_1373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791858 (
+	.A_N(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.Y(n_1371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791859 (
+	.A(soc_top_u_pwm_pwm_core_DC_2[11]),
+	.B(n_164),
+	.X(n_1370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791860 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.B_N(soc_top_u_pwm_pwm_core_DC_2[0]),
+	.Y(n_1115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791861 (
+	.A(\soc_top_spi_to_xbar[d_valid] ),
+	.B(n_655),
+	.Y(n_1114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791862 (
+	.A(soc_top_u_pwm_pwm_core_period[5]),
+	.B(n_792),
+	.X(n_1369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791863 (
+	.A(n_659),
+	.B(\soc_top_xbar_to_timer[a_data] [3]),
+	.Y(n_1368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791864 (
+	.A(soc_top_u_top_data_we),
+	.B(n_25271),
+	.Y(n_1367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791865 (
+	.A(soc_top_u_pwm_pwm_core_divisor[10]),
+	.B(n_838),
+	.Y(n_1366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791866 (
+	.A_N(soc_top_u_pwm_pwm_core_divisor_2[14]),
+	.B(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.Y(n_1365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791867 (
+	.A(n_248),
+	.B_N(n_25177),
+	.Y(n_1364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791868 (
+	.A(n_658),
+	.B(\soc_top_xbar_to_timer[a_data] [5]),
+	.Y(n_1363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791869 (
+	.A(n_12752),
+	.B(n_25203),
+	.Y(n_1362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791870 (
+	.A(n_25224),
+	.B(n_25275),
+	.Y(n_1361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791871 (
+	.A(n_25140),
+	.B(n_25351),
+	.Y(n_1360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791872 (
+	.A(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.B(soc_top_u_pwm_pwm_core_counter_p1[0]),
+	.Y(n_1359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791873 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[6]),
+	.B(n_878),
+	.Y(n_1358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791874 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[1]),
+	.B(n_200),
+	.Y(n_1357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791875 (
+	.A(n_25417),
+	.B(n_54840),
+	.Y(n_1356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791876 (
+	.A(soc_top_u_pwm_pwm_core_divisor[6]),
+	.B(n_890),
+	.Y(n_1355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791877 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Y(n_1354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791878 (
+	.A(n_25177),
+	.B(n_25352),
+	.Y(n_1353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791879 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[10]),
+	.B(n_842),
+	.Y(n_1352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791880 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.Y(n_1351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g791881 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.B_N(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.Y(n_1350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791882 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.B(n_210),
+	.Y(n_1349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791883 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_buffer_size[4]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[5]),
+	.Y(n_1348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791884 (
+	.A(soc_top_u_uart_u_uart_core_read_fifo_raddr[7]),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[6]),
+	.Y(n_1347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791886 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(soc_top_u_top_u_core_out_valid_fpu2c),
+	.Y(n_1345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791887 (
+	.A_N(soc_top_u_top_u_core_lsu_wdata[31]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.Y(n_1344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791888 (
+	.A(soc_top_u_pwm_pwm_core_divisor_2[2]),
+	.B(n_859),
+	.Y(n_1343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791889 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_buffer_size[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[1]),
+	.Y(n_1342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791890 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.B(soc_top_u_pwm_pwm_core_period_counter2[0]),
+	.Y(n_1341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791891 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [6]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.Y(n_1340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791892 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.B(n_25263),
+	.Y(n_1339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791893 (
+	.A(n_25225),
+	.B(n_25270),
+	.Y(n_1337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g791894 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_i_arbiter_gen_arbiter.rr_q [0]),
+	.B(n_27238),
+	.Y(n_1336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791895 (
+	.A(\soc_top_xbar_to_timer[a_data] [8]),
+	.B(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(n_1335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791896 (
+	.A(n_895),
+	.B(n_29205),
+	.X(n_1334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791897 (
+	.A(\soc_top_xbar_to_timer[a_data] [11]),
+	.B(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_1333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791898 (
+	.A(\soc_top_xbar_to_timer[a_data] [9]),
+	.B(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(n_1332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791899 (
+	.A(\soc_top_xbar_to_timer[a_data] [10]),
+	.B(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(n_1331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791900 (
+	.A(soc_top_data_rdata[23]),
+	.B(n_25170),
+	.X(n_1330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791901 (
+	.A(\soc_top_xbar_to_timer[a_data] [14]),
+	.B(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_1329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791902 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[7]),
+	.X(n_1328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791903 (
+	.A(\soc_top_xbar_to_timer[a_data] [1]),
+	.B(\soc_top_xbar_to_timer[a_data] [17]),
+	.Y(n_1327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791904 (
+	.A(\soc_top_xbar_to_timer[a_data] [15]),
+	.B(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(n_1326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791905 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[20]),
+	.X(n_1325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791906 (
+	.A(\soc_top_xbar_to_timer[a_data] [12]),
+	.B(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_1324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791907 (
+	.A(n_25274),
+	.B(n_25226),
+	.Y(n_1323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791909 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[27]),
+	.X(n_1321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791910 (
+	.A(\soc_top_xbar_to_timer[a_data] [13]),
+	.B(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_1320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791911 (
+	.A(\soc_top_xbar_to_timer[a_data] [0]),
+	.B(\soc_top_xbar_to_timer[a_data] [16]),
+	.Y(n_1319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791912 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[31]),
+	.X(n_1318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791913 (
+	.A(n_66912),
+	.B(n_69509),
+	.Y(n_1317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791914 (
+	.A(\soc_top_xbar_to_timer[a_data] [2]),
+	.B(\soc_top_xbar_to_timer[a_data] [18]),
+	.Y(n_1316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791915 (
+	.A(\soc_top_xbar_to_timer[a_data] [22]),
+	.B(\soc_top_xbar_to_timer[a_data] [6]),
+	.Y(n_1315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791916 (
+	.A(\soc_top_xbar_to_timer[a_data] [3]),
+	.B(\soc_top_xbar_to_timer[a_data] [19]),
+	.Y(n_1314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791917 (
+	.A(\soc_top_xbar_to_timer[a_data] [4]),
+	.B(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_1313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791918 (
+	.A(\soc_top_xbar_to_timer[a_data] [23]),
+	.B(\soc_top_xbar_to_timer[a_data] [7]),
+	.Y(n_1312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791919 (
+	.A(n_25168),
+	.B(soc_top_data_rdata[0]),
+	.X(n_1311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791920 (
+	.A(n_25170),
+	.B(soc_top_data_rdata[21]),
+	.X(n_1310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791921 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[28]),
+	.X(n_1309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791922 (
+	.A(n_25165),
+	.B(soc_top_data_rdata[29]),
+	.X(n_1308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791923 (
+	.A(\soc_top_xbar_to_timer[a_data] [5]),
+	.B(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_1307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791924 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.B(soc_top_u_pwm_pwm_core_period_counter1[6]),
+	.Y(n_1306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791925 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [3]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [4]),
+	.Y(n_1305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791926 (
+	.A(\soc_top_iccm_to_xbar[d_valid] ),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[0]),
+	.Y(n_1302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791927 (
+	.A(n_25227),
+	.B(n_25275),
+	.Y(n_1301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791928 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_b_S ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_NaN_a_S ),
+	.X(n_1299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791929 (
+	.A(n_1),
+	.B(n_25203),
+	.Y(n_1296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791931 (
+	.A(n_25224),
+	.B(n_25226),
+	.Y(n_1294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g791932 (
+	.A(n_12752),
+	.B(n_65050),
+	.Y(n_1293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g791933 (
+	.A(n_25270),
+	.B(n_25107),
+	.Y(n_1291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791934 (
+	.A(n_33203),
+	.B(\soc_top_xbar_to_timer[a_address] [4]),
+	.Y(n_1290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791935 (
+	.A(n_32),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791936 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g791937 (
+	.A_N(n_30842),
+	.B(n_24589),
+	.X(n_1285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791938 (
+	.A(n_130),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791939 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.X(n_1282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g791942 (
+	.A(n_67810),
+	.B_N(n_25451),
+	.X(n_1278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g791944 (
+	.A_N(n_25266),
+	.B(soc_top_u_top_u_core_pc_set),
+	.X(n_1275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g791945 (
+	.A(n_30),
+	.B(n_86),
+	.Y(n_1274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g791946 (
+	.A(n_86),
+	.B(n_30),
+	.Y(n_1272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g791947 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.B(n_86),
+	.Y(n_1270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791948 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.B(n_675),
+	.X(n_1268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g791950 (
+	.A(n_544),
+	.B(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.X(n_1266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791952 (
+	.A_N(n_25217),
+	.B(n_771),
+	.X(n_1264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791953 (
+	.A(n_25111),
+	.B(soc_top_u_top_u_core_csr_op[1]),
+	.Y(n_1263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g791954 (
+	.A(n_768),
+	.B(n_789),
+	.X(n_1261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g791955 (
+	.A_N(n_25350),
+	.B(n_775),
+	.X(n_1260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791956 (
+	.A(n_657),
+	.B(soc_top_u_uart_u_uart_core_rx_time_state_reg[0]),
+	.Y(n_1258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g791957 (
+	.A(n_25206),
+	.B_N(n_223),
+	.Y(n_1257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g791958 (
+	.A(n_65050),
+	.B(n_544),
+	.X(n_1255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g791959 (
+	.A(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.B(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_we_wb_q ),
+	.X(n_1254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791960 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[4]),
+	.Y(n_1242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g791961 (
+	.A(n_546),
+	.B_N(soc_top_u_uart_u_uart_core_rx[0]),
+	.X(n_1234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g791962 (
+	.A(n_545),
+	.B(soc_top_u_uart_u_uart_core_rx[1]),
+	.Y(n_1218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791976 (
+	.A(n_44111),
+	.Y(n_1100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791977 (
+	.A(n_48352),
+	.Y(n_1099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791985 (
+	.A(n_25774),
+	.Y(n_1091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791988 (
+	.A(n_33012),
+	.Y(n_1088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g791990 (
+	.A(n_26837),
+	.Y(n_1086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791991 (
+	.A(n_25775),
+	.Y(n_1085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791992 (
+	.A(n_65605),
+	.Y(n_1084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791993 (
+	.A(n_33027),
+	.Y(n_1083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g791994 (
+	.A(n_33013),
+	.Y(n_1082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g791995 (
+	.A(n_25783),
+	.Y(n_1081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792005 (
+	.A(n_25213),
+	.Y(n_1071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792023 (
+	.A(n_25365),
+	.Y(n_1053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792031 (
+	.A(n_25292),
+	.Y(n_1045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792047 (
+	.A(n_25110),
+	.Y(n_1029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792082 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[0]),
+	.Y(n_994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792118 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [4]),
+	.Y(n_958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792167 (
+	.A(\soc_top_timer0_reg2hw[cfg0][prescale][q] [8]),
+	.Y(n_909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792169 (
+	.A(n_25076),
+	.Y(n_907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792181 (
+	.A(n_25181),
+	.Y(n_895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792194 (
+	.A(n_25342),
+	.Y(n_882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792197 (
+	.A(n_25287),
+	.Y(n_879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792260 (
+	.A(\soc_top_timer0_reg2hw[ctrl][0][q] ),
+	.Y(n_816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792262 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792264 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.Y(n_812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792265 (
+	.A(n_25524),
+	.Y(n_811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792266 (
+	.A(n_25526),
+	.Y(n_810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792277 (
+	.A(\soc_top_lsu_to_xbar[a_address] [23]),
+	.Y(n_799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792280 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [4]),
+	.Y(n_796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792282 (
+	.A(\soc_top_lsu_to_xbar[a_address] [28]),
+	.Y(n_794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792283 (
+	.A(n_66054),
+	.Y(n_183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792286 (
+	.A(\soc_top_lsu_to_xbar[a_address] [24]),
+	.Y(n_790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792287 (
+	.A(n_25218),
+	.Y(n_789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792290 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Y(n_786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792293 (
+	.A(n_25212),
+	.Y(n_783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792298 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[1]),
+	.Y(n_778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792299 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[13]),
+	.Y(n_777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792300 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.Y(n_776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792301 (
+	.A(n_25348),
+	.Y(n_775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792302 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[10]),
+	.Y(n_774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792303 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[8]),
+	.Y(n_773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792305 (
+	.A(n_25214),
+	.Y(n_771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792306 (
+	.A(\soc_top_xbar_to_timer[a_address] [6]),
+	.Y(n_770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792307 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[13]),
+	.Y(n_769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792308 (
+	.A(n_25203),
+	.Y(n_768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792309 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.Y(n_767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792311 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[11]),
+	.Y(n_765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792328 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.Y(n_748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792332 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [2]),
+	.Y(n_744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792339 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [13]),
+	.Y(n_736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792362 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.Y(n_714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792365 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.Y(n_711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792366 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [3]),
+	.Y(n_710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792372 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.Y(n_704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792383 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [18]),
+	.Y(n_694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792385 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [15]),
+	.Y(n_691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792395 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.Y(n_681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792397 (
+	.A(n_25275),
+	.Y(n_679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792399 (
+	.A(n_25270),
+	.Y(n_677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792404 (
+	.A(n_27236),
+	.Y(n_672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792405 (
+	.A(soc_top_u_pwm_pwm_core_clock_p2),
+	.Y(n_671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792406 (
+	.A(soc_top_u_pwm_pwm_core_clock_p1),
+	.Y(n_670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792407 (
+	.A(\soc_top_xbar_to_timer[a_data] [31]),
+	.Y(n_669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792408 (
+	.A(\soc_top_xbar_to_timer[a_data] [30]),
+	.Y(n_668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792409 (
+	.A(\soc_top_xbar_to_timer[a_data] [20]),
+	.Y(n_667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792410 (
+	.A(\soc_top_xbar_to_timer[a_data] [23]),
+	.Y(n_666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792411 (
+	.A(\soc_top_xbar_to_timer[a_data] [16]),
+	.Y(n_665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792412 (
+	.A(\soc_top_xbar_to_timer[a_data] [21]),
+	.Y(n_664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792413 (
+	.A(\soc_top_xbar_to_timer[a_data] [10]),
+	.Y(n_663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792416 (
+	.A(n_25490),
+	.Y(n_660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792417 (
+	.A(\soc_top_xbar_to_timer[a_data] [4]),
+	.Y(n_659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792418 (
+	.A(\soc_top_xbar_to_timer[a_data] [2]),
+	.Y(n_658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792419 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.Y(n_657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792420 (
+	.A(n_25260),
+	.Y(n_656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g792530 (
+	.A(n_545),
+	.Y(n_546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792532 (
+	.A(n_12752),
+	.Y(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792557 (
+	.A(soc_top_iccm_adapter_inst_mem_u_rspfifo_n_108),
+	.Y(n_519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792568 (
+	.A(n_25079),
+	.Y(n_508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792569 (
+	.A(n_76728),
+	.Y(n_507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792570 (
+	.A(n_25074),
+	.Y(n_506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792572 (
+	.A(n_25765),
+	.Y(n_504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792573 (
+	.A(n_33026),
+	.Y(n_503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792575 (
+	.A(n_74659),
+	.Y(n_501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792578 (
+	.A(n_25769),
+	.Y(n_498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792581 (
+	.A(n_25773),
+	.Y(n_495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792582 (
+	.A(n_25790),
+	.Y(n_494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792585 (
+	.A(n_25468),
+	.Y(n_491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792587 (
+	.A(n_25764),
+	.Y(n_489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792592 (
+	.A(n_26829),
+	.Y(n_484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792594 (
+	.A(n_26832),
+	.Y(n_482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792596 (
+	.A(n_25068),
+	.Y(n_480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792597 (
+	.A(n_32998),
+	.Y(n_479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792617 (
+	.A(\soc_top_xbar_to_lsu[d_error] ),
+	.Y(n_459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792626 (
+	.A(soc_top_u_spi_host_spi_host_clgen_cnt[15]),
+	.Y(n_450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792629 (
+	.A(n_25466),
+	.Y(n_447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792675 (
+	.A(n_76727),
+	.Y(n_401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792726 (
+	.A(n_65200),
+	.Y(n_350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g792731 (
+	.A(n_26838),
+	.Y(n_345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792809 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_done ),
+	.Y(n_267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792828 (
+	.A(n_25174),
+	.Y(n_248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792853 (
+	.A(n_25199),
+	.Y(n_223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792866 (
+	.A(n_27235),
+	.Y(n_210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792892 (
+	.A(n_25210),
+	.Y(n_184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792899 (
+	.A(n_25211),
+	.Y(n_177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792902 (
+	.A(soc_top_u_top_u_core_pc_mux_id[2]),
+	.Y(n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792911 (
+	.A(\soc_top_lsu_to_xbar[a_address] [25]),
+	.Y(n_165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792913 (
+	.A(\soc_top_lsu_to_xbar[a_address] [27]),
+	.Y(n_163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792914 (
+	.A(\soc_top_lsu_to_xbar[a_address] [29]),
+	.Y(n_162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792915 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.Y(n_161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792920 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[4]),
+	.Y(n_156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792921 (
+	.A(\soc_top_lsu_to_xbar[a_address] [22]),
+	.Y(n_155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792924 (
+	.A(\soc_top_lsu_to_xbar[a_address] [26]),
+	.Y(n_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792927 (
+	.A(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.Y(n_149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792929 (
+	.A(soc_top_u_top_u_core_csr_restore_mret_id),
+	.Y(n_147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792930 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[12]),
+	.Y(n_146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792932 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[2]),
+	.Y(n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792934 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[6]),
+	.Y(n_142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792941 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [0]),
+	.Y(n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792945 (
+	.A(soc_top_u_pwm_pwm_core_period_counter1[8]),
+	.Y(n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792947 (
+	.A(soc_top_u_pwm_pwm_core_period_counter2[4]),
+	.Y(n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792954 (
+	.A(soc_top_u_top_u_core_fp_flush),
+	.Y(n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g792977 (
+	.A(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.fifo_wptr [1]),
+	.Y(n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792979 (
+	.A(soc_top_u_uart_u_uart_core_rx_done),
+	.Y(n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g792990 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [1]),
+	.Y(n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792995 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.Y(n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792997 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.Y(n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792998 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [6]),
+	.Y(n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g792999 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [5]),
+	.Y(n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793005 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [19]),
+	.Y(n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793013 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [23]),
+	.Y(n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793020 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.Y(n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793024 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.Y(n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793032 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.Y(n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793045 (
+	.A(n_25226),
+	.Y(n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793046 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.Y(n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793047 (
+	.A(n_25224),
+	.Y(n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793048 (
+	.A(n_25271),
+	.Y(n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793049 (
+	.A(n_25293),
+	.Y(n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793050 (
+	.A(n_25225),
+	.Y(n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793051 (
+	.A(soc_top_u_top_data_we),
+	.Y(n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793052 (
+	.A(\soc_top_xbar_to_timer[a_data] [27]),
+	.Y(n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793053 (
+	.A(\soc_top_xbar_to_timer[a_data] [26]),
+	.Y(n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793054 (
+	.A(\soc_top_xbar_to_timer[a_data] [29]),
+	.Y(n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793055 (
+	.A(\soc_top_xbar_to_timer[a_data] [25]),
+	.Y(n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793056 (
+	.A(\soc_top_xbar_to_timer[a_data] [28]),
+	.Y(n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793057 (
+	.A(\soc_top_xbar_to_timer[a_data] [24]),
+	.Y(n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793058 (
+	.A(\soc_top_xbar_to_timer[a_data] [17]),
+	.Y(n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793059 (
+	.A(\soc_top_xbar_to_timer[a_data] [18]),
+	.Y(n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793060 (
+	.A(\soc_top_xbar_to_timer[a_data] [22]),
+	.Y(n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793061 (
+	.A(\soc_top_xbar_to_timer[a_data] [19]),
+	.Y(n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793062 (
+	.A(\soc_top_xbar_to_timer[a_data] [6]),
+	.Y(n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793063 (
+	.A(\soc_top_xbar_to_timer[a_data] [15]),
+	.Y(n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793064 (
+	.A(\soc_top_xbar_to_timer[a_data] [12]),
+	.Y(n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793065 (
+	.A(\soc_top_xbar_to_timer[a_data] [14]),
+	.Y(n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g793067 (
+	.A(\soc_top_xbar_to_timer[a_data] [7]),
+	.Y(n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793068 (
+	.A(\soc_top_xbar_to_timer[a_data] [13]),
+	.Y(n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793069 (
+	.A(\soc_top_xbar_to_timer[a_data] [8]),
+	.Y(n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793070 (
+	.A(\soc_top_xbar_to_timer[a_data] [11]),
+	.Y(n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g793071 (
+	.A(\soc_top_xbar_to_timer[a_data] [9]),
+	.Y(n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793072 (
+	.A(\soc_top_xbar_to_timer[a_data] [5]),
+	.Y(n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793074 (
+	.A(\soc_top_xbar_to_timer[a_data] [3]),
+	.Y(n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793075 (
+	.A(\soc_top_xbar_to_timer[a_data] [0]),
+	.Y(n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g793076 (
+	.A(\soc_top_xbar_to_timer[a_data] [1]),
+	.Y(n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g794116 (
+	.A(n_19177),
+	.B(n_61227),
+	.Y(n_20097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g794119 (
+	.A(n_25183),
+	.B(n_25412),
+	.Y(n_29205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[23]  (
+	.CLK(CTS_70),
+	.D(n_11073),
+	.Q(soc_top_GPIO_cio_gpio_en_q[23]),
+	.Q_N(io_oeb[31]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[9]  (
+	.CLK(CTS_128),
+	.D(n_11511),
+	.Q(soc_top_GPIO_cio_gpio_en_q[9]),
+	.Q_N(io_oeb[17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[12]  (
+	.CLK(CTS_68),
+	.D(n_11507),
+	.Q(soc_top_GPIO_cio_gpio_en_q[12]),
+	.Q_N(io_oeb[20]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[2]  (
+	.CLK(CTS_51),
+	.D(n_11517),
+	.Q(soc_top_GPIO_cio_gpio_en_q[2]),
+	.Q_N(io_oeb[10]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[28]  (
+	.CLK(CTS_72),
+	.D(n_11068),
+	.Q(soc_top_GPIO_cio_gpio_en_q[28]),
+	.Q_N(io_oeb[36]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_cio_gpio_en_q_reg[11]  (
+	.CLK(CTS_68),
+	.D(n_11508),
+	.Q(soc_top_GPIO_cio_gpio_en_q[11]),
+	.Q_N(io_oeb[19]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 soc_top_u_pwm_pwm_core_oe_pwm1_reg (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_2090),
+	.Q(pwm1_oe),
+	.Q_N(n_12830),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[16]  (
+	.CLK(CTS_70),
+	.D(n_13031),
+	.Q(soc_top_intr_gpio[16]),
+	.Q_N(n_1112),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[19]  (
+	.CLK(CTS_70),
+	.D(n_13104),
+	.Q(soc_top_intr_gpio[19]),
+	.Q_N(n_1108),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[21]  (
+	.CLK(CTS_72),
+	.D(n_13087),
+	.Q(soc_top_intr_gpio[21]),
+	.Q_N(n_1107),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[6]  (
+	.CLK(CTS_73),
+	.D(n_13027),
+	.Q(soc_top_intr_gpio[6]),
+	.Q_N(n_1104),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[22]  (
+	.CLK(CTS_72),
+	.D(n_13138),
+	.Q(soc_top_intr_gpio[22]),
+	.Q_N(n_1097),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[25]  (
+	.CLK(CTS_72),
+	.D(n_13058),
+	.Q(soc_top_intr_gpio[25]),
+	.Q_N(n_1096),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[5]  (
+	.CLK(CTS_74),
+	.D(n_13040),
+	.Q(soc_top_intr_gpio[5]),
+	.Q_N(n_1095),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[13]  (
+	.CLK(CTS_87),
+	.D(n_9866),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [13]),
+	.Q_N(n_1090),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[5]  (
+	.CLK(CTS_89),
+	.D(n_9874),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [5]),
+	.Q_N(n_1089),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[5]  (
+	.CLK(CTS_50),
+	.D(n_6082),
+	.Q(soc_top_u_pwm_pwm_core_divisor[5]),
+	.Q_N(n_981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[5]  (
+	.CLK(CTS_49),
+	.D(n_6099),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[5]),
+	.Q_N(n_945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[9]  (
+	.CLK(CTS_127),
+	.D(n_6078),
+	.Q(soc_top_u_pwm_pwm_core_divisor[9]),
+	.Q_N(n_944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6095),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[9]),
+	.Q_N(n_941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q_reg[1]  (
+	.CLK(CTS_91),
+	.D(n_5759),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_rdata_outstanding_q[1]),
+	.Q_N(n_938),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[15]  (
+	.CLK(CTS_49),
+	.D(n_12464),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[15]),
+	.Q_N(n_925),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[13]  (
+	.CLK(CTS_127),
+	.D(n_6074),
+	.Q(soc_top_u_pwm_pwm_core_divisor[13]),
+	.Q_N(n_916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_reg[3]  (
+	.CLK(CTS_50),
+	.D(n_6084),
+	.Q(soc_top_u_pwm_pwm_core_divisor[3]),
+	.Q_N(n_900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[7]  (
+	.CLK(CTS_57),
+	.D(n_12299),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [7]),
+	.Q_N(n_894),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[6]  (
+	.CLK(CTS_50),
+	.D(n_12329),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[6]),
+	.Q_N(n_890),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_DC_1_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6137),
+	.Q(soc_top_u_pwm_pwm_core_DC_1[6]),
+	.Q_N(n_888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[12]  (
+	.CLK(CTS_127),
+	.D(n_12353),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[12]),
+	.Q_N(n_887),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[3]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12443),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[3]),
+	.Q_N(n_884),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_12330),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[2]),
+	.Q_N(n_883),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[6]  (
+	.CLK(CTS_127),
+	.D(n_12412),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[6]),
+	.Q_N(n_878),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[6]  (
+	.CLK(CTS_57),
+	.D(n_12154),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [6]),
+	.Q_N(n_875),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[15]  (
+	.CLK(CTS_50),
+	.D(n_12379),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[15]),
+	.Q_N(n_874),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_period_2_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6065),
+	.Q(soc_top_u_pwm_pwm_core_period_2[6]),
+	.Q_N(n_871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[4]  (
+	.CLK(CTS_127),
+	.D(n_12414),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[4]),
+	.Q_N(n_864),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[2]  (
+	.CLK(CTS_49),
+	.D(n_12422),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[2]),
+	.Q_N(n_859),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[10]  (
+	.CLK(CTS_127),
+	.D(n_12410),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[10]),
+	.Q_N(n_842),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[15]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12474),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[15]),
+	.Q_N(n_841),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[10]  (
+	.CLK(CTS_127),
+	.D(n_12327),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[10]),
+	.Q_N(n_838),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[10]  (
+	.CLK(CTS_49),
+	.D(n_12484),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [10]),
+	.Q_N(n_827),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[2]  (
+	.CLK(CTS_57),
+	.D(n_10347),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [2]),
+	.Q_N(n_826),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_12323),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[7]),
+	.Q_N(n_818),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[14]  (
+	.CLK(CTS_127),
+	.D(n_12383),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[14]),
+	.Q_N(n_803),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[14]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12495),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[14]),
+	.Q_N(n_798),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[7]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12459),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[7]),
+	.Q_N(n_797),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_142),
+	.D(n_5853),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_sramreqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_795),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[5]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12444),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[5]),
+	.Q_N(n_792),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[10]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12483),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[10]),
+	.Q_N(n_791),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[11]  (
+	.CLK(CTS_127),
+	.D(n_12405),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[11]),
+	.Q_N(n_787),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[11]  (
+	.CLK(CTS_127),
+	.D(n_12322),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[11]),
+	.Q_N(n_784),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[1]  (
+	.CLK(CTS_103),
+	.D(n_10580),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [1]),
+	.Q_N(n_766),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_waddr_wb_q_reg[3]  (
+	.CLK(CTS_81),
+	.D(n_3059),
+	.Q(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Q_N(n_756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[2]  (
+	.CLK(CTS_22),
+	.D(n_4581),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[2]),
+	.Q_N(n_754),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_ctrl_2_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_6109),
+	.Q(soc_top_u_pwm_pwm_core_ctrl_2[1]),
+	.Q_N(n_676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_instr_addr_q_reg[1]  (
+	.CLK(CTS_85),
+	.D(n_10185),
+	.Q(soc_top_u_top_u_core_pc_if[1]),
+	.Q_N(n_675),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_ctrl_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_6106),
+	.Q(soc_top_u_pwm_pwm_core_ctrl[1]),
+	.Q_N(n_674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[7]  (
+	.CLK(CTS_22),
+	.D(n_9011),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[7]),
+	.Q_N(n_673),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_2 soc_top_u_uart_u_uart_core_rx_fifo_clr_reg (
+	.CLK(CTS_57),
+	.D(n_5164),
+	.Q(soc_top_u_uart_u_uart_core_rx_fifo_clr),
+	.Q_N(n_545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[15]  (
+	.CLK(CTS_73),
+	.D(n_13047),
+	.Q(soc_top_intr_gpio[15]),
+	.Q_N(n_542),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[1]  (
+	.CLK(CTS_52),
+	.D(n_13096),
+	.Q(soc_top_intr_gpio[1]),
+	.Q_N(n_532),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[2]  (
+	.CLK(CTS_52),
+	.D(n_13033),
+	.Q(soc_top_intr_gpio[2]),
+	.Q_N(n_531),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[17]  (
+	.CLK(CTS_73),
+	.D(n_13035),
+	.Q(soc_top_intr_gpio[17]),
+	.Q_N(n_527),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[18]  (
+	.CLK(CTS_73),
+	.D(n_13024),
+	.Q(soc_top_intr_gpio[18]),
+	.Q_N(n_526),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_GPIO_intr_hw_intr_o_reg[20]  (
+	.CLK(CTS_72),
+	.D(n_13045),
+	.Q(soc_top_intr_gpio[20]),
+	.Q_N(n_514),
+	.RESET_B(soc_top_system_rst_ni), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 soc_top_u_spi_host_spi_host_intr_tx_o_reg (
+	.CLK(CTS_54),
+	.D(n_13334),
+	.Q(soc_top_intr_stx),
+	.Q_N(n_513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[11]  (
+	.CLK(CTS_87),
+	.D(n_67187),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [11]),
+	.Q_N(n_500),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[17]  (
+	.CLK(CTS_87),
+	.D(n_9862),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [17]),
+	.Q_N(n_493),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[9]  (
+	.CLK(CTS_87),
+	.D(n_30715),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [9]),
+	.Q_N(n_487),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[8]  (
+	.CLK(CTS_87),
+	.D(n_30714),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [8]),
+	.Q_N(n_485),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP_reg[16]  (
+	.CLK(CTS_87),
+	.D(n_9863),
+	.Q(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [16]),
+	.Q_N(n_483),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[15]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12489),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[15]),
+	.Q_N(n_368),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[3]  (
+	.CLK(CTS_49),
+	.D(n_6101),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[3]),
+	.Q_N(n_342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[12]  (
+	.CLK(CTS_127),
+	.D(n_6092),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[12]),
+	.Q_N(n_337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_period_reg[9]  (
+	.CLK(CTS_49),
+	.D(n_6046),
+	.Q(soc_top_u_pwm_pwm_core_period[9]),
+	.Q_N(n_318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_divisor_2_reg[13]  (
+	.CLK(CTS_49),
+	.D(n_6091),
+	.Q(soc_top_u_pwm_pwm_core_divisor_2[13]),
+	.Q_N(n_286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[8]  (
+	.CLK(CTS_24),
+	.D(n_12370),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[8]),
+	.Q_N(n_285),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[2]  (
+	.CLK(CTS_82),
+	.D(n_9597),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.Q_N(n_278),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[14]  (
+	.CLK(CTS_127),
+	.D(n_12463),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[14]),
+	.Q_N(n_266),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[4]  (
+	.CLK(CTS_50),
+	.D(n_12331),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[4]),
+	.Q_N(n_251),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[1]  (
+	.CLK(CTS_50),
+	.D(n_12332),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[1]),
+	.Q_N(n_245),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[8]  (
+	.CLK(CTS_127),
+	.D(n_12411),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[8]),
+	.Q_N(n_232),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[1]  (
+	.CLK(CTS_57),
+	.D(n_10348),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [1]),
+	.Q_N(n_229),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p1_reg[8]  (
+	.CLK(CTS_127),
+	.D(n_12328),
+	.Q(soc_top_u_pwm_pwm_core_counter_p1[8]),
+	.Q_N(n_226),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q_reg[2]  (
+	.CLK(CTS_86),
+	.D(n_11245),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_multdiv_count_q [2]),
+	.Q_N(n_209),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[1]  (
+	.CLK(CTS_49),
+	.D(n_12416),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[1]),
+	.Q_N(n_200),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_pwm_pwm_core_period_reg[6]  (
+	.CLK(CTS_49),
+	.D(n_6049),
+	.Q(soc_top_u_pwm_pwm_core_period[6]),
+	.Q_N(n_193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[1]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12419),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[1]),
+	.Q_N(n_190),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[3]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12473),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[3]),
+	.Q_N(n_187),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_id_stage_i_imd_val_q_reg[0][31]  (
+	.CLK(CTS_103),
+	.D(n_11016),
+	.Q(\soc_top_u_top_u_core_imd_val_q_ex[0] [31]),
+	.Q_N(n_179),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[12]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12462),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[12]),
+	.Q_N(n_176),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_counter_p2_reg[7]  (
+	.CLK(CTS_127),
+	.D(n_12406),
+	.Q(soc_top_u_pwm_pwm_core_counter_p2[7]),
+	.Q_N(n_175),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_gen_harts[0].u_core_tick_count_reg[0]  (
+	.CLK(CTS_57),
+	.D(n_10349),
+	.Q(\soc_top_timer0_gen_harts[0].u_core_tick_count [0]),
+	.Q_N(n_168),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[11]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12485),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[11]),
+	.Q_N(n_164),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[9]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12478),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[9]),
+	.Q_N(n_160),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr_reg[0]  (
+	.CLK(CTS_93),
+	.D(n_5857),
+	.Q(\soc_top_iccm_adapter_inst_mem_u_reqfifo_gen_normal_fifo.fifo_wptr [0]),
+	.Q_N(n_159),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter2_reg[5]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p2),
+	.D(n_12449),
+	.Q(soc_top_u_pwm_pwm_core_period_counter2[5]),
+	.Q_N(n_157),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[7]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12460),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[7]),
+	.Q_N(n_153),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[14]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12471),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[14]),
+	.Q_N(n_150),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[2]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12458),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[2]),
+	.Q_N(n_148),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_pwm_pwm_core_period_counter1_reg[9]  (
+	.CLK(soc_top_u_pwm_pwm_core_clock_p1),
+	.D(n_12472),
+	.Q(soc_top_u_pwm_pwm_core_period_counter1[9]),
+	.Q_N(n_140),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[1]  (
+	.CLK(CTS_82),
+	.D(n_10266),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[0]),
+	.Q_N(n_130),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q_reg[1]  (
+	.CLK(CTS_141),
+	.D(n_12467),
+	.Q(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Q_N(n_107),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[5]  (
+	.CLK(CTS_24),
+	.D(n_12300),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[5]),
+	.Q_N(n_96),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_raddr_reg[2]  (
+	.CLK(CTS_23),
+	.D(n_10582),
+	.Q(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.Q_N(n_95),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 soc_top_u_uart_u_uart_core_rx_fifo_rst_reg (
+	.CLK(CTS_57),
+	.D(n_4693),
+	.Q(soc_top_u_uart_u_uart_core_rx_fifo_rst),
+	.Q_N(n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q_reg[0]  (
+	.CLK(CTS_82),
+	.D(n_10516),
+	.Q(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.Q_N(n_32),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_read_fifo_waddr_reg[3]  (
+	.CLK(CTS_22),
+	.D(n_5758),
+	.Q(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.Q_N(n_10),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g794810 (
+	.A(n_25423),
+	.B(n_24570),
+	.C(n_24568),
+	.X(n_29350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g794839 (
+	.A1(n_23848),
+	.A2(n_53029),
+	.B1(n_29666),
+	.X(n_29379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g794850 (
+	.A1_N(n_18842),
+	.A2_N(n_27506),
+	.B1(n_23315),
+	.B2(n_23592),
+	.X(n_29390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g794851 (
+	.A(n_30308),
+	.B(n_30309),
+	.C(n_33054),
+	.Y(n_29391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794852 (
+	.A(n_66117),
+	.B(n_19017),
+	.X(n_29392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g794853 (
+	.A_N(n_19157),
+	.B(n_19013),
+	.X(n_29393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g794854 (
+	.A_N(n_19232),
+	.B(n_19104),
+	.X(n_29394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794855 (
+	.A(n_19177),
+	.B(n_19104),
+	.X(n_29395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794856 (
+	.A(n_66117),
+	.B(n_19013),
+	.X(n_29396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794857 (
+	.A(n_19162),
+	.B(n_19104),
+	.X(n_29397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794859 (
+	.A(n_61228),
+	.B(n_19104),
+	.X(n_29399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g794860 (
+	.A(n_19225),
+	.B(n_19104),
+	.X(n_29400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794861 (
+	.A(n_61228),
+	.B(n_19013),
+	.X(n_29401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g794862 (
+	.A(n_19016),
+	.B(n_19229),
+	.X(n_29402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g794871 (
+	.A(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[15]),
+	.C(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.X(n_29411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g794876 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(n_29416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g794880 (
+	.A1(FE_DBTN72_n_31641),
+	.A2(n_73827),
+	.B1(n_47789),
+	.X(n_29420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g794909 (
+	.A1(n_17678),
+	.A2(n_53225),
+	.B1_N(n_69981),
+	.X(n_29449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g794918 (
+	.A_N(n_69235),
+	.B(n_49653),
+	.X(n_29458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g794926 (
+	.A_N(n_71328),
+	.B(n_29735),
+	.X(n_29466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g794929 (
+	.A(n_52365),
+	.B(n_57260),
+	.X(n_29469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g794937 (
+	.A(n_16904),
+	.B_N(n_70144),
+	.Y(n_29477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g794957 (
+	.A(n_16118),
+	.B(FE_DBTN89_n_16220),
+	.X(n_29497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g794969 (
+	.A(n_15483),
+	.B(n_16415),
+	.X(n_29509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794971 (
+	.A(n_15483),
+	.B(soc_top_u_top_u_core_lsu_wdata[18]),
+	.X(n_29511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g794972 (
+	.A(n_15483),
+	.B(n_36918),
+	.X(n_29512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794973 (
+	.A(n_15483),
+	.B(n_39713),
+	.X(n_29513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794976 (
+	.A(n_15483),
+	.B(n_50715),
+	.X(n_29516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794978 (
+	.A(n_15483),
+	.B(n_30396),
+	.X(n_29518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g794980 (
+	.A(n_15483),
+	.B(n_30415),
+	.X(n_29520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g794987 (
+	.A(n_68795),
+	.B(n_70029),
+	.C(n_16210),
+	.X(n_29527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g795004 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.X(n_29544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g795006 (
+	.A(n_36768),
+	.B(n_31260),
+	.X(n_29546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g795007 (
+	.A(n_36768),
+	.B(n_51477),
+	.X(n_29547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g795009 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_29549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g795011 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_530 ),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.X(n_29551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g795012 (
+	.A1(n_37906),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_29552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g795013 (
+	.A1(n_33471),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_29553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g795015 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
+	.A2(n_15613),
+	.B1(n_15672),
+	.X(n_29555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g795016 (
+	.A1(n_70029),
+	.A2(n_15635),
+	.B1_N(n_317667_BAR),
+	.X(n_29556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g795017 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.X(n_29557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g795021 (
+	.A(n_25117),
+	.B_N(n_15501),
+	.Y(n_29561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g795034 (
+	.A(n_25363),
+	.B(n_25362),
+	.C(n_25334),
+	.X(n_29574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g795035 (
+	.A1(n_14540),
+	.A2(n_14755),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [5]),
+	.X(n_29575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795038 (
+	.A(n_35290),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.X(n_29578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795051 (
+	.A(n_26977),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_29591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795055 (
+	.A(n_26978),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_29595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795069 (
+	.A(n_45596),
+	.B_N(n_13710),
+	.Y(n_29609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795075 (
+	.A(n_13582),
+	.B(n_13597),
+	.X(n_29615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795081 (
+	.A(n_30649),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_29621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795085 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25485),
+	.X(n_29625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795086 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25483),
+	.X(n_29626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g795094 (
+	.A_N(n_2540),
+	.B(n_2539),
+	.X(n_29634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g795095 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B(n_2484),
+	.X(n_29635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g795105 (
+	.A(n_812),
+	.B(n_161),
+	.X(n_29645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795106 (
+	.A(n_25167),
+	.B(soc_top_data_rdata[8]),
+	.X(n_29646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795108 (
+	.A_N(n_24624),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_29648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795109 (
+	.A_N(n_24622),
+	.B(soc_top_main_swith_host_lsu_dev_select_outstanding[2]),
+	.Y(n_29649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795111 (
+	.A(soc_top_main_swith_host_lsu_dev_select_t[2]),
+	.B_N(soc_top_main_swith_host_lsu_dev_select_t[0]),
+	.Y(n_29651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g795123 (
+	.A(n_23682),
+	.B(n_67608),
+	.Y(n_29663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795125 (
+	.A(n_53030),
+	.B_N(n_69683),
+	.Y(n_29665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795126 (
+	.A_N(n_53639),
+	.B(n_55049),
+	.Y(n_29666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g795128 (
+	.A0(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.A1(n_23656),
+	.S(n_23272),
+	.X(n_29668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g795129 (
+	.A0(n_23649),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.S(n_72803),
+	.X(n_29669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g795131 (
+	.A(n_20808),
+	.B(n_20720),
+	.X(n_29671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g795132 (
+	.A_N(n_59958),
+	.B(n_56310),
+	.C(n_56311),
+	.Y(n_29672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795133 (
+	.A_N(n_20711),
+	.B(n_23737),
+	.Y(n_29673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795134 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [2]),
+	.A2(n_19637),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [2]),
+	.B2(n_29684),
+	.X(n_29674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795135 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [1]),
+	.A2(n_19645),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [1]),
+	.B2(n_19873),
+	.X(n_29675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g795136 (
+	.A_N(n_19631),
+	.B(n_23176),
+	.Y(n_29676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795141 (
+	.A_N(n_29700),
+	.B(n_19014),
+	.Y(n_29681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795142 (
+	.A_N(n_64175),
+	.B(n_23760),
+	.Y(n_29682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g795143 (
+	.A(n_19172),
+	.B_N(n_19095),
+	.X(n_29683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g795144 (
+	.A_N(n_19168),
+	.B(n_19014),
+	.X(n_29684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795145 (
+	.A_N(n_25367),
+	.B(n_30306),
+	.Y(n_29685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795146 (
+	.A_N(n_19120),
+	.B(n_25454),
+	.Y(n_29686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795147 (
+	.A_N(n_19111),
+	.B(soc_top_u_top_u_core_alu_operator_ex[3]),
+	.Y(n_29687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795148 (
+	.A_N(n_19239),
+	.B(n_19051),
+	.Y(n_29688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g795149 (
+	.A_N(n_19041),
+	.B(n_29676),
+	.Y(n_29689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795150 (
+	.A(n_25466),
+	.B_N(n_19039),
+	.Y(n_29690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g795151 (
+	.A(soc_top_u_top_u_core_mult_sel_ex),
+	.B_N(FE_DBTN113_soc_top_u_top_u_core_multdiv_operator_ex_1),
+	.Y(n_29691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795152 (
+	.A_N(soc_top_u_top_u_core_alu_operator_ex[0]),
+	.B(soc_top_u_top_u_core_alu_operator_ex[1]),
+	.Y(n_29692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g795157 (
+	.A(n_19611),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_b_shift_q [0]),
+	.Y(n_29697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795160 (
+	.A_N(FE_DBTN116_soc_top_u_top_u_core_instr_rdata_alu_id_18),
+	.B(n_66119),
+	.Y(n_29700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g795163 (
+	.A(n_18720),
+	.B(n_51998),
+	.C(n_18670),
+	.D_N(n_18672),
+	.Y(n_29703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795172 (
+	.A_N(n_18370),
+	.B(n_66332),
+	.Y(addinc_ADD_UNS_OP_2_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795175 (
+	.A_N(n_54941),
+	.B(n_31682),
+	.Y(n_29715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795187 (
+	.A_N(n_54939),
+	.B(n_54932),
+	.Y(n_29727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795195 (
+	.A_N(n_31624),
+	.B(n_47971),
+	.Y(n_29735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g795206 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [7]),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [6]),
+	.C_N(n_16919),
+	.X(n_29746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g795247 (
+	.A(n_16120),
+	.B(n_36768),
+	.Y(n_29787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795250 (
+	.A_N(n_15761),
+	.B(n_51108),
+	.Y(n_29790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795251 (
+	.A_N(n_15826),
+	.B(n_16584),
+	.Y(n_29791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795253 (
+	.A_N(n_15569),
+	.B(n_27068),
+	.Y(n_29793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795254 (
+	.A_N(n_15569),
+	.B(n_33210),
+	.Y(n_29794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g795259 (
+	.A(n_25160),
+	.B(n_25162),
+	.Y(n_29799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795260 (
+	.A_N(n_67929),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_29800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795272 (
+	.A_N(n_15156),
+	.B(n_15214),
+	.Y(n_29812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795273 (
+	.A_N(n_15134),
+	.B(n_15214),
+	.Y(n_29813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795278 (
+	.A_N(n_15090),
+	.B(n_14190),
+	.Y(n_29818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795279 (
+	.A_N(n_15039),
+	.B(n_15080),
+	.Y(n_29819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g795280 (
+	.A(n_29824),
+	.B(n_32971),
+	.X(n_29820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g795283 (
+	.A(n_14913),
+	.B(n_14995),
+	.X(n_29823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795284 (
+	.A_N(n_14832),
+	.B(n_14678),
+	.Y(n_29824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795285 (
+	.A_N(n_14814),
+	.B(n_14819),
+	.Y(n_29825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g795292 (
+	.A_N(n_14748),
+	.B(n_14742),
+	.X(n_29832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795294 (
+	.A_N(n_14693),
+	.B(n_14893),
+	.Y(n_29834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795295 (
+	.A_N(n_14678),
+	.B(n_14832),
+	.Y(n_29835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g795296 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26511),
+	.Y(n_29836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795300 (
+	.A_N(n_27024),
+	.B(n_25291),
+	.Y(n_29840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795301 (
+	.A_N(n_27046),
+	.B(n_25291),
+	.Y(n_29841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795303 (
+	.A_N(n_27043),
+	.B(n_25291),
+	.Y(n_29843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795307 (
+	.A_N(n_27044),
+	.B(n_25291),
+	.Y(n_29847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795309 (
+	.A_N(n_27037),
+	.B(n_25291),
+	.Y(n_29849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795310 (
+	.A_N(n_27023),
+	.B(n_25291),
+	.Y(n_29850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795311 (
+	.A_N(n_27048),
+	.B(n_25291),
+	.Y(n_29851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795314 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B(n_14697),
+	.Y(n_29854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795316 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B(n_14534),
+	.Y(n_29856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795317 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B(n_14534),
+	.Y(n_29857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795320 (
+	.A_N(n_27045),
+	.B(n_25291),
+	.Y(n_29860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795323 (
+	.A_N(n_27022),
+	.B(n_25291),
+	.Y(n_29863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g795328 (
+	.A(n_69701),
+	.B(n_15653),
+	.X(n_29868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795329 (
+	.A_N(n_27035),
+	.B(n_25291),
+	.Y(n_29869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795330 (
+	.A_N(n_27028),
+	.B(n_25291),
+	.Y(n_29870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795331 (
+	.A_N(n_27027),
+	.B(n_25291),
+	.Y(n_29871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g795332 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.B(n_12762),
+	.X(n_29872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795342 (
+	.A(n_14290),
+	.B_N(n_56708),
+	.Y(n_29882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4b_1 g795344 (
+	.A(n_26894),
+	.B(n_26880),
+	.C(n_1871),
+	.D_N(n_14209),
+	.X(n_29884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795359 (
+	.A(FE_DBTN93_n_74852),
+	.B_N(n_26637),
+	.Y(n_29899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g795360 (
+	.A(n_44490),
+	.B(n_45179),
+	.C(n_52365),
+	.X(n_29900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795383 (
+	.A_N(n_32979),
+	.B(n_64744),
+	.Y(n_29923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795396 (
+	.A(n_52923),
+	.B_N(n_64182),
+	.Y(n_29936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795402 (
+	.A(\soc_top_dccm_adapter_data_mem_u_reqfifo_gen_normal_fifo.under_rst ),
+	.B_N(n_13304),
+	.Y(n_29942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795404 (
+	.A(n_13292),
+	.B(soc_top_u_top_u_core_pc_set),
+	.X(n_29944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795405 (
+	.A(n_13235),
+	.B(soc_top_u_top_u_core_pc_set),
+	.X(n_29945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g795406 (
+	.A(n_13234),
+	.B(soc_top_u_top_u_core_pc_set),
+	.X(n_29946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795413 (
+	.A_N(n_26861),
+	.B(n_65193),
+	.Y(n_29953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795420 (
+	.A(n_13107),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [37]),
+	.Y(n_29960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795422 (
+	.A_N(n_33198),
+	.B(n_65193),
+	.Y(n_29962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795423 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.B(n_34173),
+	.Y(n_29963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g795431 (
+	.A_N(n_36918),
+	.B(n_1030),
+	.C(n_31670),
+	.Y(n_29971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g795432 (
+	.A(n_11266),
+	.B(n_2468),
+	.Y(n_29972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795433 (
+	.A_N(n_11261),
+	.B(n_3352),
+	.Y(n_29973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g795434 (
+	.A1(n_5323),
+	.A2(n_10332),
+	.B1_N(n_10078),
+	.X(n_29974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g795435 (
+	.A_N(n_9391),
+	.B(n_10039),
+	.C(n_1394),
+	.Y(n_29975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795436 (
+	.A_N(n_9756),
+	.B(n_62693),
+	.Y(n_29976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795437 (
+	.A_N(n_25226),
+	.B(n_9736),
+	.Y(n_29977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795440 (
+	.A_N(n_9398),
+	.B(n_8977),
+	.Y(n_29980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g795441 (
+	.A(n_9644),
+	.B(n_5014),
+	.C_N(n_30724),
+	.Y(n_29981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_2 g795442 (
+	.A_N(n_8957),
+	.B(n_8950),
+	.C(n_8954),
+	.D(n_8952),
+	.X(n_29982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g795443 (
+	.A(n_6694),
+	.B(n_30087),
+	.C_N(\soc_top_intr_controller_irq_id_o[0] [2]),
+	.X(n_29983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g795444 (
+	.A(n_6666),
+	.B(n_2420),
+	.Y(n_29984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795445 (
+	.A_N(n_6618),
+	.B(n_25365),
+	.Y(n_29985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g795446 (
+	.A_N(n_6618),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_29986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795447 (
+	.A_N(n_6388),
+	.B(n_8386),
+	.C(n_6413),
+	.D(n_5073),
+	.Y(n_29987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795448 (
+	.A_N(n_29993),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_29988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795449 (
+	.A_N(n_6019),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.Y(n_29989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795450 (
+	.A_N(n_6012),
+	.B(n_4192),
+	.C(n_10683),
+	.D(n_6652),
+	.Y(n_29990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795451 (
+	.A(n_1354),
+	.B_N(n_29994),
+	.Y(n_29991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795452 (
+	.A_N(n_5888),
+	.B(n_4001),
+	.Y(n_29992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g795453 (
+	.A(n_4998),
+	.B_N(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.X(n_29993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795454 (
+	.A(n_2803),
+	.B_N(n_4738),
+	.Y(n_29994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795456 (
+	.A(n_10302),
+	.B_N(n_4498),
+	.Y(n_29996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g795458 (
+	.A_N(n_1278),
+	.B(n_3928),
+	.Y(n_29998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g795459 (
+	.A1(n_12752),
+	.A2(n_1291),
+	.B1_N(n_3815),
+	.Y(n_29999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795460 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.B_N(n_3435),
+	.Y(n_30000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795461 (
+	.A_N(n_3425),
+	.B(n_1649),
+	.Y(n_30001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g795462 (
+	.A(n_25274),
+	.B(n_3423),
+	.Y(n_30002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795464 (
+	.A_N(n_2934),
+	.B(n_12172),
+	.Y(n_30004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795465 (
+	.A_N(n_2929),
+	.B(n_12175),
+	.Y(n_30005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795466 (
+	.A_N(n_2925),
+	.B(n_12174),
+	.Y(n_30006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795467 (
+	.A_N(n_2923),
+	.B(n_12155),
+	.Y(n_30007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795468 (
+	.A_N(n_2921),
+	.B(n_12158),
+	.Y(n_30008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795469 (
+	.A_N(n_2919),
+	.B(n_12171),
+	.Y(n_30009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795470 (
+	.A_N(n_2915),
+	.B(n_12159),
+	.Y(n_30010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795471 (
+	.A_N(n_2912),
+	.B(n_12039),
+	.Y(n_30011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795472 (
+	.A_N(n_2904),
+	.B(n_12156),
+	.Y(n_30012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795473 (
+	.A_N(n_2901),
+	.B(n_12178),
+	.Y(n_30013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795474 (
+	.A_N(n_2899),
+	.B(n_12170),
+	.Y(n_30014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795475 (
+	.A_N(n_2897),
+	.B(n_12169),
+	.Y(n_30015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795476 (
+	.A_N(n_2892),
+	.B(n_12168),
+	.Y(n_30016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795477 (
+	.A_N(n_2888),
+	.B(n_12173),
+	.Y(n_30017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g795479 (
+	.A0(n_1655),
+	.A1(n_2500),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Inf_a_S ),
+	.X(n_30019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795480 (
+	.A_N(n_2482),
+	.B(n_673),
+	.Y(n_30020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g795481 (
+	.A_N(n_2481),
+	.B(soc_top_u_uart_u_uart_core_rx_buffer_size[3]),
+	.X(n_30021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g795482 (
+	.A(n_10),
+	.B_N(n_2475),
+	.X(n_30022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g795483 (
+	.A(n_2430),
+	.B(n_6666),
+	.Y(n_30023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g795484 (
+	.A(n_2419),
+	.B(n_6666),
+	.Y(n_30024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795485 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_state_reg[1]),
+	.A2(soc_top_u_uart_u_uart_core_rx_intr),
+	.B1_N(n_30033),
+	.X(n_30025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795487 (
+	.A(n_66054),
+	.B_N(n_1965),
+	.Y(n_30027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g795488 (
+	.A_N(n_1722),
+	.B(n_95),
+	.X(n_30028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g795489 (
+	.A_N(n_1719),
+	.B(soc_top_u_uart_u_uart_core_read_fifo_raddr[2]),
+	.X(n_30029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795490 (
+	.A_N(n_1710),
+	.B(n_1907),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [11]),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [12]),
+	.Y(n_30030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795491 (
+	.A_N(n_1700),
+	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_30031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795492 (
+	.A_N(n_1698),
+	.B(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.Y(n_30032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g795493 (
+	.A(n_1662),
+	.B_N(n_1673),
+	.X(n_30033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g795495 (
+	.A(soc_top_u_uart_u_uart_core_rx_buffer_size[6]),
+	.B_N(n_1420),
+	.Y(n_30035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795496 (
+	.A_N(n_1405),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_30036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g795497 (
+	.A(n_66051),
+	.B(n_29205),
+	.C_N(n_25181),
+	.Y(n_30037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795498 (
+	.A_N(n_1371),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[2]),
+	.Y(n_30038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g795499 (
+	.A1(n_2523),
+	.A2(n_32),
+	.B1_N(n_1282),
+	.X(n_30039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g795518 (
+	.A(n_25217),
+	.B(n_25201),
+	.Y(n_30058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g795520 (
+	.A(n_25298),
+	.B(n_25288),
+	.Y(n_30060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795541 (
+	.A_N(n_26827),
+	.B(n_65200),
+	.Y(n_30081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g795546 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [8]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [0]),
+	.C(n_681),
+	.X(n_30086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g795547 (
+	.A_N(\soc_top_intr_controller_irq_id_o[0] [3]),
+	.B(n_1683),
+	.Y(n_30087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g795555 (
+	.A1(n_16896),
+	.A2(n_30364),
+	.B1_N(n_16926),
+	.Y(n_30095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g795564 (
+	.A1(n_68795),
+	.A2(n_57993),
+	.B1_N(n_74767),
+	.Y(n_30104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g795574 (
+	.A1_N(n_14364),
+	.A2_N(n_26257),
+	.B1(n_744),
+	.B2(n_14491),
+	.Y(n_30114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g795576 (
+	.A1_N(n_14364),
+	.A2_N(n_26255),
+	.B1(n_135),
+	.B2(n_14491),
+	.Y(n_30116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g795584 (
+	.A(n_26345),
+	.B(n_26342),
+	.C(n_26380),
+	.Y(n_30124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g795598 (
+	.A1(n_61842),
+	.A2(n_64733),
+	.B1_N(n_24536),
+	.Y(n_30138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g795604 (
+	.A1(n_69692),
+	.A2(n_74489),
+	.B1_N(n_23871),
+	.X(n_30144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g795607 (
+	.A1(n_69692),
+	.A2(n_74006),
+	.B1_N(n_23878),
+	.X(n_30147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g795608 (
+	.A1(n_69692),
+	.A2(n_24455),
+	.B1(n_23894),
+	.X(n_24845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g795611 (
+	.A1(n_48678),
+	.A2(n_69692),
+	.B1_N(n_63149),
+	.X(n_30151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g795620 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[4]),
+	.A2(n_76726),
+	.B1(n_24287),
+	.C1(n_24276),
+	.Y(n_30160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795621 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[14]),
+	.A2(n_76726),
+	.B1(n_24278),
+	.C1(n_24258),
+	.Y(n_30161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795622 (
+	.A1(n_76726),
+	.A2(soc_top_u_top_u_core_alu_operand_b_ex[21]),
+	.B1(n_24271),
+	.C1(n_24241),
+	.Y(n_30162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795623 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[20]),
+	.A2(n_76726),
+	.B1(n_24270),
+	.C1(n_24240),
+	.Y(n_30163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795624 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[10]),
+	.A2(n_76726),
+	.B1(n_24126),
+	.C1(n_24238),
+	.Y(n_30164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795625 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[9]),
+	.A2(n_76726),
+	.B1(n_24127),
+	.C1(n_24254),
+	.Y(n_30165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g795626 (
+	.A1(n_23964),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[8]),
+	.B2(n_76726),
+	.C1(n_24237),
+	.Y(n_30166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795627 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[7]),
+	.A2(n_76726),
+	.B1(n_24139),
+	.C1(n_24247),
+	.Y(n_30167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g795628 (
+	.A1(n_23955),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[5]),
+	.B2(n_76726),
+	.C1(n_24274),
+	.Y(n_30168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795629 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[18]),
+	.A2(n_76726),
+	.B1(n_24272),
+	.C1(n_24239),
+	.Y(n_30169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795630 (
+	.A1(soc_top_u_top_u_core_alu_operand_b_ex[11]),
+	.A2(n_76726),
+	.B1(n_24159),
+	.C1(n_24255),
+	.Y(n_30170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g795633 (
+	.A1(n_31134),
+	.A2(n_19033),
+	.B1(n_47058),
+	.Y(n_30173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g795634 (
+	.A1(n_38199),
+	.A2(n_31134),
+	.B1(n_24197),
+	.Y(n_30174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795641 (
+	.A1(n_22219),
+	.A2(n_27442),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[30]),
+	.C1(n_23318),
+	.Y(n_30181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795642 (
+	.A1(n_22219),
+	.A2(n_27441),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[29]),
+	.C1(n_23318),
+	.Y(n_30182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795643 (
+	.A1(n_22219),
+	.A2(n_27438),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[26]),
+	.C1(n_23318),
+	.Y(n_30183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795644 (
+	.A1(n_64897),
+	.A2(n_22219),
+	.B1(n_23229),
+	.B2(soc_top_u_top_u_core_instr_rdata_alu_id[25]),
+	.C1(n_23318),
+	.Y(n_30184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795645 (
+	.A1(n_23325),
+	.A2(soc_top_u_top_u_core_instr_rdata_id[10]),
+	.B1(n_22219),
+	.B2(n_27415),
+	.C1(n_23323),
+	.C2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.Y(n_30185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795647 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[19]),
+	.B1(n_22222),
+	.B2(n_29669),
+	.C1(n_22221),
+	.C2(soc_top_u_top_u_core_lsu_addr_last[19]),
+	.Y(n_30187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795648 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[5]),
+	.B1(n_22222),
+	.B2(n_27483),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[5]),
+	.Y(n_30188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795649 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[29]),
+	.B1(n_22222),
+	.B2(n_29668),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[29]),
+	.Y(n_30189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795650 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[28]),
+	.B1(n_22222),
+	.B2(n_27506),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[28]),
+	.Y(n_30190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795651 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[16]),
+	.B1(n_22222),
+	.B2(n_27494),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[16]),
+	.Y(n_30191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795652 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[23]),
+	.B1(n_22222),
+	.B2(n_27501),
+	.C1(n_22221),
+	.C2(soc_top_u_top_u_core_lsu_addr_last[23]),
+	.Y(n_30192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795653 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[22]),
+	.B1(n_22222),
+	.B2(n_27500),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[22]),
+	.Y(n_30193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795654 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[27]),
+	.B1(n_22222),
+	.B2(n_27505),
+	.C1(n_22221),
+	.C2(soc_top_u_top_u_core_lsu_addr_last[27]),
+	.Y(n_30194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795655 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[11]),
+	.B1(n_22222),
+	.B2(n_27489),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[11]),
+	.Y(n_30195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795656 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[9]),
+	.B1(n_22222),
+	.B2(n_27487),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[9]),
+	.Y(n_30196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795659 (
+	.A_N(n_30249),
+	.B(n_21236),
+	.C(n_21231),
+	.D(n_23220),
+	.Y(n_30199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795661 (
+	.A1(n_29685),
+	.A2(n_19111),
+	.B1_N(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.X(n_30201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795662 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [4]),
+	.C1(n_21509),
+	.Y(n_30202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795663 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [4]),
+	.B1(n_20182),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [4]),
+	.C1(n_21265),
+	.Y(n_30203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795664 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [24]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [24]),
+	.C1(n_21894),
+	.Y(n_30204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g795665 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [24]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [24]),
+	.C1(n_21890),
+	.Y(n_30205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795666 (
+	.A1(n_20443),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [24]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [24]),
+	.C1(n_21887),
+	.Y(n_30206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g795667 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [24]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [24]),
+	.C1(n_21886),
+	.Y(n_30207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795668 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [8]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [8]),
+	.C1(n_21855),
+	.Y(n_30208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795670 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [22]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [22]),
+	.C1(n_21826),
+	.Y(n_30210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795671 (
+	.A1(n_19247),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [8]),
+	.B1(n_19837),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [8]),
+	.C1(n_21788),
+	.Y(n_30211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g795672 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [21]),
+	.B1(n_20839),
+	.C1(n_21771),
+	.Y(n_30212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795673 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [21]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [21]),
+	.C1(n_21767),
+	.Y(n_30213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795674 (
+	.A1(n_19608),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [21]),
+	.B1(n_20551),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [21]),
+	.C1(n_21763),
+	.Y(n_30214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795676 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [20]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [20]),
+	.C1(n_21737),
+	.Y(n_30216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795677 (
+	.A1(n_29397),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[24] [20]),
+	.B1(n_19395),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[25] [20]),
+	.C1(n_21731),
+	.Y(n_30217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795678 (
+	.A1(n_19873),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [7]),
+	.B1(n_19645),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [7]),
+	.C1(n_21713),
+	.Y(n_30218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795679 (
+	.A1(n_19573),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [20]),
+	.B1(n_19291),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [20]),
+	.C1(n_21721),
+	.Y(n_30219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795680 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [20]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [20]),
+	.C1(n_21718),
+	.Y(n_30220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795682 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [16]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [16]),
+	.C1(n_21621),
+	.Y(n_30222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795683 (
+	.A1(n_19488),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [5]),
+	.B1(n_20521),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [5]),
+	.C1(n_21588),
+	.Y(n_30223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795684 (
+	.A1(FE_DBTN78_n_29681),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [3]),
+	.B1(n_20366),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [3]),
+	.C1(n_21430),
+	.Y(n_30224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795688 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [8]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [8]),
+	.C1(n_21388),
+	.Y(n_30228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795689 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [8]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [8]),
+	.C1(n_21386),
+	.Y(n_30229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795690 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [7]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [7]),
+	.C1(n_21375),
+	.Y(n_30230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795691 (
+	.A1(n_20069),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[3] [7]),
+	.B1(n_20182),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[28] [7]),
+	.C1(n_21847),
+	.Y(n_30231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795692 (
+	.A1(n_29396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [7]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [7]),
+	.C1(n_21178),
+	.Y(n_30232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795693 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [7]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [7]),
+	.C1(n_21349),
+	.Y(n_30233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795694 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [7]),
+	.C1(n_21346),
+	.Y(n_30234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795695 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [2]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [2]),
+	.C1(n_21344),
+	.Y(n_30235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795696 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [2]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [2]),
+	.C1(n_21335),
+	.Y(n_30236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795697 (
+	.A1(n_20276),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[23] [2]),
+	.B1(n_19636),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [2]),
+	.C1(n_21329),
+	.Y(n_30237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795700 (
+	.A1(n_20209),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [5]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [5]),
+	.C1(n_21315),
+	.Y(n_30240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795701 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [5]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [5]),
+	.C1(n_21307),
+	.Y(n_30241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795702 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [5]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [5]),
+	.C1(n_21275),
+	.Y(n_30242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795703 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [4]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [4]),
+	.C1(n_21867),
+	.Y(n_30243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795704 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [4]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[6] [4]),
+	.C1(n_21267),
+	.Y(n_30244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795705 (
+	.A1(n_19674),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[7] [4]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [4]),
+	.C1(n_21264),
+	.Y(n_30245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795706 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [4]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [4]),
+	.C1(n_21262),
+	.Y(n_30246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795707 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [4]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [4]),
+	.C1(n_21253),
+	.Y(n_30247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795708 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.B1(n_29393),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [4]),
+	.C1(n_21244),
+	.Y(n_30248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g795709 (
+	.A1(n_18780),
+	.A2(n_19991),
+	.B1(n_18781),
+	.B2(n_20273),
+	.C1(n_21241),
+	.Y(n_30249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g795710 (
+	.A1(n_18941),
+	.A2(n_19872),
+	.B1(n_18806),
+	.B2(n_20045),
+	.C1(n_21209),
+	.X(n_30250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795711 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [0]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [0]),
+	.C1(n_21183),
+	.Y(n_30251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795713 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [0]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [0]),
+	.C1(n_21168),
+	.Y(n_30253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795714 (
+	.A1(n_19248),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [0]),
+	.B1(n_20301),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [0]),
+	.C1(n_67052),
+	.Y(n_30254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795715 (
+	.A1(n_19636),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[22] [0]),
+	.B1(n_19930),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[21] [0]),
+	.C1(n_21150),
+	.Y(n_30255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795716 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [0]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [0]),
+	.C1(n_21146),
+	.Y(n_30256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g795717 (
+	.A1(n_20366),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[29] [0]),
+	.B1(FE_DBTN78_n_29681),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[28] [0]),
+	.C1(n_21162),
+	.Y(n_30257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795718 (
+	.A1(n_19568),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.B1(n_19653),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [8]),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [8]),
+	.Y(n_30258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795719 (
+	.A1(n_29393),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [22]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [22]),
+	.C1(n_19674),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [22]),
+	.Y(n_30259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795720 (
+	.A1(n_19568),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [7]),
+	.B1(n_19653),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [7]),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [7]),
+	.Y(n_30260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795721 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [4]),
+	.B1(n_19735),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [4]),
+	.C1(n_19568),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [4]),
+	.Y(n_30261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795722 (
+	.A1(n_19735),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [2]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [2]),
+	.C1(n_19653),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [2]),
+	.Y(n_30262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g795723 (
+	.A1(n_19653),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [0]),
+	.B1(n_19568),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [0]),
+	.C1(n_19735),
+	.C2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[1] [0]),
+	.Y(n_30263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795724 (
+	.A1(n_19788),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [10]),
+	.B1(n_19488),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [10]),
+	.X(n_30264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795725 (
+	.A1(n_20642),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [10]),
+	.B1(n_19635),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [10]),
+	.X(n_30265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795726 (
+	.A1(n_19837),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[17] [10]),
+	.B1(n_19247),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[16] [10]),
+	.X(n_30266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795727 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[18] [26]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[19] [26]),
+	.X(n_30267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795728 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [25]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [25]),
+	.X(n_30268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795729 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [25]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [25]),
+	.X(n_30269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795730 (
+	.A1(n_19570),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [25]),
+	.B1(n_19608),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [25]),
+	.X(n_30270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795731 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [25]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [25]),
+	.X(n_30271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795732 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [24]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [24]),
+	.X(n_30272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795733 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [24]),
+	.B1(n_19674),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[7] [24]),
+	.X(n_30273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795734 (
+	.A1(n_29395),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[18] [24]),
+	.B1(n_20099),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [24]),
+	.X(n_30274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795735 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [24]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [24]),
+	.X(n_30275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795736 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [22]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [22]),
+	.X(n_30276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795738 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [18]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [18]),
+	.X(n_30278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795739 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [18]),
+	.B1(n_19647),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [18]),
+	.X(n_30279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795740 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [16]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [16]),
+	.X(n_30280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795741 (
+	.A1(n_19433),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[22] [16]),
+	.B1(n_20404),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[23] [16]),
+	.X(n_30281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795742 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [13]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [13]),
+	.X(n_30282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795743 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [18]),
+	.B1(n_19488),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[4] [18]),
+	.X(n_30283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795744 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [18]),
+	.B1(n_20044),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [18]),
+	.X(n_30284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795745 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [10]),
+	.B1(n_20552),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [10]),
+	.X(n_30285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795746 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [10]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [10]),
+	.X(n_30286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795747 (
+	.A1(n_19570),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[15] [10]),
+	.B1(n_19608),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[1] [10]),
+	.X(n_30287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795748 (
+	.A1(n_20626),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [18]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [18]),
+	.X(n_30288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795749 (
+	.A1(n_19813),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[6] [3]),
+	.B1(n_19788),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[5] [3]),
+	.X(n_30289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795750 (
+	.A1(n_20476),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [8]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [8]),
+	.X(n_30290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795751 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [3]),
+	.B1(n_20626),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [3]),
+	.X(n_30291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795752 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [7]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [7]),
+	.X(n_30292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795755 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [5]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [5]),
+	.X(n_30295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795757 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [2]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [2]),
+	.X(n_30297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795758 (
+	.A1(n_19291),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[17] [4]),
+	.B1(n_19573),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[16] [4]),
+	.X(n_30298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795759 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [1]),
+	.B1(n_20626),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[8] [1]),
+	.X(n_30299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795760 (
+	.A1(n_19901),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[10] [0]),
+	.B1(n_19873),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [0]),
+	.X(n_30300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795764 (
+	.A1(n_20304),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [0]),
+	.B1(n_20551),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [0]),
+	.X(n_30304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795765 (
+	.A1(n_20476),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[5] [18]),
+	.B1(n_20441),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[4] [18]),
+	.X(n_30305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795766 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.A2(n_18758),
+	.B1_N(n_29692),
+	.X(n_30306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g795768 (
+	.A0(soc_top_u_top_u_core_rf_raddr_b[4]),
+	.A1(n_18845),
+	.S(soc_top_u_top_u_core_rf_waddr_wb[4]),
+	.X(n_30308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795769 (
+	.A1(n_18850),
+	.A2(soc_top_u_top_u_core_rf_waddr_wb[3]),
+	.B1(n_756),
+	.B2(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(n_30309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795806 (
+	.A1(n_16953),
+	.A2(n_29497),
+	.B1_N(n_17046),
+	.X(n_30346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g795824 (
+	.A1(n_16697),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
+	.B1(n_64059),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.Y(n_30364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g795842 (
+	.A(n_67062),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
+	.C(n_16566),
+	.Y(n_30382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g795845 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
+	.B(n_30406),
+	.C(n_16563),
+	.Y(n_30385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g795847 (
+	.A_N(n_71049),
+	.B(n_16220),
+	.C(n_44200),
+	.X(n_30387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795849 (
+	.A1(n_16242),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
+	.B1_N(n_16265),
+	.X(n_30389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795851 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
+	.B(n_67065),
+	.C(n_15775),
+	.D(n_30829),
+	.Y(n_30391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g795854 (
+	.A1(n_15343),
+	.A2(n_67805),
+	.B1_N(n_15974),
+	.X(soc_top_u_top_u_core_lsu_wdata[18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g795855 (
+	.A1(n_67805),
+	.A2(n_50154),
+	.B1_N(n_15963),
+	.X(n_30395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g795856 (
+	.A1(n_74006),
+	.A2(n_67805),
+	.B1_N(n_15968),
+	.X(n_30396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g795859 (
+	.A1(n_74489),
+	.A2(n_67805),
+	.B1_N(n_15972),
+	.X(n_30399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795864 (
+	.A1(n_27077),
+	.A2(n_15613),
+	.B1_N(n_16070),
+	.X(n_30404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g795866 (
+	.A1(n_32877),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15811),
+	.Y(n_30406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795867 (
+	.A1(n_33211),
+	.A2(FE_DBTN90_n_15569),
+	.B1_N(n_29552),
+	.X(n_30407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795875 (
+	.A1(n_67805),
+	.A2(n_48678),
+	.B1_N(n_15978),
+	.X(n_30415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795883 (
+	.A1(n_27079),
+	.A2(FE_DBTN90_n_15569),
+	.B1_N(n_30424),
+	.X(n_30423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g795884 (
+	.A1(FE_DBTN35_n_54412),
+	.A2(n_15635),
+	.B1_N(n_317667_BAR),
+	.X(n_30424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g795885 (
+	.A1(FE_DBTN92_n_49646),
+	.A2(n_15635),
+	.B1_N(n_317667_BAR),
+	.X(n_30425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795886 (
+	.A1(n_27084),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15634),
+	.B2(n_24845),
+	.X(n_30426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g795889 (
+	.A_N(n_24845),
+	.B(n_15540),
+	.C(n_37502),
+	.D(FE_DBTN92_n_49646),
+	.Y(n_30429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g795891 (
+	.A_N(n_70813),
+	.B(n_68796),
+	.C(n_73650),
+	.D(n_49646),
+	.X(n_30431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795915 (
+	.A1(n_15178),
+	.A2(n_15169),
+	.B1_N(n_67835),
+	.X(n_30455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g795921 (
+	.A(n_62793),
+	.B(n_15159),
+	.C(n_15155),
+	.Y(n_30461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795928 (
+	.A1(n_15143),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [4]),
+	.B1(n_26259),
+	.B2(n_14927),
+	.X(n_30468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g795929 (
+	.A1(n_15134),
+	.A2(n_15115),
+	.B1(n_15144),
+	.X(n_27090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795936 (
+	.A1(n_15114),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [14]),
+	.B1(n_25580),
+	.B2(n_14927),
+	.X(n_30476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795952 (
+	.A1(n_14913),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.B1_N(n_29856),
+	.X(n_30492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795954 (
+	.A1(n_14814),
+	.A2(n_14754),
+	.B1_N(n_14873),
+	.X(n_30494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g795955 (
+	.A(n_25340),
+	.B(n_14831),
+	.C(n_25364),
+	.D(n_14022),
+	.X(n_30495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g795963 (
+	.A(n_14554),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Crtl_cnt_S [0]),
+	.C(n_14501),
+	.Y(n_30503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g795965 (
+	.A1(n_14476),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [12]),
+	.B1(n_14364),
+	.B2(n_26267),
+	.X(n_30505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795973 (
+	.A1(n_14211),
+	.A2(n_27098),
+	.B1_N(n_14338),
+	.X(n_30513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g795979 (
+	.A1(n_26979),
+	.A2(n_961),
+	.B1_N(n_14284),
+	.X(n_30519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g795984 (
+	.A1(n_26983),
+	.A2(n_961),
+	.B1_N(n_14277),
+	.X(n_30524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g795985 (
+	.A1(n_26982),
+	.A2(n_961),
+	.B1(n_14190),
+	.B2(n_27092),
+	.C1(n_14000),
+	.X(n_30525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g795986 (
+	.A1(n_26980),
+	.A2(n_961),
+	.B1_N(n_14282),
+	.X(n_30526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g795987 (
+	.A1(n_26978),
+	.A2(n_961),
+	.B1(n_14190),
+	.B2(n_27088),
+	.C1(n_14000),
+	.X(n_30527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g796013 (
+	.A1(n_66261),
+	.A2(n_961),
+	.B1(n_26983),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_30553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g796043 (
+	.A0(n_26647),
+	.A1(n_26571),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796045 (
+	.A0(n_26645),
+	.A1(n_26569),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796046 (
+	.A0(n_26644),
+	.A1(n_26568),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g796047 (
+	.A0(n_26643),
+	.A1(n_26567),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796049 (
+	.A0(n_26641),
+	.A1(n_26565),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796050 (
+	.A0(n_26640),
+	.A1(n_26564),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796051 (
+	.A0(n_26639),
+	.A1(n_26563),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796052 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_26562),
+	.B1(n_74852),
+	.B2(n_26638),
+	.X(n_30592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796053 (
+	.A1(n_26559),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_74852),
+	.B2(n_26635),
+	.X(n_30593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796054 (
+	.A1(n_74852),
+	.A2(n_26634),
+	.B1(FE_DBTN93_n_74852),
+	.B2(n_34308),
+	.X(n_30594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796055 (
+	.A1(n_74852),
+	.A2(n_26633),
+	.B1(n_26557),
+	.B2(FE_DBTN93_n_74852),
+	.X(n_30595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796056 (
+	.A1(n_26556),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_74852),
+	.B2(n_26632),
+	.X(n_30596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796057 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_26555),
+	.B1(n_74852),
+	.B2(n_26631),
+	.X(n_30597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796058 (
+	.A1(n_74852),
+	.A2(n_26630),
+	.B1(FE_DBTN93_n_74852),
+	.B2(n_26554),
+	.X(n_30598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g796059 (
+	.A0(n_26652),
+	.A1(n_26576),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g796062 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_26553),
+	.B1(n_74852),
+	.B2(n_26629),
+	.X(n_30602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g796063 (
+	.A0(n_26649),
+	.A1(n_26573),
+	.S(FE_DBTN93_n_74852),
+	.X(n_30603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796094 (
+	.A1(n_13543),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [21]),
+	.B1(n_13450),
+	.B2(n_25587),
+	.X(n_30634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796095 (
+	.A1(n_13543),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [22]),
+	.B1(n_13450),
+	.B2(n_25588),
+	.X(n_30635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796096 (
+	.A1(n_66190),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [26]),
+	.B1(n_13450),
+	.B2(n_25592),
+	.X(n_30636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796097 (
+	.A1(n_66190),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [25]),
+	.B1(n_13450),
+	.B2(n_25591),
+	.X(n_30637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796098 (
+	.A1(n_66190),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [24]),
+	.B1(n_13450),
+	.B2(n_25590),
+	.X(n_30638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g796103 (
+	.A1(n_13352),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [39]),
+	.B1(n_29960),
+	.Y(n_30643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g796104 (
+	.A(n_73729),
+	.B(n_14111),
+	.C(n_13166),
+	.Y(n_30644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796107 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]),
+	.A2(n_12762),
+	.B1(n_26038),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g796108 (
+	.A(n_25386),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.X(n_30648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796109 (
+	.A1(FE_DBTN110_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D_1_28),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B1(n_12962),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.X(n_30649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g796110 (
+	.A1(n_26059),
+	.A2(n_12762),
+	.B1(n_26058),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.X(n_30650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g796141 (
+	.A(n_12762),
+	.B(n_25146),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.X(n_30681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g796142 (
+	.A(n_12762),
+	.B(n_14017),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.X(n_30682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g796162 (
+	.A1(n_33149),
+	.A2(n_62746),
+	.B1_N(n_12542),
+	.X(n_30702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g796164 (
+	.A1(n_62742),
+	.A2(n_62746),
+	.B1_N(n_12523),
+	.X(n_30704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g3 (
+	.A(n_30709),
+	.Y(n_30710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g796169 (
+	.A1(n_25762),
+	.A2(n_8929),
+	.B1(n_25760),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [3]),
+	.Y(n_30709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g796170 (
+	.A1(n_25763),
+	.A2(n_8929),
+	.B1_N(n_30721),
+	.X(n_30711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g796171 (
+	.A(n_30712),
+	.Y(n_30713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g796172 (
+	.A1(n_25766),
+	.A2(n_8929),
+	.B1(n_25764),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [7]),
+	.Y(n_30712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g796173 (
+	.A1(n_73941),
+	.A2(n_8929),
+	.B1_N(n_9454),
+	.X(n_30714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g796174 (
+	.A1(n_25768),
+	.A2(n_8929),
+	.B1_N(n_9453),
+	.X(n_30715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g796175 (
+	.A(n_30716),
+	.Y(n_30717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g796176 (
+	.A1(n_66627),
+	.A2(n_8929),
+	.B1(n_25777),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [22]),
+	.Y(n_30716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g796180 (
+	.A1_N(n_8915),
+	.A2_N(n_66208),
+	.B1(n_6618),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [4]),
+	.Y(n_30721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g796182 (
+	.A(n_67808),
+	.B(n_1966),
+	.C(n_73723),
+	.X(n_30723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g796183 (
+	.A1(n_3370),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [5]),
+	.B1(n_3369),
+	.B2(n_776),
+	.X(n_30724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g796184 (
+	.A(n_3370),
+	.B(n_30086),
+	.C(n_1340),
+	.D(n_1996),
+	.Y(n_30725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g796192 (
+	.A1(n_1433),
+	.A2(n_812),
+	.B1(n_1644),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [3]),
+	.X(n_30733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g796196 (
+	.A1(n_78),
+	.A2(n_1578),
+	.B1(n_44),
+	.B2(n_1270),
+	.C1(n_2548),
+	.X(n_30737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g796197 (
+	.A(n_25175),
+	.B(soc_top_u_top_u_core_cs_registers_i_n_6282),
+	.C_N(n_3437),
+	.Y(n_30738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g796198 (
+	.A_N(n_25352),
+	.B_N(n_25140),
+	.C(n_1364),
+	.D(n_30037),
+	.X(n_30739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g796215 (
+	.A_N(n_25281),
+	.B(n_31),
+	.C(n_770),
+	.D(FE_DBTN99_soc_top_u_spi_host_spi_host_tip),
+	.X(n_30756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g796247 (
+	.A(n_29205),
+	.B(n_25350),
+	.C_N(n_1600),
+	.Y(n_30788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g796268 (
+	.A1(soc_top_u_top_u_core_fp_frm_fpnew[1]),
+	.A2(soc_top_u_top_u_core_fp_frm_fpnew[0]),
+	.B1(n_56708),
+	.Y(n_30809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g796270 (
+	.A1_N(\soc_top_plic_resp[d_valid] ),
+	.A2_N(n_25422),
+	.B1(n_24576),
+	.B2(n_25423),
+	.Y(n_30811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g796283 (
+	.A1(n_14534),
+	.A2(n_16120),
+	.B1(n_15785),
+	.C1(n_15672),
+	.X(n_30825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g796284 (
+	.A1(n_15569),
+	.A2(n_36786),
+	.B1_N(n_15672),
+	.Y(n_30826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g796287 (
+	.A1(n_27082),
+	.A2(FE_DBTN90_n_15569),
+	.B1_N(n_15788),
+	.Y(n_30829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g796296 (
+	.A_N(n_47968),
+	.B(n_44468),
+	.C(n_17183),
+	.Y(n_30838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g796298 (
+	.A1(n_86),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_z_D [2]),
+	.B1(n_1644),
+	.X(n_1925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 g796300 (
+	.A_N(n_24687),
+	.B_N(n_24676),
+	.C(n_24674),
+	.D(n_24684),
+	.Y(n_30842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g796302 (
+	.A(n_22039),
+	.B(n_22040),
+	.C(n_22042),
+	.D(n_22043),
+	.X(n_30844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g796392 (
+	.A(n_75244),
+	.B(n_75241),
+	.Y(addinc_ADD_UNS_OP_2_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g796394 (
+	.A(n_51731),
+	.B(n_52565),
+	.Y(n_30937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g796396 (
+	.A(n_67798),
+	.B(n_74908),
+	.Y(n_30939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g796402 (
+	.A(n_30944),
+	.B(n_17619),
+	.Y(n_30945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_4 g796403 (
+	.A1(n_37531),
+	.A2(n_53113),
+	.B1_N(n_25417),
+	.X(n_30944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g796413 (
+	.A(n_30955),
+	.B(n_66523),
+	.Y(n_30956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g796414 (
+	.A1(n_16892),
+	.A2(n_16663),
+	.B1(n_66524),
+	.Y(n_30955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g796481 (
+	.A(n_31023),
+	.B(n_35286),
+	.Y(n_31024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g796482 (
+	.A_N(n_14113),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.Y(n_31023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g796525 (
+	.A1(n_25771),
+	.A2(n_29986),
+	.B1(n_67261),
+	.X(n_31068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g796551 (
+	.A(n_31093),
+	.B(n_18994),
+	.C(n_18998),
+	.X(n_31094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g796552 (
+	.A(soc_top_u_top_u_core_rf_waddr_wb[0]),
+	.B(FE_DBTN121_soc_top_u_top_u_core_instr_rdata_id_20),
+	.X(n_31093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g796553 (
+	.A(n_33502),
+	.B(n_13615),
+	.C(n_29936),
+	.Y(n_31096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g796557 (
+	.A(n_65691),
+	.B(n_56296),
+	.C(n_24281),
+	.Y(n_31100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g796567 (
+	.A(n_17841),
+	.B(n_17828),
+	.Y(n_31109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt5 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.Y(n_31132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt796785 (
+	.A(n_31260),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt796801 (
+	.A(n_73770),
+	.Y(n_31276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt796813 (
+	.A(n_44273),
+	.Y(n_31288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt796958 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.Y(n_31531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt796966 (
+	.A(n_51277),
+	.Y(n_31540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt796974 (
+	.A(n_55083),
+	.Y(n_31552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt797029 (
+	.A(n_51849),
+	.Y(n_31624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797069 (
+	.A(n_30399),
+	.Y(n_31670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797074 (
+	.A(n_50261),
+	.Y(n_31682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797113 (
+	.A(n_44448),
+	.Y(n_31726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt797180 (
+	.A(n_71053),
+	.Y(n_31816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g797246 (
+	.A(n_47089),
+	.B(n_49922),
+	.Y(n_32152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g492972_0 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.B(n_55103),
+	.Y(n_12848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g797254 (
+	.A(n_13562),
+	.B(n_67155),
+	.Y(n_32158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g499833_0 (
+	.A(n_66192),
+	.B(n_13482),
+	.Y(n_32161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7485 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_846),
+	.B(n_45809),
+	.Y(n_26576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7493 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_817),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1363),
+	.Y(n_26574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7494 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1363),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_741),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7496 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1361),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_752),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7497 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_778),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1358),
+	.Y(n_26573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7498 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1358),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_618),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7500 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_780),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1679),
+	.Y(n_26571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7501 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1356),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_598),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7502 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_779),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1353),
+	.Y(n_26572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7503 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1353),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1646),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7506 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1350),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_967),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7507 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_781),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1348),
+	.Y(n_26570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7509 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1348),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_885),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7511 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1346),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_745),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7512 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_798),
+	.B(n_74200),
+	.Y(n_26569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7513 (
+	.A(n_74200),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_617),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7515 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_816),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1680),
+	.Y(n_26567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7517 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_776),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1339),
+	.Y(n_26568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7520 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1335),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_925),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7522 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_814),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1332),
+	.Y(n_26566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7524 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1332),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_820),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7527 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1330),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_758),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7528 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_812),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1325),
+	.X(n_26565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7529 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1325),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1620),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7531 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_806),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1681),
+	.Y(n_26561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7532 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_800),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1682),
+	.Y(n_26557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7533 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_809),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1683),
+	.X(n_26563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7534 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1323),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_765),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7535 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_810),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1315),
+	.X(n_26564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7536 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1315),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_593),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7539 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_804),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1565),
+	.Y(n_26560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7544 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1309),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_931),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7546 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_808),
+	.B(n_69132),
+	.X(n_26562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7547 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_803),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1295),
+	.X(n_26559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7548 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_795),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1296),
+	.X(n_26555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7550 (
+	.A(n_69132),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_883),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7562 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1280),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_731),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7563 (
+	.A(n_34309),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_490),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7568 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_792),
+	.B(n_66603),
+	.Y(n_26553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7579 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1557),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1634),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7582 (
+	.A(n_52152),
+	.B(n_69123),
+	.Y(n_26517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7604 (
+	.A(n_50366),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_860),
+	.Y(n_26536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7607 (
+	.A(n_65860),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1564),
+	.Y(n_26528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 WALLACE_CSA_DUMMY_OP_groupi_g7609 (
+	.A1_N(WALLACE_CSA_DUMMY_OP_groupi_n_829),
+	.A2_N(n_70586),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_829),
+	.B2(n_70586),
+	.Y(n_26542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7610 (
+	.A(n_51215),
+	.B(n_35722),
+	.Y(n_26523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7611 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_875),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1208),
+	.Y(n_26521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7626 (
+	.A(n_70586),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_548),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7630 (
+	.A(n_69122),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1184),
+	.Y(n_26516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7631 (
+	.A(n_70586),
+	.B(n_66354),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7646 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1188),
+	.B(n_46697),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7655 (
+	.A(n_35725),
+	.B(n_48945),
+	.Y(n_26522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7656 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_811),
+	.B(n_74466),
+	.Y(n_26513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7657 (
+	.A(n_69124),
+	.B(n_58103),
+	.Y(n_26515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7658 (
+	.A(n_57482),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1692),
+	.Y(n_26519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7659 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_786),
+	.B(n_49467),
+	.Y(n_26534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7660 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_794),
+	.B(n_50421),
+	.Y(n_26520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7670 (
+	.A(n_50421),
+	.B(n_46690),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7676 (
+	.A(n_52129),
+	.B(n_38808),
+	.Y(n_26509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7682 (
+	.A(n_53617),
+	.B(n_69120),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7696 (
+	.A(n_58101),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1720),
+	.Y(n_26514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7698 (
+	.A(n_48183),
+	.B(n_48177),
+	.Y(n_26511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 WALLACE_CSA_DUMMY_OP_groupi_g7699 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_874),
+	.B(n_48998),
+	.Y(n_26518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7700 (
+	.A(n_48651),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1722),
+	.Y(n_26512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7712 (
+	.A(n_38810),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1566),
+	.Y(n_26508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7736 (
+	.A(n_48178),
+	.B(n_44795),
+	.Y(n_26510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7752 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1090),
+	.B(n_49164),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7767 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_867),
+	.B(n_44791),
+	.Y(n_26506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7776 (
+	.A(n_44791),
+	.B(n_74001),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g7819 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1704),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1705),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g7823 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_479),
+	.A2(io_oeb[0]),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g7831 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_950),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1636),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1635),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g7883 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_533),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1645),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_771),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g7918 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1643),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_587),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_597),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g7924 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_506),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_498),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 WALLACE_CSA_DUMMY_OP_groupi_g7926 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_490),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_478),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_616),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 WALLACE_CSA_DUMMY_OP_groupi_g7928 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_472),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_731),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_757),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g7941 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_865),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g7967 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_533),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_691),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g7969 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_587),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1642),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7978 (
+	.A(n_50028),
+	.B(n_46696),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7979 (
+	.A(n_51288),
+	.B(n_51286),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7987 (
+	.A(n_49164),
+	.B(n_74001),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g7989 (
+	.A(n_51288),
+	.B(n_34302),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g7996 (
+	.A(n_45803),
+	.B(n_45201),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8011 (
+	.A(n_45810),
+	.B(n_45824),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8025 (
+	.A1(n_44846),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_479),
+	.Y(n_26502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8030 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_498),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1644),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8033 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_741),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_594),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8034 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_498),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_601),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8036 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1644),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_506),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8038 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1620),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_758),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8039 (
+	.A(n_68233),
+	.B(n_55771),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8040 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_593),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_765),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8041 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_587),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_597),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8042 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1642),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1643),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8044 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1641),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1640),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8046 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1639),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_737),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8047 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_478),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_616),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8049 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_490),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1638),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8050 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_532),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1637),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8053 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_745),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_617),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8054 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1636),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1635),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8056 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_472),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_757),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8057 (
+	.A(n_46690),
+	.B(n_46697),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g8058 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_731),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1634),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8059 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_670),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1633),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8061 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1632),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1631),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8064 (
+	.A(n_46656),
+	.B(n_73997),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8066 (
+	.A(n_67914),
+	.B(n_66436),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8067 (
+	.A(n_45615),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_495),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8073 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_691),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1645),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8075 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_533),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_771),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8077 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1742),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_548),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8079 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1646),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_598),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8080 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_588),
+	.B(n_66813),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8081 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_752),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_618),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8083 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_769),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_615),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8107 (
+	.A(n_68880),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8170 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_257),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_342),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8172 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_180),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_300),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8175 (
+	.A(n_57495),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_228),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8178 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_186),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_338),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8180 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_348),
+	.B(n_57497),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8181 (
+	.A(n_66429),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_290),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8184 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_305),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_235),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8189 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_349),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_196),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8192 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_258),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_286),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8195 (
+	.A(n_33073),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_251),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8199 (
+	.A(n_67753),
+	.B(n_66374),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 WALLACE_CSA_DUMMY_OP_groupi_g8214 (
+	.A(n_49183),
+	.B(n_49181),
+	.C(n_49632),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8215 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_162),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_335),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8226 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_256),
+	.B(n_48136),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8282 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_531),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8312 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_478),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8315 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_472),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 WALLACE_CSA_DUMMY_OP_groupi_g8316 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_235),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_305),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 WALLACE_CSA_DUMMY_OP_groupi_g8317 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_196),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_349),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8318 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_303),
+	.B(n_39374),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8319 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_300),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_180),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8322 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_175),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_301),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8326 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_338),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_186),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8328 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_336),
+	.B(n_74914),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8331 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_344),
+	.B(n_73735),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8332 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_243),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_341),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8335 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_286),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_258),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 WALLACE_CSA_DUMMY_OP_groupi_g8336 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_228),
+	.B(n_57495),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8339 (
+	.A(n_67731),
+	.B(n_58062),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8340 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_341),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_243),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8357 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_403),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_404),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8363 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_342),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_257),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8364 (
+	.A(n_37382),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_242),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8373 (
+	.A(n_74912),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_169),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8376 (
+	.A(n_74914),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_336),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8378 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_165),
+	.B(n_50206),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8381 (
+	.A(n_53310),
+	.B(n_37383),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8387 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.B(n_44846),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8388 (
+	.A(n_39374),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_303),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8390 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_290),
+	.B(n_66429),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8420 (
+	.A(n_49632),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8455 (
+	.A(n_66390),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8456 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_307),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8508 (
+	.A(n_34853),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g8510 (
+	.A1(n_32040),
+	.A2(n_68039),
+	.B1(n_45812),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8511 (
+	.A(addinc_ADD_UNS_OP_2_n_64),
+	.B(n_32047),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8512 (
+	.A(n_57491),
+	.B(n_74915),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8519 (
+	.A(n_54181),
+	.B(n_74485),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8521 (
+	.A(n_26723),
+	.B(n_32045),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8522 (
+	.A(addinc_ADD_UNS_OP_2_n_65),
+	.B(n_51733),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8524 (
+	.A(n_56799),
+	.B(n_56800),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8525 (
+	.A(n_49935),
+	.B(n_52084),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8526 (
+	.A(n_30939),
+	.B(n_32041),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8529 (
+	.A(n_69220),
+	.B(addinc_ADD_UNS_OP_2_n_62),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8532 (
+	.A(n_31969),
+	.B(n_48664),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8536 (
+	.A(addinc_ADD_UNS_OP_2_n_6),
+	.B(n_36430),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8543 (
+	.A(n_70559),
+	.B(n_36431),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8554 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_156),
+	.B(n_53429),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8557 (
+	.A(n_32832),
+	.B(n_67773),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8559 (
+	.A(addinc_ADD_UNS_OP_2_n_60),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1765),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 WALLACE_CSA_DUMMY_OP_groupi_g8561 (
+	.A(n_32034),
+	.B(n_54384),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8562 (
+	.A(addinc_ADD_UNS_OP_2_n_18),
+	.B(n_74823),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 WALLACE_CSA_DUMMY_OP_groupi_g8576 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_86),
+	.A2(addinc_ADD_UNS_OP_2_n_72),
+	.B1(n_52756),
+	.B2(n_51899),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8582 (
+	.A(n_32042),
+	.B(n_32831),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8591 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_87),
+	.B(n_54771),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8593 (
+	.A(n_52171),
+	.B(n_53720),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8613 (
+	.A(FE_DBTN38_n_68877),
+	.B(n_68875),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8620 (
+	.A(n_70559),
+	.B(n_36431),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8621 (
+	.A(n_67773),
+	.B(n_32832),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8622 (
+	.A(n_31969),
+	.B(n_48664),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8623 (
+	.A(n_52632),
+	.B(n_52633),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8628 (
+	.A(addinc_ADD_UNS_OP_2_n_60),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1765),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8636 (
+	.A(addinc_ADD_UNS_OP_2_n_6),
+	.B(n_36430),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g8637 (
+	.A(n_69294),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_86),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8638 (
+	.A(n_39341),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1761),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8644 (
+	.A(n_54181),
+	.B(n_74485),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8651 (
+	.A(addinc_ADD_UNS_OP_2_n_65),
+	.B(n_51733),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8660 (
+	.A(n_75254),
+	.B(n_53425),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8665 (
+	.A(FE_DBTN109_n_68887),
+	.B(n_68886),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8674 (
+	.A(n_51742),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_104),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8685 (
+	.A(n_71348),
+	.B(n_55879),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8688 (
+	.A(addinc_ADD_UNS_OP_2_n_18),
+	.B(n_74823),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8691 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.B(addinc_ADD_UNS_OP_2_n_47),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8697 (
+	.A(n_71348),
+	.B(FE_DBTN70_n_55879),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8698 (
+	.A(n_32042),
+	.B(n_32831),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8704 (
+	.A(n_69220),
+	.B(addinc_ADD_UNS_OP_2_n_62),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8710 (
+	.A(n_36631),
+	.B(n_36633),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8711 (
+	.A(n_51899),
+	.B(addinc_ADD_UNS_OP_2_n_72),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8712 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1732),
+	.B(n_39352),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8716 (
+	.A(n_57491),
+	.B(n_74915),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8718 (
+	.A(n_57389),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_68),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g8720 (
+	.A(FE_DBTN66_n_35437),
+	.B(n_55282),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8723 (
+	.A(addinc_ADD_UNS_OP_2_n_64),
+	.B(n_32047),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8767 (
+	.A(n_69414),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8791 (
+	.A(n_70258),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g8796 (
+	.A(n_45885),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g8847 (
+	.A(n_68015),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 WALLACE_CSA_DUMMY_OP_groupi_g8856 (
+	.A(n_66764),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8875 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_797),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1567),
+	.X(n_26556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8876 (
+	.A_N(n_34309),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_477),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8877 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1280),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_473),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8878 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_793),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1557),
+	.X(n_26554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g8886 (
+	.A_N(n_68023),
+	.B(n_34683),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g8888 (
+	.A(n_33537),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1047),
+	.X(n_26504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g8893 (
+	.A_N(n_57828),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1570),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 WALLACE_CSA_DUMMY_OP_groupi_g8894 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_954),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1547),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8895 (
+	.A_N(n_45857),
+	.B(n_38390),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8896 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_950),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1548),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8899 (
+	.A_N(n_47361),
+	.B(n_49069),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 WALLACE_CSA_DUMMY_OP_groupi_g8905 (
+	.A1(n_34436),
+	.A2(n_67914),
+	.B1_N(n_66436),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8949 (
+	.A(n_57497),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_348),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8960 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1666),
+	.B(n_52634),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8961 (
+	.A_N(n_52634),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1666),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 WALLACE_CSA_DUMMY_OP_groupi_g8962 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_256),
+	.B(n_48136),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8963 (
+	.A(n_67753),
+	.B(n_66374),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8964 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_174),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1713),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8965 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1713),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_174),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8966 (
+	.A(n_37382),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_242),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8967 (
+	.A(n_53310),
+	.B(n_37383),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8968 (
+	.A(n_33073),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_251),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8969 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_193),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_326),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8970 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_326),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_193),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8971 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_259),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_333),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8972 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_333),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_259),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8973 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_169),
+	.B(n_74912),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8974 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_335),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_162),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8975 (
+	.A(n_73735),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_344),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g8983 (
+	.A_N(n_59272),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1782),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g8995 (
+	.A(n_56800),
+	.B(n_56799),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9008 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1348),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_691),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1645),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9009 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1332),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1644),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_506),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9010 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1565),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1639),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_737),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9011 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1567),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1636),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1635),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9012 (
+	.A1(n_69132),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1642),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1643),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g2 (
+	.A1(n_48998),
+	.A2(n_51286),
+	.B1_N(n_51288),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 WALLACE_CSA_DUMMY_OP_groupi_g9027 (
+	.A_N(n_55232),
+	.B(FE_DBTN50_n_74579),
+	.C(n_34437),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g9029 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_954),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1639),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_737),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 WALLACE_CSA_DUMMY_OP_groupi_g9032 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1634),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1636),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_531),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_473),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 WALLACE_CSA_DUMMY_OP_groupi_g9033 (
+	.A(n_49469),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1632),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_670),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 WALLACE_CSA_DUMMY_OP_groupi_g9038 (
+	.A1(n_54326),
+	.A2(n_66949),
+	.B1(n_54323),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 WALLACE_CSA_DUMMY_OP_groupi_g9041 (
+	.A1(n_69294),
+	.A2(n_51899),
+	.B1(n_43750),
+	.B2(WALLACE_CSA_DUMMY_OP_groupi_n_86),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 WALLACE_CSA_DUMMY_OP_groupi_g9046 (
+	.A1(n_39341),
+	.A2(n_57944),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1761),
+	.B2(n_58668),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 WALLACE_CSA_DUMMY_OP_groupi_g9048 (
+	.A1(n_44795),
+	.A2(n_44800),
+	.B1(n_55772),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g9050 (
+	.A1(n_44795),
+	.A2(n_66848),
+	.B1(n_75264),
+	.X(WALLACE_CSA_DUMMY_OP_groupi_n_1722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_fopt9071 (
+	.A(n_67709),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt9073 (
+	.A(n_57944),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt9085 (
+	.A(n_54075),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 WALLACE_CSA_DUMMY_OP_groupi_fopt9096 (
+	.A(n_52698),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6363 (
+	.A(n_66943),
+	.B(addinc_ADD_UNS_OP_2_n_1508),
+	.Y(n_26653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6364 (
+	.A(addinc_ADD_UNS_OP_2_n_585),
+	.B(addinc_ADD_UNS_OP_2_n_1504),
+	.Y(n_26652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6365 (
+	.A(n_66947),
+	.B(addinc_ADD_UNS_OP_2_n_1790),
+	.Y(n_26649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6366 (
+	.A(addinc_ADD_UNS_OP_2_n_590),
+	.B(addinc_ADD_UNS_OP_2_n_1792),
+	.Y(n_26648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6367 (
+	.A(n_47385),
+	.B(n_50569),
+	.Y(n_26647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6368 (
+	.A(addinc_ADD_UNS_OP_2_n_594),
+	.B(n_74825),
+	.Y(n_26645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6369 (
+	.A(addinc_ADD_UNS_OP_2_n_612),
+	.B(addinc_ADD_UNS_OP_2_n_1494),
+	.Y(n_26651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6370 (
+	.A(addinc_ADD_UNS_OP_2_n_600),
+	.B(addinc_ADD_UNS_OP_2_n_1495),
+	.Y(n_26650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6371 (
+	.A(n_50565),
+	.B(addinc_ADD_UNS_OP_2_n_1719),
+	.Y(n_26646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6372 (
+	.A(n_74828),
+	.B(n_67853),
+	.Y(n_26644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6373 (
+	.A(addinc_ADD_UNS_OP_2_n_507),
+	.B(n_66803),
+	.Y(n_26643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6374 (
+	.A1(addinc_ADD_UNS_OP_2_n_821),
+	.A2(addinc_ADD_UNS_OP_2_n_1476),
+	.B1(addinc_ADD_UNS_OP_2_n_1120),
+	.Y(addinc_ADD_UNS_OP_2_n_1508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g6378 (
+	.A1(n_49214),
+	.A2(addinc_ADD_UNS_OP_2_n_1476),
+	.B1(addinc_ADD_UNS_OP_2_n_1161),
+	.X(addinc_ADD_UNS_OP_2_n_1504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6383 (
+	.A(addinc_ADD_UNS_OP_2_n_500),
+	.B(addinc_ADD_UNS_OP_2_n_1428),
+	.X(n_26641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6387 (
+	.A(addinc_ADD_UNS_OP_2_n_1476),
+	.B(addinc_ADD_UNS_OP_2_n_1077),
+	.Y(addinc_ADD_UNS_OP_2_n_1495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6388 (
+	.A(addinc_ADD_UNS_OP_2_n_1467),
+	.B(addinc_ADD_UNS_OP_2_n_1160),
+	.Y(addinc_ADD_UNS_OP_2_n_1494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6392 (
+	.A(addinc_ADD_UNS_OP_2_n_509),
+	.B(addinc_ADD_UNS_OP_2_n_1404),
+	.Y(n_26634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6393 (
+	.A(addinc_ADD_UNS_OP_2_n_1771),
+	.B(addinc_ADD_UNS_OP_2_n_1432),
+	.X(n_26639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6394 (
+	.A(addinc_ADD_UNS_OP_2_n_502),
+	.B(addinc_ADD_UNS_OP_2_n_1431),
+	.X(n_26638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6395 (
+	.A(addinc_ADD_UNS_OP_2_n_613),
+	.B(addinc_ADD_UNS_OP_2_n_1405),
+	.Y(n_26637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6396 (
+	.A(addinc_ADD_UNS_OP_2_n_508),
+	.B(addinc_ADD_UNS_OP_2_n_1430),
+	.X(n_26636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6397 (
+	.A(addinc_ADD_UNS_OP_2_n_490),
+	.B(addinc_ADD_UNS_OP_2_n_1429),
+	.X(n_26635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6398 (
+	.A(n_35636),
+	.B(addinc_ADD_UNS_OP_2_n_1433),
+	.X(n_26640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6399 (
+	.A(addinc_ADD_UNS_OP_2_n_615),
+	.B(addinc_ADD_UNS_OP_2_n_1425),
+	.Y(n_26633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6400 (
+	.A(addinc_ADD_UNS_OP_2_n_597),
+	.B(addinc_ADD_UNS_OP_2_n_1434),
+	.Y(n_26632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6401 (
+	.A(addinc_ADD_UNS_OP_2_n_1751),
+	.B(addinc_ADD_UNS_OP_2_n_1427),
+	.X(n_26631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6402 (
+	.A(n_52762),
+	.B(addinc_ADD_UNS_OP_2_n_1424),
+	.Y(n_26630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g6403 (
+	.A(n_52626),
+	.B(addinc_ADD_UNS_OP_2_n_1426),
+	.X(n_26629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6405 (
+	.A(addinc_ADD_UNS_OP_2_n_1474),
+	.Y(addinc_ADD_UNS_OP_2_n_1475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6412 (
+	.A(n_71058),
+	.B(n_50336),
+	.C(n_49216),
+	.Y(addinc_ADD_UNS_OP_2_n_1467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g6418 (
+	.A1(n_49833),
+	.A2(n_68255),
+	.B1(addinc_ADD_UNS_OP_2_n_1438),
+	.Y(n_26623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6420 (
+	.A(n_71058),
+	.B(n_50336),
+	.Y(addinc_ADD_UNS_OP_2_n_1476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6421 (
+	.A(n_71058),
+	.B(n_50447),
+	.Y(addinc_ADD_UNS_OP_2_n_1474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6423 (
+	.A(addinc_ADD_UNS_OP_2_n_592),
+	.B(n_48887),
+	.Y(n_26614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6427 (
+	.A(addinc_ADD_UNS_OP_2_n_596),
+	.B(addinc_ADD_UNS_OP_2_n_1804),
+	.Y(n_26593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6429 (
+	.A(n_74819),
+	.B(n_67262),
+	.Y(n_26591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6430 (
+	.A(n_52670),
+	.B(n_67897),
+	.Y(n_26590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6431 (
+	.A(n_74842),
+	.B(n_67263),
+	.Y(n_26589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6432 (
+	.A(addinc_ADD_UNS_OP_2_n_588),
+	.B(n_67909),
+	.Y(n_26588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6433 (
+	.A(addinc_ADD_UNS_OP_2_n_617),
+	.B(n_67264),
+	.Y(n_26587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 addinc_ADD_UNS_OP_2_g6434 (
+	.A(n_33914),
+	.B(n_46377),
+	.Y(n_26622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6439 (
+	.A(n_48117),
+	.B(n_49833),
+	.Y(addinc_ADD_UNS_OP_2_n_1442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6443 (
+	.A(addinc_ADD_UNS_OP_2_n_1362),
+	.B(n_49833),
+	.Y(addinc_ADD_UNS_OP_2_n_1438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6447 (
+	.A(addinc_ADD_UNS_OP_2_n_1398),
+	.B(addinc_ADD_UNS_OP_2_n_1212),
+	.Y(addinc_ADD_UNS_OP_2_n_1434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 addinc_ADD_UNS_OP_2_g6448 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN45_n_51788),
+	.A3(addinc_ADD_UNS_OP_2_n_1018),
+	.B1(addinc_ADD_UNS_OP_2_n_1217),
+	.Y(addinc_ADD_UNS_OP_2_n_1433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6449 (
+	.A(addinc_ADD_UNS_OP_2_n_1400),
+	.B(addinc_ADD_UNS_OP_2_n_1210),
+	.Y(addinc_ADD_UNS_OP_2_n_1432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 addinc_ADD_UNS_OP_2_g6450 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN45_n_51788),
+	.A3(addinc_ADD_UNS_OP_2_n_1013),
+	.B1(addinc_ADD_UNS_OP_2_n_1213),
+	.Y(addinc_ADD_UNS_OP_2_n_1431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6451 (
+	.A(addinc_ADD_UNS_OP_2_n_1399),
+	.B(addinc_ADD_UNS_OP_2_n_1203),
+	.Y(addinc_ADD_UNS_OP_2_n_1430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 addinc_ADD_UNS_OP_2_g6452 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN45_n_51788),
+	.A3(addinc_ADD_UNS_OP_2_n_1031),
+	.B1(addinc_ADD_UNS_OP_2_n_1205),
+	.Y(addinc_ADD_UNS_OP_2_n_1429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6453 (
+	.A(addinc_ADD_UNS_OP_2_n_1393),
+	.B(addinc_ADD_UNS_OP_2_n_1204),
+	.Y(addinc_ADD_UNS_OP_2_n_1428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 addinc_ADD_UNS_OP_2_g6454 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN45_n_51788),
+	.A3(addinc_ADD_UNS_OP_2_n_871),
+	.B1(n_52763),
+	.Y(addinc_ADD_UNS_OP_2_n_1427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 addinc_ADD_UNS_OP_2_g6455 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN45_n_51788),
+	.A3(n_52621),
+	.B1(n_52622),
+	.Y(addinc_ADD_UNS_OP_2_n_1426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6456 (
+	.A(addinc_ADD_UNS_OP_2_n_1395),
+	.B(addinc_ADD_UNS_OP_2_n_1209),
+	.Y(addinc_ADD_UNS_OP_2_n_1425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g6457 (
+	.A1(n_52617),
+	.A2(addinc_ADD_UNS_OP_2_n_1956),
+	.B1(addinc_ADD_UNS_OP_2_n_1980),
+	.C1(addinc_ADD_UNS_OP_2_n_1397),
+	.Y(addinc_ADD_UNS_OP_2_n_1424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6459 (
+	.A(addinc_ADD_UNS_OP_2_n_607),
+	.B(n_38365),
+	.Y(n_26603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6462 (
+	.A(n_69774),
+	.B(n_38370),
+	.Y(n_26609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6463 (
+	.A(n_69771),
+	.B(n_38373),
+	.Y(n_26608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6464 (
+	.A(n_70048),
+	.B(n_38367),
+	.Y(n_26607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6467 (
+	.A(n_50937),
+	.B(n_38363),
+	.Y(n_26604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6469 (
+	.A(n_51587),
+	.B(n_67575),
+	.Y(n_26602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6470 (
+	.A(addinc_ADD_UNS_OP_2_n_1757),
+	.B(n_38364),
+	.Y(n_26601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6471 (
+	.A(n_52135),
+	.B(n_38372),
+	.Y(n_26600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6472 (
+	.A(n_69923),
+	.B(n_38369),
+	.Y(n_26599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6473 (
+	.A(n_51195),
+	.B(n_38375),
+	.Y(n_26598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6476 (
+	.A(n_69329),
+	.B(n_38366),
+	.Y(n_26595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g6477 (
+	.A1(addinc_ADD_UNS_OP_2_n_1025),
+	.A2(addinc_ADD_UNS_OP_2_n_1956),
+	.B1(addinc_ADD_UNS_OP_2_n_1124),
+	.C1(addinc_ADD_UNS_OP_2_n_1396),
+	.Y(addinc_ADD_UNS_OP_2_n_1405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g6478 (
+	.A1(addinc_ADD_UNS_OP_2_n_848),
+	.A2(addinc_ADD_UNS_OP_2_n_1956),
+	.B1(addinc_ADD_UNS_OP_2_n_1897),
+	.C1(addinc_ADD_UNS_OP_2_n_1394),
+	.Y(addinc_ADD_UNS_OP_2_n_1404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6480 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_1011),
+	.Y(addinc_ADD_UNS_OP_2_n_1400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6481 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_1032),
+	.Y(addinc_ADD_UNS_OP_2_n_1399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6482 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_855),
+	.Y(addinc_ADD_UNS_OP_2_n_1398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g6483 (
+	.A_N(n_52617),
+	.B(addinc_ADD_UNS_OP_2_n_1336),
+	.C(FE_DBTN45_n_51788),
+	.Y(addinc_ADD_UNS_OP_2_n_1397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 addinc_ADD_UNS_OP_2_g6484 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1025),
+	.B(addinc_ADD_UNS_OP_2_n_1336),
+	.C(FE_DBTN45_n_51788),
+	.Y(addinc_ADD_UNS_OP_2_n_1396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6485 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_859),
+	.Y(addinc_ADD_UNS_OP_2_n_1395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6486 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_847),
+	.Y(addinc_ADD_UNS_OP_2_n_1394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 addinc_ADD_UNS_OP_2_g6487 (
+	.A(addinc_ADD_UNS_OP_2_n_1336),
+	.B(FE_DBTN45_n_51788),
+	.C(addinc_ADD_UNS_OP_2_n_1023),
+	.Y(addinc_ADD_UNS_OP_2_n_1393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6497 (
+	.A1(n_58647),
+	.A2(addinc_ADD_UNS_OP_2_n_1309),
+	.B1(addinc_ADD_UNS_OP_2_n_1342),
+	.Y(addinc_ADD_UNS_OP_2_n_1384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6516 (
+	.A(n_53260),
+	.B(addinc_ADD_UNS_OP_2_n_1293),
+	.Y(addinc_ADD_UNS_OP_2_n_1366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6520 (
+	.A(n_52175),
+	.B(n_47917),
+	.Y(addinc_ADD_UNS_OP_2_n_1362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6527 (
+	.A(n_70245),
+	.B(n_58647),
+	.Y(addinc_ADD_UNS_OP_2_n_1353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6538 (
+	.A(n_70232),
+	.B(n_58647),
+	.Y(addinc_ADD_UNS_OP_2_n_1342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6549 (
+	.A(n_51930),
+	.B(addinc_ADD_UNS_OP_2_n_844),
+	.Y(addinc_ADD_UNS_OP_2_n_1332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 addinc_ADD_UNS_OP_2_g6564 (
+	.A(n_48083),
+	.B(FE_DBTN58_n_48855),
+	.Y(addinc_ADD_UNS_OP_2_n_1336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6572 (
+	.A1(n_68451),
+	.A2(n_50852),
+	.B1(n_67907),
+	.Y(addinc_ADD_UNS_OP_2_n_1309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6574 (
+	.A1(n_68451),
+	.A2(n_66607),
+	.B1(addinc_ADD_UNS_OP_2_n_1828),
+	.Y(addinc_ADD_UNS_OP_2_n_1307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6588 (
+	.A(n_70244),
+	.B(addinc_ADD_UNS_OP_2_n_1855),
+	.Y(addinc_ADD_UNS_OP_2_n_1293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g6595 (
+	.A(addinc_ADD_UNS_OP_2_n_494),
+	.B(n_47605),
+	.Y(n_26581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6620 (
+	.A(n_70235),
+	.B(addinc_ADD_UNS_OP_2_n_1956),
+	.Y(addinc_ADD_UNS_OP_2_n_1262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6623 (
+	.A1(n_48085),
+	.A2(addinc_ADD_UNS_OP_2_n_1024),
+	.B1(addinc_ADD_UNS_OP_2_n_1826),
+	.Y(addinc_ADD_UNS_OP_2_n_1259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6661 (
+	.A(addinc_ADD_UNS_OP_2_n_1180),
+	.B(addinc_ADD_UNS_OP_2_n_1121),
+	.Y(addinc_ADD_UNS_OP_2_n_1217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6664 (
+	.A(n_68451),
+	.B(addinc_ADD_UNS_OP_2_n_1685),
+	.Y(addinc_ADD_UNS_OP_2_n_1214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g6665 (
+	.A1(addinc_ADD_UNS_OP_2_n_786),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(addinc_ADD_UNS_OP_2_n_944),
+	.C1(addinc_ADD_UNS_OP_2_n_1181),
+	.Y(addinc_ADD_UNS_OP_2_n_1213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6666 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_855),
+	.B1(addinc_ADD_UNS_OP_2_n_1092),
+	.Y(addinc_ADD_UNS_OP_2_n_1212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6668 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_1011),
+	.B1(addinc_ADD_UNS_OP_2_n_1123),
+	.Y(addinc_ADD_UNS_OP_2_n_1210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6669 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_859),
+	.B1(addinc_ADD_UNS_OP_2_n_1059),
+	.Y(addinc_ADD_UNS_OP_2_n_1209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 addinc_ADD_UNS_OP_2_g6673 (
+	.A1(addinc_ADD_UNS_OP_2_n_174),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(n_53312),
+	.C1(addinc_ADD_UNS_OP_2_n_1193),
+	.Y(addinc_ADD_UNS_OP_2_n_1205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6674 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_1023),
+	.B1(addinc_ADD_UNS_OP_2_n_1162),
+	.Y(addinc_ADD_UNS_OP_2_n_1204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6675 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_1032),
+	.B1(addinc_ADD_UNS_OP_2_n_1157),
+	.Y(addinc_ADD_UNS_OP_2_n_1203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6676 (
+	.A(addinc_ADD_UNS_OP_2_n_1197),
+	.B(n_40177),
+	.Y(addinc_ADD_UNS_OP_2_n_1237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6682 (
+	.A(n_55917),
+	.B(n_56802),
+	.Y(addinc_ADD_UNS_OP_2_n_1197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6685 (
+	.A(n_55917),
+	.B(addinc_ADD_UNS_OP_2_n_871),
+	.Y(addinc_ADD_UNS_OP_2_n_1194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6686 (
+	.A(n_55917),
+	.B(addinc_ADD_UNS_OP_2_n_1031),
+	.Y(addinc_ADD_UNS_OP_2_n_1193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6697 (
+	.A(n_55917),
+	.B(n_52621),
+	.Y(addinc_ADD_UNS_OP_2_n_1182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6698 (
+	.A(n_55917),
+	.B(addinc_ADD_UNS_OP_2_n_1013),
+	.Y(addinc_ADD_UNS_OP_2_n_1181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6699 (
+	.A(n_55917),
+	.B(addinc_ADD_UNS_OP_2_n_1018),
+	.Y(addinc_ADD_UNS_OP_2_n_1180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6716 (
+	.A1(addinc_ADD_UNS_OP_2_n_862),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(n_35638),
+	.Y(addinc_ADD_UNS_OP_2_n_1162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 addinc_ADD_UNS_OP_2_g6717 (
+	.A1(n_49214),
+	.A2(addinc_ADD_UNS_OP_2_n_1077),
+	.B1_N(n_67768),
+	.X(addinc_ADD_UNS_OP_2_n_1161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g6718 (
+	.A1(n_53914),
+	.A2(n_49216),
+	.B1_N(addinc_ADD_UNS_OP_2_n_185),
+	.Y(addinc_ADD_UNS_OP_2_n_1160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g6721 (
+	.A1(n_50372),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(addinc_ADD_UNS_OP_2_n_726),
+	.Y(addinc_ADD_UNS_OP_2_n_1157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6723 (
+	.A1(n_52246),
+	.A2(addinc_ADD_UNS_OP_2_n_861),
+	.B1(n_69773),
+	.Y(addinc_ADD_UNS_OP_2_n_1155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6752 (
+	.A1(n_52246),
+	.A2(addinc_ADD_UNS_OP_2_n_910),
+	.B1(n_41531),
+	.Y(addinc_ADD_UNS_OP_2_n_1126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6753 (
+	.A1(n_52246),
+	.A2(addinc_ADD_UNS_OP_2_n_917),
+	.B1(addinc_ADD_UNS_OP_2_n_1087),
+	.Y(addinc_ADD_UNS_OP_2_n_1125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 addinc_ADD_UNS_OP_2_g6754 (
+	.A1(addinc_ADD_UNS_OP_2_n_340),
+	.A2(addinc_ADD_UNS_OP_2_n_726),
+	.B1(addinc_ADD_UNS_OP_2_n_818),
+	.B2(addinc_ADD_UNS_OP_2_n_1897),
+	.C1(addinc_ADD_UNS_OP_2_n_190),
+	.X(addinc_ADD_UNS_OP_2_n_1124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 addinc_ADD_UNS_OP_2_g6755 (
+	.A1(addinc_ADD_UNS_OP_2_n_913),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(addinc_ADD_UNS_OP_2_n_164),
+	.B2(addinc_ADD_UNS_OP_2_n_944),
+	.C1(addinc_ADD_UNS_OP_2_n_114),
+	.Y(addinc_ADD_UNS_OP_2_n_1123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6756 (
+	.A1(n_52246),
+	.A2(addinc_ADD_UNS_OP_2_n_827),
+	.B1(n_50936),
+	.Y(addinc_ADD_UNS_OP_2_n_1122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g6757 (
+	.A1(addinc_ADD_UNS_OP_2_n_908),
+	.A2(addinc_ADD_UNS_OP_2_n_1897),
+	.B1(addinc_ADD_UNS_OP_2_n_1091),
+	.X(addinc_ADD_UNS_OP_2_n_1121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 addinc_ADD_UNS_OP_2_g6758 (
+	.A1(addinc_ADD_UNS_OP_2_n_342),
+	.A2(addinc_ADD_UNS_OP_2_n_713),
+	.B1(addinc_ADD_UNS_OP_2_n_821),
+	.B2(addinc_ADD_UNS_OP_2_n_1077),
+	.C1(n_53937),
+	.X(addinc_ADD_UNS_OP_2_n_1120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g6759 (
+	.A(addinc_ADD_UNS_OP_2_n_1724),
+	.B(addinc_ADD_UNS_OP_2_n_1770),
+	.Y(addinc_ADD_UNS_OP_2_n_1152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6781 (
+	.A(addinc_ADD_UNS_OP_2_n_1098),
+	.Y(addinc_ADD_UNS_OP_2_n_1099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6784 (
+	.A1(n_74733),
+	.A2(n_50200),
+	.B1(n_44303),
+	.Y(addinc_ADD_UNS_OP_2_n_1094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 addinc_ADD_UNS_OP_2_g6786 (
+	.A1(n_50015),
+	.A2(addinc_ADD_UNS_OP_2_n_1980),
+	.B1_N(n_43747),
+	.Y(addinc_ADD_UNS_OP_2_n_1092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6787 (
+	.A1(addinc_ADD_UNS_OP_2_n_945),
+	.A2(n_66465),
+	.B1(addinc_ADD_UNS_OP_2_n_671),
+	.Y(addinc_ADD_UNS_OP_2_n_1091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g6791 (
+	.A1(addinc_ADD_UNS_OP_2_n_167),
+	.A2(n_74804),
+	.B1(n_74897),
+	.Y(addinc_ADD_UNS_OP_2_n_1087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6798 (
+	.A(n_56871),
+	.B(FE_DBTN104_n_52508),
+	.Y(addinc_ADD_UNS_OP_2_n_1098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g6802 (
+	.A(n_53914),
+	.Y(addinc_ADD_UNS_OP_2_n_1077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6809 (
+	.A(n_55913),
+	.Y(addinc_ADD_UNS_OP_2_n_1069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g6811 (
+	.A1(n_45961),
+	.A2(addinc_ADD_UNS_OP_2_n_809),
+	.B1(n_69918),
+	.Y(addinc_ADD_UNS_OP_2_n_1066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 addinc_ADD_UNS_OP_2_g6818 (
+	.A1(addinc_ADD_UNS_OP_2_n_829),
+	.A2(addinc_ADD_UNS_OP_2_n_1980),
+	.B1(addinc_ADD_UNS_OP_2_n_321),
+	.B2(addinc_ADD_UNS_OP_2_n_731),
+	.C1(n_43766),
+	.Y(addinc_ADD_UNS_OP_2_n_1059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6844 (
+	.A(FE_DBTN103_n_74843),
+	.B(n_46370),
+	.Y(addinc_ADD_UNS_OP_2_n_1037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6879 (
+	.A(n_51581),
+	.B(n_37422),
+	.Y(addinc_ADD_UNS_OP_2_n_983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6882 (
+	.A(addinc_ADD_UNS_OP_2_n_916),
+	.B(n_37422),
+	.Y(addinc_ADD_UNS_OP_2_n_980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6884 (
+	.A(addinc_ADD_UNS_OP_2_n_860),
+	.B(n_37422),
+	.Y(addinc_ADD_UNS_OP_2_n_978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6885 (
+	.A(addinc_ADD_UNS_OP_2_n_909),
+	.B(n_37422),
+	.Y(addinc_ADD_UNS_OP_2_n_977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g6887 (
+	.A(n_72084),
+	.B(n_74907),
+	.Y(addinc_ADD_UNS_OP_2_n_975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6888 (
+	.A(n_50372),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6889 (
+	.A(addinc_ADD_UNS_OP_2_n_174),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6891 (
+	.A(FE_DBTN105_n_49226),
+	.B(n_48109),
+	.Y(addinc_ADD_UNS_OP_2_n_1028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g6893 (
+	.A_N(addinc_ADD_UNS_OP_2_n_818),
+	.B(addinc_ADD_UNS_OP_2_n_847),
+	.Y(addinc_ADD_UNS_OP_2_n_1025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6894 (
+	.A(n_53427),
+	.B(n_49226),
+	.Y(addinc_ADD_UNS_OP_2_n_1024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6895 (
+	.A(addinc_ADD_UNS_OP_2_n_862),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g6896 (
+	.A(addinc_ADD_UNS_OP_2_n_858),
+	.B(FE_DBTN105_n_49226),
+	.Y(addinc_ADD_UNS_OP_2_n_1021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6898 (
+	.A(addinc_ADD_UNS_OP_2_n_908),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6901 (
+	.A(addinc_ADD_UNS_OP_2_n_786),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6903 (
+	.A(addinc_ADD_UNS_OP_2_n_913),
+	.B(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_1011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g6904 (
+	.A(addinc_ADD_UNS_OP_2_n_833),
+	.B(n_49226),
+	.Y(addinc_ADD_UNS_OP_2_n_1010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g6921 (
+	.A(n_74733),
+	.Y(addinc_ADD_UNS_OP_2_n_955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6929 (
+	.A(addinc_ADD_UNS_OP_2_n_945),
+	.Y(addinc_ADD_UNS_OP_2_n_944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 addinc_ADD_UNS_OP_2_g6939 (
+	.A1(n_59273),
+	.A2(n_44304),
+	.B1(n_37359),
+	.Y(addinc_ADD_UNS_OP_2_n_933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g6947 (
+	.A1(n_43956),
+	.A2(addinc_ADD_UNS_OP_2_n_720),
+	.B1(addinc_ADD_UNS_OP_2_n_1687),
+	.Y(addinc_ADD_UNS_OP_2_n_925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g6961 (
+	.A(addinc_ADD_UNS_OP_2_n_1743),
+	.B(addinc_ADD_UNS_OP_2_n_1691),
+	.Y(addinc_ADD_UNS_OP_2_n_945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6965 (
+	.A(addinc_ADD_UNS_OP_2_n_916),
+	.Y(addinc_ADD_UNS_OP_2_n_917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g6968 (
+	.A(addinc_ADD_UNS_OP_2_n_909),
+	.Y(addinc_ADD_UNS_OP_2_n_910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7000 (
+	.A(n_41137),
+	.B(n_55293),
+	.Y(addinc_ADD_UNS_OP_2_n_916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 addinc_ADD_UNS_OP_2_g7002 (
+	.A(addinc_ADD_UNS_OP_2_n_164),
+	.B(addinc_ADD_UNS_OP_2_n_786),
+	.X(addinc_ADD_UNS_OP_2_n_913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7004 (
+	.A(n_41137),
+	.B(n_41571),
+	.Y(addinc_ADD_UNS_OP_2_n_909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7005 (
+	.A_N(addinc_ADD_UNS_OP_2_n_786),
+	.B(n_66465),
+	.Y(addinc_ADD_UNS_OP_2_n_908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7014 (
+	.A(addinc_ADD_UNS_OP_2_n_860),
+	.Y(addinc_ADD_UNS_OP_2_n_861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7021 (
+	.A(addinc_ADD_UNS_OP_2_n_848),
+	.Y(addinc_ADD_UNS_OP_2_n_847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7024 (
+	.A(n_74843),
+	.B(addinc_ADD_UNS_OP_2_n_805),
+	.Y(addinc_ADD_UNS_OP_2_n_844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7026 (
+	.A(addinc_ADD_UNS_OP_2_n_808),
+	.B(n_50803),
+	.Y(addinc_ADD_UNS_OP_2_n_842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7029 (
+	.A(n_44838),
+	.B(n_66444),
+	.Y(addinc_ADD_UNS_OP_2_n_839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7031 (
+	.A(addinc_ADD_UNS_OP_2_n_735),
+	.B(n_66444),
+	.Y(addinc_ADD_UNS_OP_2_n_837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7032 (
+	.A(addinc_ADD_UNS_OP_2_n_335),
+	.B(n_52617),
+	.Y(addinc_ADD_UNS_OP_2_n_871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7033 (
+	.A(n_56981),
+	.B(n_49229),
+	.Y(addinc_ADD_UNS_OP_2_n_870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7034 (
+	.A(addinc_ADD_UNS_OP_2_n_781),
+	.B(n_50200),
+	.Y(addinc_ADD_UNS_OP_2_n_868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7038 (
+	.A(addinc_ADD_UNS_OP_2_n_785),
+	.B(n_35637),
+	.Y(addinc_ADD_UNS_OP_2_n_862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7039 (
+	.A(n_41137),
+	.B(n_69772),
+	.Y(addinc_ADD_UNS_OP_2_n_860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7040 (
+	.A(addinc_ADD_UNS_OP_2_n_829),
+	.B(n_52617),
+	.Y(addinc_ADD_UNS_OP_2_n_859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7041 (
+	.A(n_49229),
+	.B(addinc_ADD_UNS_OP_2_n_811),
+	.Y(addinc_ADD_UNS_OP_2_n_858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7044 (
+	.A(n_50015),
+	.B(n_52617),
+	.Y(addinc_ADD_UNS_OP_2_n_855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7047 (
+	.A(n_69772),
+	.Y(addinc_ADD_UNS_OP_2_n_831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7048 (
+	.A(n_51581),
+	.Y(addinc_ADD_UNS_OP_2_n_827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7049 (
+	.A(n_49228),
+	.Y(addinc_ADD_UNS_OP_2_n_824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7054 (
+	.A(addinc_ADD_UNS_OP_2_n_811),
+	.Y(addinc_ADD_UNS_OP_2_n_812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7055 (
+	.A(addinc_ADD_UNS_OP_2_n_808),
+	.Y(addinc_ADD_UNS_OP_2_n_809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7068 (
+	.A(n_50803),
+	.Y(addinc_ADD_UNS_OP_2_n_790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7072 (
+	.A(addinc_ADD_UNS_OP_2_n_785),
+	.Y(addinc_ADD_UNS_OP_2_n_786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7076 (
+	.A(n_49229),
+	.Y(addinc_ADD_UNS_OP_2_n_781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 addinc_ADD_UNS_OP_2_g7090 (
+	.A(n_52221),
+	.Y(addinc_ADD_UNS_OP_2_n_765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7093 (
+	.A(n_52617),
+	.Y(addinc_ADD_UNS_OP_2_n_762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7104 (
+	.A(n_48109),
+	.B(n_58094),
+	.Y(addinc_ADD_UNS_OP_2_n_833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g7105 (
+	.A(addinc_ADD_UNS_OP_2_n_531),
+	.B(addinc_ADD_UNS_OP_2_n_1698),
+	.X(addinc_ADD_UNS_OP_2_n_832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 addinc_ADD_UNS_OP_2_g7107 (
+	.A(addinc_ADD_UNS_OP_2_n_321),
+	.B(n_50015),
+	.X(addinc_ADD_UNS_OP_2_n_829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 addinc_ADD_UNS_OP_2_g7113 (
+	.A(addinc_ADD_UNS_OP_2_n_342),
+	.B(n_49214),
+	.X(addinc_ADD_UNS_OP_2_n_821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 addinc_ADD_UNS_OP_2_g7115 (
+	.A(addinc_ADD_UNS_OP_2_n_340),
+	.B(n_50372),
+	.X(addinc_ADD_UNS_OP_2_n_818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7120 (
+	.A(n_50200),
+	.B(n_40824),
+	.Y(addinc_ADD_UNS_OP_2_n_811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7122 (
+	.A(n_73646),
+	.B(n_66920),
+	.Y(addinc_ADD_UNS_OP_2_n_808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7124 (
+	.A(n_48580),
+	.B(n_51160),
+	.Y(addinc_ADD_UNS_OP_2_n_805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g7130 (
+	.A(n_50372),
+	.B(addinc_ADD_UNS_OP_2_n_562),
+	.Y(addinc_ADD_UNS_OP_2_n_785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7149 (
+	.A(n_43747),
+	.Y(addinc_ADD_UNS_OP_2_n_731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7152 (
+	.A(addinc_ADD_UNS_OP_2_n_725),
+	.Y(addinc_ADD_UNS_OP_2_n_726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7156 (
+	.A(addinc_ADD_UNS_OP_2_n_671),
+	.Y(addinc_ADD_UNS_OP_2_n_720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7160 (
+	.A(n_67768),
+	.Y(addinc_ADD_UNS_OP_2_n_713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g7187 (
+	.A1(n_44889),
+	.A2(n_58063),
+	.B1(n_51303),
+	.Y(addinc_ADD_UNS_OP_2_n_678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g7194 (
+	.A1(addinc_ADD_UNS_OP_2_n_214),
+	.A2(addinc_ADD_UNS_OP_2_n_195),
+	.B1(addinc_ADD_UNS_OP_2_n_272),
+	.Y(addinc_ADD_UNS_OP_2_n_735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g7198 (
+	.A1(n_53312),
+	.A2(addinc_ADD_UNS_OP_2_n_387),
+	.B1(addinc_ADD_UNS_OP_2_n_479),
+	.Y(addinc_ADD_UNS_OP_2_n_725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g7200 (
+	.A(n_66606),
+	.B(addinc_ADD_UNS_OP_2_n_476),
+	.Y(addinc_ADD_UNS_OP_2_n_671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 addinc_ADD_UNS_OP_2_g7214 (
+	.A(n_74730),
+	.Y(addinc_ADD_UNS_OP_2_n_667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7250 (
+	.A(n_37940),
+	.B(n_58063),
+	.Y(addinc_ADD_UNS_OP_2_n_620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7254 (
+	.A(n_66096),
+	.B(n_35660),
+	.Y(addinc_ADD_UNS_OP_2_n_617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7256 (
+	.A_N(n_66307),
+	.B(n_43761),
+	.Y(addinc_ADD_UNS_OP_2_n_615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7258 (
+	.A_N(addinc_ADD_UNS_OP_2_n_220),
+	.B(addinc_ADD_UNS_OP_2_n_467),
+	.Y(addinc_ADD_UNS_OP_2_n_613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7259 (
+	.A_N(n_73736),
+	.B(addinc_ADD_UNS_OP_2_n_462),
+	.Y(addinc_ADD_UNS_OP_2_n_612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7261 (
+	.A(addinc_ADD_UNS_OP_2_n_434),
+	.B(addinc_ADD_UNS_OP_2_n_307),
+	.Y(addinc_ADD_UNS_OP_2_n_610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7266 (
+	.A(n_69142),
+	.B(n_32799),
+	.Y(addinc_ADD_UNS_OP_2_n_607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7267 (
+	.A(addinc_ADD_UNS_OP_2_n_420),
+	.B(n_55214),
+	.Y(addinc_ADD_UNS_OP_2_n_606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7274 (
+	.A(n_68955),
+	.B(n_68954),
+	.Y(addinc_ADD_UNS_OP_2_n_601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7275 (
+	.A(n_49216),
+	.B(addinc_ADD_UNS_OP_2_n_185),
+	.Y(addinc_ADD_UNS_OP_2_n_600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7278 (
+	.A_N(addinc_ADD_UNS_OP_2_n_321),
+	.B(n_43766),
+	.Y(addinc_ADD_UNS_OP_2_n_597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7280 (
+	.A(n_44851),
+	.B(n_74833),
+	.Y(addinc_ADD_UNS_OP_2_n_596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7283 (
+	.A_N(addinc_ADD_UNS_OP_2_n_449),
+	.B(addinc_ADD_UNS_OP_2_n_478),
+	.Y(addinc_ADD_UNS_OP_2_n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7285 (
+	.A(n_70263),
+	.B(n_71029),
+	.Y(addinc_ADD_UNS_OP_2_n_592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7287 (
+	.A(addinc_ADD_UNS_OP_2_n_1698),
+	.B(n_54197),
+	.Y(addinc_ADD_UNS_OP_2_n_590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7289 (
+	.A(addinc_ADD_UNS_OP_2_n_357),
+	.B(n_49660),
+	.Y(addinc_ADD_UNS_OP_2_n_588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7293 (
+	.A(addinc_ADD_UNS_OP_2_n_342),
+	.B_N(n_53937),
+	.Y(addinc_ADD_UNS_OP_2_n_585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g7297 (
+	.A(n_50800),
+	.B(addinc_ADD_UNS_OP_2_n_251),
+	.Y(addinc_ADD_UNS_OP_2_n_652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7304 (
+	.A(n_50344),
+	.Y(addinc_ADD_UNS_OP_2_n_575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7306 (
+	.A(n_59274),
+	.Y(addinc_ADD_UNS_OP_2_n_572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7314 (
+	.A(n_46156),
+	.Y(addinc_ADD_UNS_OP_2_n_553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g7326 (
+	.A(n_50564),
+	.Y(addinc_ADD_UNS_OP_2_n_531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7327 (
+	.A(n_56802),
+	.Y(addinc_ADD_UNS_OP_2_n_528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7342 (
+	.A_N(addinc_ADD_UNS_OP_2_n_174),
+	.B(n_53312),
+	.Y(addinc_ADD_UNS_OP_2_n_509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7343 (
+	.A(addinc_ADD_UNS_OP_2_n_340),
+	.B_N(addinc_ADD_UNS_OP_2_n_190),
+	.Y(addinc_ADD_UNS_OP_2_n_508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7344 (
+	.A(n_45978),
+	.B(n_66095),
+	.Y(addinc_ADD_UNS_OP_2_n_507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7345 (
+	.A(n_67654),
+	.B(n_47375),
+	.Y(addinc_ADD_UNS_OP_2_n_578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7348 (
+	.A(addinc_ADD_UNS_OP_2_n_1697),
+	.B(addinc_ADD_UNS_OP_2_n_113),
+	.Y(addinc_ADD_UNS_OP_2_n_505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7353 (
+	.A_N(addinc_ADD_UNS_OP_2_n_164),
+	.B(addinc_ADD_UNS_OP_2_n_114),
+	.Y(addinc_ADD_UNS_OP_2_n_502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7355 (
+	.A(addinc_ADD_UNS_OP_2_n_375),
+	.B_N(addinc_ADD_UNS_OP_2_n_291),
+	.Y(addinc_ADD_UNS_OP_2_n_500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7360 (
+	.A(n_45991),
+	.B_N(n_74916),
+	.Y(addinc_ADD_UNS_OP_2_n_497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7364 (
+	.A(addinc_ADD_UNS_OP_2_n_382),
+	.B(n_70577),
+	.Y(addinc_ADD_UNS_OP_2_n_567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7365 (
+	.A(addinc_ADD_UNS_OP_2_n_214),
+	.B(addinc_ADD_UNS_OP_2_n_121),
+	.Y(addinc_ADD_UNS_OP_2_n_494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g7371 (
+	.A(addinc_ADD_UNS_OP_2_n_219),
+	.B(addinc_ADD_UNS_OP_2_n_339),
+	.Y(addinc_ADD_UNS_OP_2_n_562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7372 (
+	.A_N(addinc_ADD_UNS_OP_2_n_387),
+	.B(addinc_ADD_UNS_OP_2_n_479),
+	.Y(addinc_ADD_UNS_OP_2_n_490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g7379 (
+	.A(n_52411),
+	.B(n_66449),
+	.Y(addinc_ADD_UNS_OP_2_n_554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7390 (
+	.A(n_57487),
+	.B(n_56929),
+	.Y(addinc_ADD_UNS_OP_2_n_532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7411 (
+	.A(addinc_ADD_UNS_OP_2_n_449),
+	.Y(addinc_ADD_UNS_OP_2_n_450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7413 (
+	.A(n_57493),
+	.Y(addinc_ADD_UNS_OP_2_n_447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7431 (
+	.A(addinc_ADD_UNS_OP_2_n_303),
+	.Y(addinc_ADD_UNS_OP_2_n_422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7433 (
+	.A(n_66449),
+	.Y(addinc_ADD_UNS_OP_2_n_420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7435 (
+	.A(n_44851),
+	.Y(addinc_ADD_UNS_OP_2_n_417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7456 (
+	.A(n_50370),
+	.Y(addinc_ADD_UNS_OP_2_n_387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7459 (
+	.A(n_50800),
+	.Y(addinc_ADD_UNS_OP_2_n_382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7462 (
+	.A(n_49660),
+	.Y(addinc_ADD_UNS_OP_2_n_378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7463 (
+	.A(n_74917),
+	.Y(addinc_ADD_UNS_OP_2_n_375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g7478 (
+	.A(n_71361),
+	.Y(addinc_ADD_UNS_OP_2_n_352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7481 (
+	.A(n_58670),
+	.Y(addinc_ADD_UNS_OP_2_n_348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7485 (
+	.A(addinc_ADD_UNS_OP_2_n_1779),
+	.Y(addinc_ADD_UNS_OP_2_n_342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7486 (
+	.A(addinc_ADD_UNS_OP_2_n_339),
+	.Y(addinc_ADD_UNS_OP_2_n_340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7489 (
+	.A(n_69042),
+	.Y(addinc_ADD_UNS_OP_2_n_335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7499 (
+	.A(n_43748),
+	.Y(addinc_ADD_UNS_OP_2_n_321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7509 (
+	.A(n_46204),
+	.B(n_46200),
+	.Y(addinc_ADD_UNS_OP_2_n_485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7512 (
+	.A(n_55955),
+	.B(n_49182),
+	.Y(addinc_ADD_UNS_OP_2_n_480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7513 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1765),
+	.B(addinc_ADD_UNS_OP_2_n_60),
+	.Y(addinc_ADD_UNS_OP_2_n_479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7514 (
+	.A(n_32047),
+	.B(addinc_ADD_UNS_OP_2_n_64),
+	.Y(addinc_ADD_UNS_OP_2_n_478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7516 (
+	.A(n_51733),
+	.B(addinc_ADD_UNS_OP_2_n_65),
+	.Y(addinc_ADD_UNS_OP_2_n_476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7520 (
+	.A(n_54320),
+	.B(n_54318),
+	.Y(addinc_ADD_UNS_OP_2_n_307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7522 (
+	.A(n_36431),
+	.B(n_70559),
+	.Y(addinc_ADD_UNS_OP_2_n_467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7526 (
+	.A(n_32041),
+	.B(n_30939),
+	.Y(addinc_ADD_UNS_OP_2_n_462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g7532 (
+	.A(addinc_ADD_UNS_OP_2_n_64),
+	.B(n_32047),
+	.Y(addinc_ADD_UNS_OP_2_n_449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7543 (
+	.A(n_55017),
+	.B(n_51380),
+	.Y(addinc_ADD_UNS_OP_2_n_303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g7569 (
+	.A(addinc_ADD_UNS_OP_2_n_10),
+	.B(addinc_ADD_UNS_OP_2_n_38),
+	.Y(addinc_ADD_UNS_OP_2_n_357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7575 (
+	.A(n_70559),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1765),
+	.Y(addinc_ADD_UNS_OP_2_n_339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g7579 (
+	.A(FE_DBTN38_n_68877),
+	.B(n_68876),
+	.Y(addinc_ADD_UNS_OP_2_n_327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7595 (
+	.A(addinc_ADD_UNS_OP_2_n_121),
+	.Y(addinc_ADD_UNS_OP_2_n_272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7607 (
+	.A(n_70576),
+	.Y(addinc_ADD_UNS_OP_2_n_251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7627 (
+	.A(addinc_ADD_UNS_OP_2_n_219),
+	.Y(addinc_ADD_UNS_OP_2_n_220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7631 (
+	.A(addinc_ADD_UNS_OP_2_n_213),
+	.Y(addinc_ADD_UNS_OP_2_n_214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7641 (
+	.A(n_54197),
+	.Y(addinc_ADD_UNS_OP_2_n_198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7643 (
+	.A(addinc_ADD_UNS_OP_2_n_113),
+	.Y(addinc_ADD_UNS_OP_2_n_195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7657 (
+	.A(n_50371),
+	.Y(addinc_ADD_UNS_OP_2_n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7663 (
+	.A(n_55293),
+	.Y(addinc_ADD_UNS_OP_2_n_167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g7665 (
+	.A(addinc_ADD_UNS_OP_2_n_163),
+	.Y(addinc_ADD_UNS_OP_2_n_164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7703 (
+	.A(n_74915),
+	.B(n_57491),
+	.Y(addinc_ADD_UNS_OP_2_n_291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7713 (
+	.A(n_54384),
+	.B(n_32034),
+	.Y(addinc_ADD_UNS_OP_2_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7727 (
+	.A(n_51159),
+	.B(n_49174),
+	.Y(addinc_ADD_UNS_OP_2_n_245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7739 (
+	.A(n_36430),
+	.B(addinc_ADD_UNS_OP_2_n_47),
+	.Y(addinc_ADD_UNS_OP_2_n_219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g7742 (
+	.A(n_32034),
+	.B(n_54384),
+	.Y(addinc_ADD_UNS_OP_2_n_213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7743 (
+	.A(n_36430),
+	.B(addinc_ADD_UNS_OP_2_n_6),
+	.Y(addinc_ADD_UNS_OP_2_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7750 (
+	.A(n_36630),
+	.B(n_36632),
+	.Y(addinc_ADD_UNS_OP_2_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7752 (
+	.A(addinc_ADD_UNS_OP_2_n_47),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.Y(addinc_ADD_UNS_OP_2_n_190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7753 (
+	.A(addinc_ADD_UNS_OP_2_n_51),
+	.B(addinc_ADD_UNS_OP_2_n_6),
+	.Y(addinc_ADD_UNS_OP_2_n_188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7755 (
+	.A(n_32831),
+	.B(n_32042),
+	.Y(addinc_ADD_UNS_OP_2_n_185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7762 (
+	.A(n_36431),
+	.B(addinc_ADD_UNS_OP_2_n_65),
+	.Y(addinc_ADD_UNS_OP_2_n_163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g7771 (
+	.A(addinc_ADD_UNS_OP_2_n_64),
+	.B(addinc_ADD_UNS_OP_2_n_62),
+	.Y(addinc_ADD_UNS_OP_2_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 addinc_ADD_UNS_OP_2_g7810 (
+	.A(n_52756),
+	.Y(addinc_ADD_UNS_OP_2_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7844 (
+	.A(n_67700),
+	.Y(addinc_ADD_UNS_OP_2_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g7872 (
+	.A(n_49659),
+	.Y(addinc_ADD_UNS_OP_2_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g7892 (
+	.A(n_45711),
+	.B(addinc_ADD_UNS_OP_2_n_327),
+	.X(addinc_ADD_UNS_OP_2_n_1685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g7894 (
+	.A1(n_57493),
+	.A2(addinc_ADD_UNS_OP_2_n_375),
+	.B1(addinc_ADD_UNS_OP_2_n_291),
+	.X(addinc_ADD_UNS_OP_2_n_1687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g7896 (
+	.A1(addinc_ADD_UNS_OP_2_n_245),
+	.A2(addinc_ADD_UNS_OP_2_n_417),
+	.B1(n_74833),
+	.X(addinc_ADD_UNS_OP_2_n_1689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 addinc_ADD_UNS_OP_2_g7898 (
+	.A1(addinc_ADD_UNS_OP_2_n_190),
+	.A2(addinc_ADD_UNS_OP_2_n_220),
+	.B1(addinc_ADD_UNS_OP_2_n_467),
+	.X(addinc_ADD_UNS_OP_2_n_1691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 addinc_ADD_UNS_OP_2_g7904 (
+	.A(n_36632),
+	.B(n_36630),
+	.X(addinc_ADD_UNS_OP_2_n_1697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 addinc_ADD_UNS_OP_2_g7905 (
+	.A(n_54181),
+	.B(n_74485),
+	.X(addinc_ADD_UNS_OP_2_n_1698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 addinc_ADD_UNS_OP_2_g7908 (
+	.A(addinc_ADD_UNS_OP_2_n_497),
+	.B(n_71058),
+	.X(n_26642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7926 (
+	.A_N(n_51683),
+	.B(addinc_ADD_UNS_OP_2_n_1474),
+	.Y(addinc_ADD_UNS_OP_2_n_1719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7931 (
+	.A_N(addinc_ADD_UNS_OP_2_n_833),
+	.B(n_55913),
+	.Y(addinc_ADD_UNS_OP_2_n_1724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7933 (
+	.A_N(n_50856),
+	.B(n_72071),
+	.Y(addinc_ADD_UNS_OP_2_n_1726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7934 (
+	.A_N(addinc_ADD_UNS_OP_2_n_805),
+	.B(n_56869),
+	.Y(addinc_ADD_UNS_OP_2_n_1727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7937 (
+	.A(n_37422),
+	.B_N(n_41137),
+	.Y(addinc_ADD_UNS_OP_2_n_1730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7947 (
+	.A(n_71361),
+	.B_N(addinc_ADD_UNS_OP_2_n_652),
+	.Y(addinc_ADD_UNS_OP_2_n_1740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 addinc_ADD_UNS_OP_2_g7950 (
+	.A_N(addinc_ADD_UNS_OP_2_n_562),
+	.B(addinc_ADD_UNS_OP_2_n_725),
+	.Y(addinc_ADD_UNS_OP_2_n_1743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g7958 (
+	.A(n_50013),
+	.B(n_43746),
+	.Y(addinc_ADD_UNS_OP_2_n_1751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g7959 (
+	.A1(n_71032),
+	.A2(n_37940),
+	.B1_N(n_58063),
+	.Y(addinc_ADD_UNS_OP_2_n_1752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g7964 (
+	.A_N(n_55956),
+	.B(addinc_ADD_UNS_OP_2_n_480),
+	.Y(addinc_ADD_UNS_OP_2_n_1757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g7966 (
+	.A(n_46156),
+	.B_N(addinc_ADD_UNS_OP_2_n_357),
+	.Y(addinc_ADD_UNS_OP_2_n_1759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g7977 (
+	.A1(n_75257),
+	.A2(n_58094),
+	.B1_N(n_58076),
+	.Y(addinc_ADD_UNS_OP_2_n_1770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g7978 (
+	.A(addinc_ADD_UNS_OP_2_n_188),
+	.B(addinc_ADD_UNS_OP_2_n_476),
+	.X(addinc_ADD_UNS_OP_2_n_1771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 addinc_ADD_UNS_OP_2_g7986 (
+	.A(n_32040),
+	.B(n_68039),
+	.X(addinc_ADD_UNS_OP_2_n_1779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7997 (
+	.A1(addinc_ADD_UNS_OP_2_n_1475),
+	.A2(addinc_ADD_UNS_OP_2_n_832),
+	.B1_N(n_47383),
+	.X(addinc_ADD_UNS_OP_2_n_1790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g7999 (
+	.A1(addinc_ADD_UNS_OP_2_n_1475),
+	.A2(addinc_ADD_UNS_OP_2_n_531),
+	.B1_N(n_47384),
+	.X(addinc_ADD_UNS_OP_2_n_1792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 addinc_ADD_UNS_OP_2_g8011 (
+	.A(addinc_ADD_UNS_OP_2_n_1332),
+	.B(addinc_ADD_UNS_OP_2_n_1727),
+	.C(n_52511),
+	.D(addinc_ADD_UNS_OP_2_n_245),
+	.Y(addinc_ADD_UNS_OP_2_n_1804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g8027 (
+	.A1(n_37367),
+	.A2(n_68955),
+	.B1_N(n_68954),
+	.X(addinc_ADD_UNS_OP_2_n_1820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g8033 (
+	.A1(n_55913),
+	.A2(FE_DBTN106_n_53427),
+	.B1_N(n_75256),
+	.X(addinc_ADD_UNS_OP_2_n_1826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 addinc_ADD_UNS_OP_2_g8035 (
+	.A1(n_72071),
+	.A2(n_70263),
+	.B1_N(n_71029),
+	.X(addinc_ADD_UNS_OP_2_n_1828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 addinc_ADD_UNS_OP_2_g8044 (
+	.A1(n_55913),
+	.A2(n_48109),
+	.B1(n_75257),
+	.Y(addinc_ADD_UNS_OP_2_n_1837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 addinc_ADD_UNS_OP_2_g8045 (
+	.A1(n_74904),
+	.A2(addinc_ADD_UNS_OP_2_n_352),
+	.B1_N(n_51370),
+	.Y(addinc_ADD_UNS_OP_2_n_1839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 addinc_ADD_UNS_OP_2_g8061 (
+	.A1(addinc_ADD_UNS_OP_2_n_858),
+	.A2(n_55913),
+	.B1(n_74733),
+	.B2(addinc_ADD_UNS_OP_2_n_812),
+	.C1(addinc_ADD_UNS_OP_2_n_933),
+	.Y(addinc_ADD_UNS_OP_2_n_1855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt8087 (
+	.A(n_65140),
+	.Y(addinc_ADD_UNS_OP_2_n_1897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_fopt8 (
+	.A(n_55917),
+	.Y(addinc_ADD_UNS_OP_2_n_1956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3008  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_465 ),
+	.X(n_26195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3009  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_459 ),
+	.Y(n_26194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3010  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_458 ),
+	.Y(n_26193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3011  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_469 ),
+	.X(n_26192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3012  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_468 ),
+	.Y(n_26191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3013  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_466 ),
+	.Y(n_26190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3014  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_464 ),
+	.Y(n_26189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3015  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_457 ),
+	.Y(n_26188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3016  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_467 ),
+	.Y(n_26187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3017  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_452 ),
+	.Y(n_26183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3018  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_448 ),
+	.Y(n_26182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3019  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_455 ),
+	.Y(n_26181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3020  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_447 ),
+	.Y(n_26180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3021  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_451 ),
+	.Y(n_26179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3022  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_454 ),
+	.Y(n_26177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3023  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_446 ),
+	.Y(n_26176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3024  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_450 ),
+	.Y(n_26175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3025  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_449 ),
+	.Y(n_26173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3026  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_456 ),
+	.Y(n_26186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3027  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_445 ),
+	.X(n_26185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3028  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_453 ),
+	.Y(n_26184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3029  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_317 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_317 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_345 ),
+	.Y(n_26082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3030  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_284 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_284 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3031  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_333 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3032  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3033  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3034  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_318 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_351 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3035  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_262 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_350 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3036  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_436 ),
+	.Y(n_26171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3037  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_435 ),
+	.Y(n_26172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3038  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_438 ),
+	.Y(n_26174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3039  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_437 ),
+	.Y(n_26178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3040  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3041  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_323 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3042  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3043  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3044  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_344 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3045  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_275 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3046  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3047  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_293 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_337 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3048  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3049  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3050  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_423 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3051  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3052  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3053  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3054  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_285 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_285 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3055  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3056  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_408 ),
+	.Y(n_26167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3057  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_409 ),
+	.Y(n_26168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3058  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_397 ),
+	.Y(n_26169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3059  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ),
+	.Y(n_26170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3060  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3061  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3062  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_405 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3063  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_423 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3064  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_280 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3065  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_412 ),
+	.Y(n_26166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3066  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_400 ),
+	.Y(n_26165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3067  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_407 ),
+	.Y(n_26164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3068  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_410 ),
+	.Y(n_26162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3069  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_399 ),
+	.Y(n_26161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3070  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_406 ),
+	.Y(n_26160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3071  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_396 ),
+	.Y(n_26158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3072  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_411 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3073  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_398 ),
+	.Y(n_26154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3074  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ),
+	.Y(n_26153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3075  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3076  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3077  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3078  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3079  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_390 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_610 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3080  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_392 ),
+	.Y(n_26163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3081  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_394 ),
+	.Y(n_26159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3082  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ),
+	.Y(n_26157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3083  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ),
+	.Y(n_26156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3084  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_393 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3085  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(n_26152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3087  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_343 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3088  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_380 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_324 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3089  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_268 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_322 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3090  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_336 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3091  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_292 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_292 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_335 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3092  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3093  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_382 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_311 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3094  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3095  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ),
+	.Y(n_26150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3096  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_378 ),
+	.Y(n_26151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3097  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3098  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3099  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3100  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_380 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_249 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3101  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_327 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3102  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_381 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_245 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3103  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3104  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_381 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_245 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3105  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3106  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3107  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3108  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3109  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3111  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_308 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3112  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_374 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3113  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.X(n_26155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3114  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3115  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_369 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3116  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3117  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_276 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3118  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_369 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3119  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_380 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3120  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_374 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3121  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_374 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3122  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.A2(n_33081),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3123  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ),
+	.B(n_33081),
+	.X(n_26149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3124  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ),
+	.Y(n_26148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3125  (
+	.A_N(n_33081),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_609 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3130  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3131  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3132  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_362 ),
+	.Y(n_26147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3133  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ),
+	.Y(n_26146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3134  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3136  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3137  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3138  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3139  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ),
+	.Y(n_26145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3142  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3143  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3144  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_353 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ),
+	.Y(n_26144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3145  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_353 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3146  (
+	.A(n_26277),
+	.B(n_26199),
+	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_353 ),
+	.SUM(n_26143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3147  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_318 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3148  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_262 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3149  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3150  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3151  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3152  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_264 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_298 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3153  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3154  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3155  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3156  (
+	.A(n_26276),
+	.B(n_26198),
+	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_616 ),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_341 ),
+	.SUM(n_26142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3157  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_280 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3158  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3159  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3160  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3161  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3162  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3163  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3164  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_611 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_297 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3165  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_310 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3166  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3167  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3168  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3169  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_260 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3170  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_275 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_325 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3171  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_249 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3172  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3173  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_268 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3174  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3175  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_313 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_299 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3176  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3178  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_609 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3179  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3180  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3181  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3182  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3184  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3185  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3186  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3187  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3188  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3189  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3190  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_252 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3191  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3192  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3193  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3195  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3196  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3197  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_249 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3198  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3200  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3201  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_304 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3202  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3203  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3204  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3205  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3206  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3207  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3208  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3209  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3210  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3211  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3212  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_260 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3213  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3214  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3215  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_276 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3216  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_264 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3217  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3218  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3219  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3220  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3223  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3224  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3225  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3226  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3227  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3228  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3229  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3230  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3231  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3232  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3233  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3234  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3235  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3236  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3237  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3238  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3239  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3240  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3241  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3242  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3243  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3244  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3245  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3246  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3247  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_245 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3248  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3249  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3250  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3251  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3252  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3253  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3254  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3255  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3256  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3257  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3258  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3259  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3260  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3261  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3262  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3263  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3264  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3265  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3266  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3267  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3268  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3269  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3270  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3271  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3272  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3273  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3274  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3275  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3276  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3277  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3278  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3279  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3280  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3281  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3282  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3283  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3284  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3285  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3286  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3287  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3288  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3289  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3290  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3291  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3292  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3293  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3294  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3295  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3296  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3297  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3298  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3299  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3300  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3301  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3302  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3303  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3304  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3305  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3306  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3307  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3308  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3309  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3310  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3311  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3312  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3313  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3319  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3321  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3322  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3323  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3324  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3325  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3326  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3327  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3328  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3329  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3330  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3331  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3332  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3333  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3334  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3335  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3336  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3337  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3338  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3339  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3340  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3341  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3342  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3343  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3344  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3345  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3346  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3347  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3348  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3349  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3350  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3351  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3352  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3353  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3354  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3355  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3356  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3357  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3358  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3359  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3360  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3361  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3362  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3363  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3365  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3366  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3368  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3369  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3370  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3371  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3372  (
+	.A(n_26234),
+	.B(n_26312),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3373  (
+	.A(n_26212),
+	.B(n_26290),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3374  (
+	.A(n_26200),
+	.B(n_26278),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3375  (
+	.A(n_26216),
+	.B(n_26294),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3376  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3377  (
+	.A(n_26250),
+	.B(n_26328),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3378  (
+	.A(n_26218),
+	.B(n_26296),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3379  (
+	.A(n_26208),
+	.B(n_26286),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3380  (
+	.A(n_26230),
+	.B(n_26308),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3381  (
+	.A(n_26238),
+	.B(n_26316),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3382  (
+	.A(n_26242),
+	.B(n_26320),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3383  (
+	.A(n_26214),
+	.B(n_26292),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3384  (
+	.A(n_26233),
+	.B(n_26311),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3385  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3386  (
+	.A(n_26235),
+	.B(n_26313),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3387  (
+	.A(n_26302),
+	.B(n_26224),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3388  (
+	.A(n_26215),
+	.B(n_26293),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3389  (
+	.A(n_67086),
+	.B(n_26285),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3390  (
+	.A(n_26300),
+	.B(n_26222),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3391  (
+	.A(n_26227),
+	.B(n_26305),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3392  (
+	.A(n_26283),
+	.B(n_26205),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3393  (
+	.A(n_26223),
+	.B(n_26301),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3394  (
+	.A(n_26320),
+	.B(n_26242),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3395  (
+	.A(n_26298),
+	.B(n_26220),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3396  (
+	.A(n_26304),
+	.B(n_26226),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3397  (
+	.A(n_26316),
+	.B(n_26238),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3398  (
+	.A(n_26253),
+	.B(n_26331),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3399  (
+	.A(n_26290),
+	.B(n_26212),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3400  (
+	.A(n_26203),
+	.B(n_26281),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3401  (
+	.A(n_26322),
+	.B(n_26244),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3402  (
+	.A(n_26306),
+	.B(n_26228),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3403  (
+	.A(n_26314),
+	.B(n_26236),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3404  (
+	.A(n_30468),
+	.B(n_26279),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3405  (
+	.A(n_26294),
+	.B(n_26216),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3406  (
+	.A(n_26229),
+	.B(n_26307),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3407  (
+	.A(n_26288),
+	.B(n_26210),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3408  (
+	.A(n_26312),
+	.B(n_26234),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3409  (
+	.A(n_26247),
+	.B(n_26325),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3410  (
+	.A(n_26284),
+	.B(n_67084),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3411  (
+	.A(n_26217),
+	.B(n_26295),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3412  (
+	.A(n_26291),
+	.B(n_26213),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3413  (
+	.A(n_26318),
+	.B(n_26240),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3414  (
+	.A(n_26279),
+	.B(n_30468),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3415  (
+	.A(n_26241),
+	.B(n_26319),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3416  (
+	.A(n_26243),
+	.B(n_26321),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3417  (
+	.A(n_26295),
+	.B(n_26217),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3418  (
+	.A(n_26297),
+	.B(n_26219),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3419  (
+	.A(n_26309),
+	.B(n_26231),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3420  (
+	.A(n_26315),
+	.B(n_26237),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3421  (
+	.A(n_26303),
+	.B(n_26225),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3422  (
+	.A(n_26327),
+	.B(n_26249),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3423  (
+	.A(n_26331),
+	.B(n_26253),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3424  (
+	.A(n_26313),
+	.B(n_26235),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3425  (
+	.A(n_26325),
+	.B(n_26247),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3426  (
+	.A(n_26293),
+	.B(n_26215),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3427  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3428  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3430  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3431  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3432  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3437  (
+	.A(n_26236),
+	.B(n_26314),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3438  (
+	.A(n_26244),
+	.B(n_26322),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3439  (
+	.A(n_26204),
+	.B(n_26282),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3440  (
+	.A(n_67084),
+	.B(n_26284),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3441  (
+	.A(n_26240),
+	.B(n_26318),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3442  (
+	.A(n_26228),
+	.B(n_26306),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3443  (
+	.A(n_26220),
+	.B(n_26298),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3444  (
+	.A(n_26210),
+	.B(n_26288),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3445  (
+	.A(n_26252),
+	.B(n_26330),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3446  (
+	.A(n_26332),
+	.B(n_26254),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3447  (
+	.A(n_26226),
+	.B(n_26304),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3448  (
+	.A(n_26246),
+	.B(n_26324),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3449  (
+	.A(n_26232),
+	.B(n_26310),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3450  (
+	.A(n_26224),
+	.B(n_26302),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3451  (
+	.A(n_26202),
+	.B(n_26280),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3452  (
+	.A(n_26222),
+	.B(n_26300),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3453  (
+	.A(n_26248),
+	.B(n_26326),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3454  (
+	.A(n_26308),
+	.B(n_26230),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3455  (
+	.A(n_26330),
+	.B(n_26252),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3456  (
+	.A(n_26221),
+	.B(n_26299),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3457  (
+	.A(n_26245),
+	.B(n_26323),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3458  (
+	.A(n_26213),
+	.B(n_26291),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3459  (
+	.A(n_26205),
+	.B(n_26283),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3460  (
+	.A(n_26237),
+	.B(n_26315),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3461  (
+	.A(n_26328),
+	.B(n_26250),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3462  (
+	.A(n_26326),
+	.B(n_26248),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3463  (
+	.A(n_26209),
+	.B(n_26287),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3464  (
+	.A(n_26292),
+	.B(n_26214),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3465  (
+	.A(n_26282),
+	.B(n_26204),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3466  (
+	.A(n_26231),
+	.B(n_26309),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3467  (
+	.A(n_26239),
+	.B(n_26317),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3468  (
+	.A(n_26307),
+	.B(n_26229),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3469  (
+	.A(n_30476),
+	.B(n_26289),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3470  (
+	.A(n_26296),
+	.B(n_26218),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3471  (
+	.A(n_26251),
+	.B(n_26329),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3472  (
+	.A(n_26280),
+	.B(n_26202),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3473  (
+	.A(n_26225),
+	.B(n_26303),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3474  (
+	.A(n_26324),
+	.B(n_26246),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3475  (
+	.A(n_26254),
+	.B(n_26332),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3476  (
+	.A(n_26219),
+	.B(n_26297),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3477  (
+	.A(n_26310),
+	.B(n_26232),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3478  (
+	.A(n_26249),
+	.B(n_26327),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3479  (
+	.A(n_26301),
+	.B(n_26223),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3480  (
+	.A(n_26317),
+	.B(n_26239),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3481  (
+	.A(n_26319),
+	.B(n_26241),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3482  (
+	.A(n_26305),
+	.B(n_26227),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3483  (
+	.A(n_26311),
+	.B(n_26233),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3484  (
+	.A(n_26329),
+	.B(n_26251),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3485  (
+	.A(n_26287),
+	.B(n_26209),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3486  (
+	.A(n_26299),
+	.B(n_26221),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3487  (
+	.A(n_26289),
+	.B(n_30476),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3488  (
+	.A_N(n_26285),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3489  (
+	.A(n_26281),
+	.B(n_26203),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3490  (
+	.A(n_26323),
+	.B(n_26245),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3491  (
+	.A(n_26321),
+	.B(n_26243),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3492  (
+	.A(n_26208),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3493  (
+	.A(n_26286),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3494  (
+	.A(n_26200),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3495  (
+	.A(n_26278),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3496  (
+	.A(n_67086),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g2  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_89 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3497  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_388 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_223 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3498  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_271 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_306 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_g3502  (
+	.A(n_26275),
+	.B(n_26197),
+	.CI(n_26196),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_616 ),
+	.SUM(n_26141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2424  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_274 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_566 ),
+	.Y(n_26062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2425  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_587 ),
+	.Y(n_26070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2426  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_288 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_586 ),
+	.Y(n_26069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2427  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_584 ),
+	.Y(n_26068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2428  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_579 ),
+	.Y(n_26067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_592 ),
+	.Y(n_26066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2430  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_583 ),
+	.Y(n_26065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2431  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_591 ),
+	.Y(n_26063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2432  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_589 ),
+	.Y(n_26029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_582 ),
+	.Y(n_26061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2434  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_763 ),
+	.Y(n_26059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2435  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_228 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_565 ),
+	.Y(n_26080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2436  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_569 ),
+	.Y(n_26079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2437  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_590 ),
+	.Y(n_26078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2438  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_585 ),
+	.Y(n_26077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2439  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_567 ),
+	.Y(n_26076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2440  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_588 ),
+	.Y(n_26075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2441  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_568 ),
+	.Y(n_26074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2442  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_580 ),
+	.Y(n_26073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2443  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_563 ),
+	.Y(n_26064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2444  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_559 ),
+	.Y(n_26060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2445  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_558 ),
+	.Y(n_26058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2446  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_560 ),
+	.X(n_26057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2447  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_562 ),
+	.Y(n_26072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2448  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_561 ),
+	.Y(n_26071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2449  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_546 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_483 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2450  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_366 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_438 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2451  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_448 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2452  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_426 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_504 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2453  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_354 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_505 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2454  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_391 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_472 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2455  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_546 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_393 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_474 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2456  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_374 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_374 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_449 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2457  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_412 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2458  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2459  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_548 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2461  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2462  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_546 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_355 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_470 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2463  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_276 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ),
+	.Y(n_26044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2464  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_747 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ),
+	.Y(n_26046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2465  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_290 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ),
+	.Y(n_26047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2466  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_539 ),
+	.Y(n_26048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2467  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ),
+	.Y(n_26050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2468  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_540 ),
+	.Y(n_26054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2469  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_532 ),
+	.Y(n_26053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2470  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_541 ),
+	.Y(n_26052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2471  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_534 ),
+	.Y(n_26051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2472  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_430 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2473  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_507 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2474  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2475  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_453 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2476  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_458 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2477  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_423 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_424 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_527 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_508 ),
+	.Y(n_26081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2478  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2479  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2480  (
+	.A1(n_67270),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_389 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_485 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2481  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_527 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2482  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2483  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_550 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_330 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2484  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_522 ),
+	.Y(n_26042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2485  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_278 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_523 ),
+	.Y(n_26055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2486  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_543 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_542 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2487  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_282 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_524 ),
+	.X(n_26049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2488  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_525 ),
+	.X(n_26045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2489  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_526 ),
+	.Y(n_26043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2490  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ),
+	.B(n_67270),
+	.X(n_26056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2491  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2492  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2493  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2494  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_520 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_300 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2495  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_521 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2496  (
+	.A(n_67270),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_246 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2497  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_510 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_353 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_469 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2498  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_392 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_471 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2499  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_739 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_357 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_432 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_539 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2500  (
+	.A(n_67270),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2501  (
+	.A(n_67270),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_389 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2503  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_266 ),
+	.B(n_33083),
+	.Y(n_26039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2504  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_500 ),
+	.Y(n_26040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2505  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_289 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_506 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2506  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_269 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_499 ),
+	.Y(n_26038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2507  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_510 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_482 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2508  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_739 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_452 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2509  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_510 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_473 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2510  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_442 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2511  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_512 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_420 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2512  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_744 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_324 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2513  (
+	.A(n_67270),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2514  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_744 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_324 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2515  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_512 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_420 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_525 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2516  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_442 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2517  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ),
+	.A3(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_378 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_484 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2518  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_493 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2520  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_520 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2521  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_496 ),
+	.Y(n_26037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2522  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_287 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_495 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2524  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_752 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_492 ),
+	.X(n_26036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2525  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_491 ),
+	.Y(n_26034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2526  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_501 ),
+	.B(n_66210),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_520 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2527  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_739 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2528  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2530  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_458 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_312 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_497 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2531  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_331 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2532  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_487 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_431 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2535  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2536  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_354 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_331 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2537  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_426 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_457 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2538  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_750 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_478 ),
+	.Y(n_26033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2539  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_216 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_479 ),
+	.X(n_26032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2540  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_418 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2541  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_487 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_297 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_451 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2542  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_745 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_416 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2544  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_423 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2545  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_745 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2546  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_486 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2547  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2548  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_738 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_437 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2549  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_461 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2550  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_736 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_338 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_756 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2551  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_461 ),
+	.Y(n_26035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2553  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_486 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2554  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_434 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2555  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_378 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_402 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_419 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2556  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_332 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2557  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2560  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_758 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2562  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_736 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_338 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2563  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2564  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2565  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_447 ),
+	.X(n_26030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2566  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_412 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_466 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2567  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_402 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2568  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_391 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_454 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2569  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_402 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_328 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_464 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2570  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_355 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_332 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_470 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2571  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_353 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2572  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_758 ),
+	.X(n_26031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2574  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_380 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_433 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2575  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_393 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2577  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_392 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_442 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_464 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2578  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2580  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_461 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2582  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_428 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_398 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_740 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_427 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2583  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_458 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2585  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_411 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2586  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_408 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_326 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2587  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ),
+	.B1(n_67269),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2588  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_297 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2590  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_449 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2591  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2592  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_422 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2593  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2595  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2598  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2601  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_408 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_366 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_326 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2602  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ),
+	.B1(n_67268),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2603  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_369 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_403 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2604  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_422 ),
+	.Y(n_26028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2605  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_411 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2606  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_356 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_411 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2607  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_357 ),
+	.B1(n_67269),
+	.B2(n_66612),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2608  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ),
+	.B2(n_66613),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2609  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_365 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2610  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_364 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2611  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_429 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2612  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_429 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2613  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_415 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2614  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_417 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_343 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2616  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2617  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_327 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_419 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2618  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2619  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_379 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_244 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2620  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2621  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_761 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2623  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_381 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2624  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2625  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_389 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_380 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2626  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2627  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_379 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_422 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2628  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_734 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2629  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_412 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2630  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_409 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2631  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_408 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2633  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2634  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_403 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2635  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ),
+	.Y(n_26027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2636  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2637  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_328 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2638  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_337 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2639  (
+	.A1(n_67269),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2640  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_326 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2642  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_243 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_332 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_319 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2643  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_331 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2644  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_330 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2645  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_746 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_313 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2646  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_749 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2648  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2651  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2652  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_735 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2653  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2654  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2655  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_391 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2656  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2657  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_364 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2658  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_743 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2659  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_386 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2661  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_298 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2662  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2663  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_369 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2664  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_356 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_380 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2665  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2666  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2667  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_365 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2668  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2669  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2670  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2672  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2673  (
+	.A(n_26083),
+	.B(n_25851),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_370 ),
+	.SUM(n_26026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2675  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2676  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_298 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2677  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2678  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2680  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2681  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2682  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2683  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ),
+	.B(n_66609),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2684  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2685  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2686  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_753 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2687  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_297 ),
+	.B(n_66613),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2688  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_246 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2689  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ),
+	.B(n_66612),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2690  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2691  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2692  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2693  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2694  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2695  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2696  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_243 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2697  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_749 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2698  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2701  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_337 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2702  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2703  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_328 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2704  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2705  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_756 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_321 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2706  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2707  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2708  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2709  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2711  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2713  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2714  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2715  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2716  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2718  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_337 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2719  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2720  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2721  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2722  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2723  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2724  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2725  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2726  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2727  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2729  (
+	.A(n_66608),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2730  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2736  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_300 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2738  (
+	.A(n_66609),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2740  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2741  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ),
+	.B_N(n_66612),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2742  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2743  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_288 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2744  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2745  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2746  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2747  (
+	.A(n_66616),
+	.B(n_66613),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2748  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2750  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2751  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2752  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2753  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2755  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2756  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2757  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2758  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2759  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2760  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2761  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2762  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2763  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2764  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2765  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2766  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_267 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2767  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2768  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2770  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2771  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2772  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2773  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2774  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2775  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2776  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2777  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2778  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2779  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2781  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2782  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2783  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2786  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2788  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_753 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2789  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2791  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2793  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2794  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2795  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2796  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_256 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2797  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2798  (
+	.A(n_73940),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2799  (
+	.A(n_66618),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2800  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ),
+	.B(n_66615),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2802  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2803  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2804  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2805  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2806  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2807  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
+	.B(n_66611),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2808  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2809  (
+	.A(n_66610),
+	.B(n_66612),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2810  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2811  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2812  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2813  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2814  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2815  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2817  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2818  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2819  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2820  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ),
+	.B_N(n_66613),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2822  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2823  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2824  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2825  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2826  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2827  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2828  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2831  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2832  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2833  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2834  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2835  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2836  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2837  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2838  (
+	.A(n_66619),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2839  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2841  (
+	.A(n_66615),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2846  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2847  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_755 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2851  (
+	.A(n_66618),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2857  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2859  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2861  (
+	.A(n_25898),
+	.B(n_26130),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2862  (
+	.A(n_25870),
+	.B(n_26102),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2863  (
+	.A(n_25902),
+	.B(n_26134),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2864  (
+	.A(n_25866),
+	.B(n_26098),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2865  (
+	.A(n_25868),
+	.B(n_26100),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2866  (
+	.A(n_25878),
+	.B(n_26110),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2867  (
+	.A(n_25886),
+	.B(n_26118),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2868  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2869  (
+	.A(n_26138),
+	.B(n_25906),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2870  (
+	.A(n_25877),
+	.B(n_26109),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2871  (
+	.A(n_26120),
+	.B(n_25888),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2872  (
+	.A(n_26132),
+	.B(n_25900),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2873  (
+	.A(n_25895),
+	.B(n_26127),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2874  (
+	.A(n_25887),
+	.B(n_26119),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2875  (
+	.A(n_25879),
+	.B(n_26111),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2876  (
+	.A(n_74127),
+	.B(n_26091),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2877  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ),
+	.B(n_26085),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2878  (
+	.A(n_26126),
+	.B(n_25894),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2879  (
+	.A(n_26124),
+	.B(n_25892),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2880  (
+	.A(n_25905),
+	.B(n_26137),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2881  (
+	.A(n_74128),
+	.B(n_26101),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2883  (
+	.A(n_25873),
+	.B(n_26105),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2887  (
+	.A(n_25885),
+	.B(n_26117),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2888  (
+	.A(n_25855),
+	.B(n_26087),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2889  (
+	.A(n_25867),
+	.B(n_26099),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2890  (
+	.A(n_26099),
+	.B(n_25867),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2891  (
+	.A(n_26136),
+	.B(n_25904),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2893  (
+	.A(n_25881),
+	.B(n_26113),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2894  (
+	.A(n_25907),
+	.B(n_26139),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2895  (
+	.A(n_25889),
+	.B(n_26121),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2897  (
+	.A(n_26140),
+	.B(n_25908),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2898  (
+	.A(n_26115),
+	.B(n_25883),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2899  (
+	.A(n_26110),
+	.B(n_25878),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2900  (
+	.A(n_25899),
+	.B(n_26131),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2901  (
+	.A(n_26118),
+	.B(n_25886),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2902  (
+	.A(n_26113),
+	.B(n_25881),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2905  (
+	.A(n_26121),
+	.B(n_25889),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2906  (
+	.A(n_26117),
+	.B(n_25885),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2907  (
+	.A(n_26111),
+	.B(n_25879),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2909  (
+	.A(n_26095),
+	.B(n_67110),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2910  (
+	.A(n_26133),
+	.B(n_25901),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2911  (
+	.A(n_26139),
+	.B(n_25907),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2912  (
+	.A(n_26125),
+	.B(n_25893),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2913  (
+	.A(n_26131),
+	.B(n_25899),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2914  (
+	.A(n_26129),
+	.B(n_25897),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2915  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2916  (
+	.A(n_26127),
+	.B(n_25895),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2917  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2918  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2919  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2920  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2921  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2925  (
+	.A(n_66610),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2926  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2927  (
+	.A(n_66616),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2930  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2931  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2934  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2935  (
+	.A(n_66611),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2939  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2940  (
+	.A(n_66617),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2941  (
+	.A(n_25856),
+	.B(n_26088),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2942  (
+	.A(n_25896),
+	.B(n_26128),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2943  (
+	.A(n_25904),
+	.B(n_26136),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2944  (
+	.A(n_25880),
+	.B(n_26112),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2945  (
+	.A(n_25860),
+	.B(n_26092),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2946  (
+	.A(n_25858),
+	.B(n_26090),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2947  (
+	.A(n_25890),
+	.B(n_26122),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2948  (
+	.A(n_25908),
+	.B(n_26140),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2949  (
+	.A(n_25884),
+	.B(n_26116),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2950  (
+	.A(n_25894),
+	.B(n_26126),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2951  (
+	.A(n_25874),
+	.B(n_26106),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2952  (
+	.A(n_30505),
+	.B(n_26096),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2953  (
+	.A(n_25906),
+	.B(n_26138),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2954  (
+	.A(n_25872),
+	.B(n_26104),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2955  (
+	.A(n_25882),
+	.B(n_26114),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2956  (
+	.A(n_67109),
+	.B(n_26094),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2957  (
+	.A(n_25876),
+	.B(n_26108),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2958  (
+	.A(n_25892),
+	.B(n_26124),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2959  (
+	.A(n_25888),
+	.B(n_26120),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2960  (
+	.A(n_25900),
+	.B(n_26132),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2961  (
+	.A(n_25883),
+	.B(n_26115),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2962  (
+	.A(n_25903),
+	.B(n_26135),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2963  (
+	.A(n_67110),
+	.B(n_26095),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2964  (
+	.A(n_25865),
+	.B(n_26097),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2965  (
+	.A(n_26128),
+	.B(n_25896),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2966  (
+	.A(n_25897),
+	.B(n_26129),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2967  (
+	.A(n_25893),
+	.B(n_26125),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2968  (
+	.A(n_26130),
+	.B(n_25898),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2969  (
+	.A(n_25875),
+	.B(n_26107),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2970  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2971  (
+	.A(n_30114),
+	.B(n_26086),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2972  (
+	.A(n_26108),
+	.B(n_25876),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2973  (
+	.A(n_26116),
+	.B(n_25884),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2974  (
+	.A(n_26112),
+	.B(n_25880),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2975  (
+	.A(n_25891),
+	.B(n_26123),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2976  (
+	.A(n_26096),
+	.B(n_30505),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2978  (
+	.A(n_26114),
+	.B(n_25882),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2979  (
+	.A(n_25901),
+	.B(n_26133),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2980  (
+	.A(n_26122),
+	.B(n_25890),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2981  (
+	.A(n_26134),
+	.B(n_25902),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2983  (
+	.A(n_25861),
+	.B(n_26093),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2984  (
+	.A(n_26092),
+	.B(n_25860),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2985  (
+	.A(n_30116),
+	.B(n_26084),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2986  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2987  (
+	.A(n_26137),
+	.B(n_25905),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2988  (
+	.A(n_26103),
+	.B(n_25871),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2989  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2991  (
+	.A(n_26090),
+	.B(n_25858),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2992  (
+	.A(n_26119),
+	.B(n_25887),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2993  (
+	.A(n_26123),
+	.B(n_25891),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2994  (
+	.A(n_66211),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2997  (
+	.A(n_26135),
+	.B(n_25903),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3007  (
+	.A(n_26094),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3008  (
+	.A(n_26086),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3011  (
+	.A(n_26087),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3016  (
+	.A(n_67109),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3017  (
+	.A(n_66211),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3019  (
+	.A(n_26085),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3021  (
+	.A(n_26089),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3027  (
+	.A(n_26088),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3032  (
+	.A(n_25857),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3034  (
+	.A(n_25856),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3035  (
+	.A(n_30114),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3037  (
+	.A(n_25855),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3038  (
+	.A(n_26084),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3040  (
+	.A(n_30116),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g2  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_181 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_182 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_204 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3041  (
+	.A(n_66618),
+	.B(n_66619),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3042  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_758 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_298 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3043  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_424 ),
+	.B(n_67270),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3044  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_383 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3045  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_493 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_743 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3046  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_761 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_342 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3048  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_307 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_336 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3049  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_748 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_735 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3050  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_748 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3051  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_254 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_459 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3052  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_250 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_334 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3053  (
+	.A(n_66614),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3054  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_167 ),
+	.B(n_73940),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3055  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_155 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3056  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_127 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_756 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3057  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_86 ),
+	.B_N(n_66617),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3058  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_67 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_118 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3059  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_43 ),
+	.B(n_66614),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3060  (
+	.A(n_26109),
+	.B(n_25877),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3061  (
+	.A(n_26103),
+	.B(n_25871),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3062  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_8 ),
+	.B(n_26089),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3064  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_244 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_422 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_187 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_58 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_87 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3067  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_63 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_131 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3068  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_762 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_172 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_550 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_330 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_145 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_g3070  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_261 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.COUT(UNCONNECTED313),
+	.SUM(n_26041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5204  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_651 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_652 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5205  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_648 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5206  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_644 ),
+	.Y(n_25807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5207  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_198 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_645 ),
+	.Y(n_25812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5208  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_638 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5209  (
+	.A(n_66222),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_639 ),
+	.Y(n_25801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5211  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_638 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5212  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_627 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5213  (
+	.A(n_66218),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_629 ),
+	.Y(n_25790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5216  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_633 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5217  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_632 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5218  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_630 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5220  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_619 ),
+	.X(n_25800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5221  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_620 ),
+	.Y(n_25809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5222  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_795 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_610 ),
+	.Y(n_25811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5223  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_617 ),
+	.B(n_66645),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5224  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_619 ),
+	.B(n_66643),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_632 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5226  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_610 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_630 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5227  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_611 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5229  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_621 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_627 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5235  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_606 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5236  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_592 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5237  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_591 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5239  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_605 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_872 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5240  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_240 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_591 ),
+	.Y(n_25799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5241  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_594 ),
+	.Y(n_25792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5242  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_592 ),
+	.X(n_25808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5247  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_595 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_282 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5248  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_221 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_585 ),
+	.X(n_25788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5249  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_585 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5250  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_576 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5251  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_584 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_271 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5252  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_597 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5253  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_571 ),
+	.Y(n_25782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5254  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_582 ),
+	.Y(n_25804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5255  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ),
+	.B(n_66628),
+	.X(n_25802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5256  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_576 ),
+	.Y(n_25810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5257  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_584 ),
+	.Y(n_25795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5258  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_578 ),
+	.Y(n_25771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5259  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_581 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5261  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_580 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5262  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_561 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_300 ),
+	.B1(n_66217),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5264  (
+	.A(n_66623),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5267  (
+	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_885 ),
+	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_567 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_885 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_567 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5268  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_880 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_813 ),
+	.X(n_25798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5269  (
+	.A(n_66216),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_561 ),
+	.Y(n_25791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5272  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_581 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5273  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_567 ),
+	.B(n_66641),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5275  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_550 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_113 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5276  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_803 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_426 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5278  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5283  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_882 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ),
+	.X(n_25794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5284  (
+	.A1(n_66631),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_827 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_888 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5286  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_548 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_396 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_465 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5289  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5291  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_548 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5295  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_549 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_450 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5298  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_542 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5300  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_538 ),
+	.B(n_66220),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5302  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_379 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_179 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5306  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_824 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5307  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_538 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_415 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5308  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_541 ),
+	.B(n_67861),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5311  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_534 ),
+	.Y(n_25770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5312  (
+	.A(n_66632),
+	.B(n_66648),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5314  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_179 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5315  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_418 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5318  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_535 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5320  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_798 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_812 ),
+	.Y(n_25772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5321  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_522 ),
+	.B(n_66219),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5322  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_533 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5323  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_516 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5328  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_524 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_771 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5330  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_514 ),
+	.Y(n_25776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5331  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_834 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_516 ),
+	.Y(n_25769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5332  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_817 ),
+	.C(n_66640),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_442 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5334  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_513 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_434 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5335  (
+	.A(n_66633),
+	.B(n_66644),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5340  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_508 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5342  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_502 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5343  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_817 ),
+	.C(n_66640),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5345  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_891 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_506 ),
+	.Y(n_25778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5346  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_829 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_504 ),
+	.Y(n_25768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5348  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_504 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5349  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ),
+	.B(n_66640),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5350  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5352  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_493 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5354  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ),
+	.A2(n_66630),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5357  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_497 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5358  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_490 ),
+	.Y(n_25777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5359  (
+	.A1(n_25988),
+	.A2(n_25930),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_489 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5363  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ),
+	.A2(n_67860),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5366  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_489 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5367  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_484 ),
+	.B(n_67272),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5372  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_477 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_402 ),
+	.C(n_66649),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_484 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5379  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_472 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_457 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5380  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_475 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5381  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_473 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_475 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5382  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_845 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_471 ),
+	.Y(n_25764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5383  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_469 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_317 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_473 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5384  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_469 ),
+	.B(n_66215),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5385  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_469 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5387  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_467 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5388  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_836 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_466 ),
+	.Y(n_25763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5389  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_455 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5390  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_455 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5391  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_119 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_452 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_234 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5399  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_456 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5400  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_439 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_432 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_445 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5401  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_451 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5403  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_442 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5404  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5405  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5406  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_361 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_426 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_819 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5409  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_443 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5410  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5411  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_437 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5413  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_435 ),
+	.B(n_67273),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5414  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5415  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_383 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_381 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5417  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5420  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_434 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5421  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_369 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_432 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5423  (
+	.A1_N(n_25982),
+	.A2_N(n_25924),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_366 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5424  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_403 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_394 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_400 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5425  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_385 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_349 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_370 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5426  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_773 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_404 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5428  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5429  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_425 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5433  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_774 ),
+	.B(n_66219),
+	.C(n_66220),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5434  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_416 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_858 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5435  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_821 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_398 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_772 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5436  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_411 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5438  (
+	.A(n_67273),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_379 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_179 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5439  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ),
+	.B(n_67979),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5440  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_384 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_353 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5441  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_361 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_406 ),
+	.C(n_66220),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5442  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5443  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_399 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5444  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_357 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_368 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_859 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5445  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_860 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5448  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_406 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5449  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_375 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_116 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_404 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5450  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_326 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5451  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_357 ),
+	.B_N(n_66639),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5452  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_401 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5453  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5454  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_831 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5456  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_775 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_886 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_398 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5458  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_119 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5459  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5460  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_775 ),
+	.B(n_66641),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5462  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5468  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_375 ),
+	.B(n_66644),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_892 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5469  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_373 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_334 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_387 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5471  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_276 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_385 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5472  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_340 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ),
+	.D(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_384 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5473  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_377 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5475  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_818 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5477  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_364 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_377 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5479  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5480  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ),
+	.C(n_66646),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5482  (
+	.A(n_66634),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5483  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_271 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5484  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_369 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5485  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_368 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5487  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5488  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_827 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_842 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_890 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_365 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5489  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_379 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5490  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_342 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5491  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5492  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_827 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_842 ),
+	.C(n_66648),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5494  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_347 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_362 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5495  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5498  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ),
+	.X(n_25760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5499  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5500  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_238 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5501  (
+	.A1_N(n_26013),
+	.A2_N(n_25955),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5504  (
+	.A1(n_66650),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_875 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_349 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5505  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ),
+	.B(n_66636),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5506  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5507  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5508  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_346 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5511  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5512  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5513  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5515  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ),
+	.B(n_66643),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5517  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5518  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5519  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_113 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5520  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5522  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5525  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5527  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_326 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5529  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5531  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_842 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_888 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5533  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5535  (
+	.A(n_66643),
+	.B(n_66651),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5536  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5537  (
+	.A(n_66650),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_328 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5539  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5541  (
+	.A(n_66642),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5542  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5555  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5561  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5562  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5577  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_886 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5578  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_276 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_277 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5579  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5586  (
+	.A(n_66651),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5588  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5589  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5590  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_262 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5591  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5592  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5598  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5599  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5600  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_831 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5601  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5602  (
+	.A(n_66646),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5604  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_246 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5606  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_244 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5607  (
+	.A(n_25968),
+	.B(n_25910),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ),
+	.SUM(n_25759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5608  (
+	.A(n_26005),
+	.B(n_25947),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_276 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5609  (
+	.A(n_26007),
+	.B(n_25949),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_271 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_241 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5610  (
+	.A(n_26011),
+	.B(n_25953),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_268 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_240 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5611  (
+	.A(n_26019),
+	.B(n_25961),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_238 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5612  (
+	.A(n_26017),
+	.B(n_25959),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5613  (
+	.A(n_26020),
+	.B(n_25962),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_258 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5614  (
+	.A(n_26021),
+	.B(n_25963),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_234 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5615  (
+	.A(n_26022),
+	.B(n_25964),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_232 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5616  (
+	.A(n_26012),
+	.B(n_25954),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5619  (
+	.A(n_25984),
+	.B(n_25926),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5620  (
+	.A(n_26008),
+	.B(n_25950),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5622  (
+	.A(n_30650),
+	.B(n_25946),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5624  (
+	.A(n_25980),
+	.B(n_25922),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5626  (
+	.A(n_26000),
+	.B(n_25942),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5630  (
+	.A(n_25998),
+	.B(n_25940),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5635  (
+	.A(n_25993),
+	.B(n_67156),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5636  (
+	.A(n_25979),
+	.B(n_25921),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5639  (
+	.A(n_25990),
+	.B(n_25932),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5641  (
+	.A(n_25988),
+	.B(n_25930),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5643  (
+	.A(n_25987),
+	.B(n_25929),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5645  (
+	.A(n_25983),
+	.B(n_25925),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5646  (
+	.A(n_26001),
+	.B(n_25943),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5647  (
+	.A(n_30647),
+	.B(n_25923),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5649  (
+	.A(n_26024),
+	.B(n_25966),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5653  (
+	.A(n_25969),
+	.B(n_29621),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5657  (
+	.A(n_26018),
+	.B(n_25960),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5658  (
+	.A(n_26025),
+	.B(n_25967),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5659  (
+	.A(n_26016),
+	.B(n_25958),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5662  (
+	.A(n_26014),
+	.B(n_25956),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5664  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5665  (
+	.A(n_25970),
+	.B(n_25912),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5666  (
+	.A(n_26024),
+	.B(n_25966),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5667  (
+	.A(n_26023),
+	.B(n_25965),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5669  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5670  (
+	.A(n_26022),
+	.B(n_25964),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5672  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5674  (
+	.A(n_26005),
+	.B(n_25947),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5675  (
+	.A(n_30650),
+	.B(n_25946),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5676  (
+	.A(n_73772),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5677  (
+	.A(n_26014),
+	.B(n_25956),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5678  (
+	.A(n_25973),
+	.B(n_25915),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5679  (
+	.A_N(n_25975),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5681  (
+	.A(n_26015),
+	.B(n_25957),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5682  (
+	.A(n_26003),
+	.B(n_25945),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5683  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5684  (
+	.A(n_26006),
+	.B(n_25948),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5685  (
+	.A(n_25979),
+	.B(n_25921),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5687  (
+	.A(n_26010),
+	.B(n_25952),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5688  (
+	.A(n_26000),
+	.B(n_25942),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5689  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5690  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5691  (
+	.A(n_30647),
+	.B(n_25923),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5693  (
+	.A(n_26007),
+	.B(n_25949),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5694  (
+	.A(n_26020),
+	.B(n_25962),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5695  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5699  (
+	.A(n_26019),
+	.B(n_25961),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5700  (
+	.A(n_26018),
+	.B(n_25960),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5702  (
+	.A(n_26016),
+	.B(n_25958),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5707  (
+	.A(n_26011),
+	.B(n_25953),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5709  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_39 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5710  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5711  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5712  (
+	.A(n_26017),
+	.B(n_25959),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5714  (
+	.A(n_26001),
+	.B(n_25943),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5722  (
+	.A(n_25914),
+	.B(n_25972),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5723  (
+	.A(n_25967),
+	.B(n_26025),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5725  (
+	.A(n_25930),
+	.B(n_25988),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5726  (
+	.A(n_67156),
+	.B(n_25993),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5727  (
+	.A(n_25966),
+	.B(n_26024),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5729  (
+	.A(n_25941),
+	.B(n_25999),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5730  (
+	.A(n_25946),
+	.B(n_30650),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5732  (
+	.A(n_25956),
+	.B(n_26014),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5733  (
+	.A(n_25912),
+	.B(n_25970),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5734  (
+	.A(n_25965),
+	.B(n_26023),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5735  (
+	.A(n_25913),
+	.B(n_25971),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5736  (
+	.A(n_25923),
+	.B(n_30647),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5737  (
+	.A(n_26025),
+	.B(n_25967),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5739  (
+	.A(n_25960),
+	.B(n_26018),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5741  (
+	.A(n_25943),
+	.B(n_26001),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5742  (
+	.A(n_30637),
+	.B(n_25995),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5744  (
+	.A(n_30638),
+	.B(n_25994),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5746  (
+	.A(n_25926),
+	.B(n_25984),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5748  (
+	.A(n_25958),
+	.B(n_26016),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5749  (
+	.A(n_25925),
+	.B(n_25983),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5750  (
+	.A(n_25954),
+	.B(n_26012),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5751  (
+	.A(n_26021),
+	.B(n_25963),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5753  (
+	.A(n_25940),
+	.B(n_25998),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5754  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
+	.B_N(n_25932),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5756  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5757  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5759  (
+	.A(n_25916),
+	.B(n_25974),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5760  (
+	.A(n_25915),
+	.B(n_25973),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5761  (
+	.A(n_25944),
+	.B(n_26002),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5762  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5764  (
+	.A(n_25957),
+	.B(n_26015),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5765  (
+	.A(n_25919),
+	.B(n_25977),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5766  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5767  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ),
+	.B(n_73772),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5768  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_39 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5771  (
+	.A(n_25942),
+	.B(n_26000),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5772  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_1 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5773  (
+	.A(n_25972),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5776  (
+	.A(n_25913),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5781  (
+	.A(n_25919),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5782  (
+	.A(n_25927),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5785  (
+	.A(n_25924),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5788  (
+	.A(n_26008),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5790  (
+	.A(n_25929),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5791  (
+	.A(n_25917),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5796  (
+	.A(n_25980),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5797  (
+	.A(n_25978),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5798  (
+	.A(n_25985),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5802  (
+	.A(n_25916),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5803  (
+	.A(n_25982),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5805  (
+	.A(n_26002),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5808  (
+	.A(n_25920),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5809  (
+	.A(n_25986),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5811  (
+	.A(n_25990),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5816  (
+	.A(n_25914),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5818  (
+	.A(n_25971),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5823  (
+	.A(n_25987),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5827  (
+	.A(n_25950),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5834  (
+	.A(n_25977),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5835  (
+	.A(n_25976),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5837  (
+	.A(n_67154),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5839  (
+	.A(n_25922),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5846  (
+	.A(n_25944),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g2  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_862 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_453 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5848  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_822 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_826 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_90 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5849  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_365 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_322 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_93 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5850  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_377 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_341 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5851  (
+	.A(n_66645),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_843 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_282 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5859  (
+	.A(n_25995),
+	.B(n_30637),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5870  (
+	.A(n_26015),
+	.B(n_25957),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5871  (
+	.A(n_26023),
+	.B(n_25965),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5874  (
+	.A(n_25982),
+	.B(n_25924),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5879  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_556 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_406 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5880  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_554 ),
+	.B(n_67273),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5883  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_228 ),
+	.B(n_67271),
+	.X(n_25773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5884  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_201 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_477 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5888  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_437 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_815 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5889  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_435 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_554 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5891  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_407 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_508 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5893  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_363 ),
+	.B(n_66644),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5894  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_178 ),
+	.B(n_66217),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5895  (
+	.A(n_66634),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_354 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_109 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5897  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_128 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_775 ),
+	.C(n_66641),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5898  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_872 ),
+	.B(n_66645),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_843 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5900  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_168 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_533 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5901  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.B(n_66639),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5902  (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_77 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_843 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_826 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5903  (
+	.A(n_30635),
+	.B(n_25992),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5905  (
+	.A(n_25977),
+	.B(n_25919),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_829 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5907  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
+	.B_N(n_25975),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5908  (
+	.A(n_25997),
+	.B(n_25939),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_282 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5910  (
+	.A(n_25978),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_39 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5912  (
+	.A(n_25972),
+	.B(n_25914),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5918  (
+	.A(n_67156),
+	.B(n_25993),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5919  (
+	.A(n_25941),
+	.B(n_25999),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5921  (
+	.A(n_25973),
+	.B(n_25915),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5922  (
+	.A(n_25994),
+	.B(n_30638),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_522 ),
+	.X(n_25783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5923  (
+	.A(n_25986),
+	.B(n_67154),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_502 ),
+	.X(n_25775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5925  (
+	.A(n_25985),
+	.B(n_25927),
+	.C(n_66630),
+	.X(n_25774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5928  (
+	.A(n_25975),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_56 ),
+	.C(n_67860),
+	.X(n_25766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5930  (
+	.A(n_25974),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_476 ),
+	.X(n_25765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5934  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_324 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_259 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_342 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5935  (
+	.A1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_164 ),
+	.A2_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_108 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_24 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_57 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5936  (
+	.A(n_25969),
+	.B(n_29621),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_314 ),
+	.X(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5937  (
+	.A1(n_66635),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_405 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_861 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g3  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_425 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_774 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_441 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_861 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5945  (
+	.A(n_25997),
+	.B(n_25939),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_871 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g4  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_871 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5947  (
+	.A(n_26009),
+	.B(n_25951),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_875 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5951  (
+	.A(n_26010),
+	.B(n_25952),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_881 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5952  (
+	.A(n_26006),
+	.B(n_25948),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_883 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5953  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_884 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5954  (
+	.A(n_25996),
+	.B(n_30636),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_886 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5955  (
+	.A(n_25992),
+	.B(n_30635),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_888 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5956  (
+	.A(n_25991),
+	.B(n_30634),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_890 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5957  (
+	.A(n_25989),
+	.B(n_25931),
+	.COUT(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_892 ),
+	.SUM(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5965  (
+	.A(n_25971),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_71 ),
+	.CIN(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_446 ),
+	.COUT(UNCONNECTED314),
+	.SUM(n_25762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38770  (
+	.A(n_43578),
+	.B(n_43519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38809  (
+	.A(n_50704),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38830  (
+	.A(n_46680),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38833  (
+	.A(n_67603),
+	.B(n_49144),
+	.CI(n_43613),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38845  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38854  (
+	.A(n_44898),
+	.B(n_74464),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38903  (
+	.A1(n_74450),
+	.A2(n_42978),
+	.B1(n_74701),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38906  (
+	.A(n_66654),
+	.B(n_74460),
+	.Y(n_32098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38934  (
+	.A(n_67649),
+	.B(n_67638),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38989  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_63 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2192 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g38997  (
+	.A(n_46364),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39008  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1944 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39027  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2156 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39030  (
+	.A(n_39340),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2090 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39037  (
+	.A(n_56068),
+	.B(n_53723),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39043  (
+	.A1(n_68080),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3007 ),
+	.B1(n_66338),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39062  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2850 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2114 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39066  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1874 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39069  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1841 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1883 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39083  (
+	.A(n_68767),
+	.B(n_74580),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39100  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ),
+	.CI(n_50247),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2060 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39120  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1672 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1921 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2034 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39122  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1652 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2030 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39123  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1720 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2042 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39128  (
+	.A(n_51207),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39137  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2015 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39139  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ),
+	.B(n_43309),
+	.CI(n_68083),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39141  (
+	.A(n_51188),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1789 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2851 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39144  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ),
+	.CI(n_66348),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39150  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1751 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1684 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39151  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1687 ),
+	.B(n_43254),
+	.C(n_67594),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39159  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1751 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39161  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1984 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39162  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1690 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1984 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39164  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2803 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39165  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1628 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1977 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39171  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39181  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1617 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1588 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39182  (
+	.A(n_67727),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2860 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1600 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39183  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ),
+	.B(n_74718),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1719 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1956 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39184  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39187  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1658 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1577 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1948 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39188  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1567 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1946 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39189  (
+	.A(n_68080),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3007 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1944 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39193  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ),
+	.A2(n_68092),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39203  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1657 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1921 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39204  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1534 ),
+	.B(n_74201),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1626 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39205  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1669 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39206  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ),
+	.B(n_68082),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39209  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1664 ),
+	.B(n_50331),
+	.CI(n_43627),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39211  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_355 ),
+	.B(n_69477),
+	.CI(n_50466),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39214  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1562 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1592 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1615 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1903 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1904 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39216  (
+	.A(n_55851),
+	.B(n_51186),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1238 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39219  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1718 ),
+	.B(n_49208),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1576 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1893 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39220  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2858 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1639 ),
+	.CI(n_36734),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1891 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39221  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1712 ),
+	.B(n_48551),
+	.CI(n_50896),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39222  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_341 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1537 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3009 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39224  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1620 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1574 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1884 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39225  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1613 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1597 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1882 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39227  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ),
+	.B(n_68092),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39232  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39235  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3010 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2787 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1763 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39240  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1684 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39250  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_345 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3004 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2882 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39253  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1270 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1632 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39255  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1495 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1638 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1279 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1846 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39257  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_338 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1278 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1855 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39258  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1511 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1671 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1841 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39266  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1512 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1627 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1826 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39267  (
+	.A(n_34357),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1835 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39270  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2793 ),
+	.B(n_67274),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39271  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2725 ),
+	.B(n_73854),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1272 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1821 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1822 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39272  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1166 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2888 ),
+	.CI(n_48542),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1820 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39274  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_334 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2900 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39278  (
+	.A(n_53413),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1502 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1807 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39281  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_352 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1273 ),
+	.CI(n_50895),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39282  (
+	.A(n_71104),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1395 ),
+	.CI(n_67730),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1800 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39284  (
+	.A(n_71081),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1481 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1251 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1796 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39285  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1795 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39291  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1758 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39292  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1540 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1692 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39304  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1676 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1694 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39306  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1498 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39307  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1774 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39308  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1265 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2868 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2928 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1770 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39309  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1236 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1555 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1772 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39313  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1735 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1685 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39316  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2864 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39319  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1685 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1735 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39321  (
+	.A1(n_66413),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2796 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1498 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39324  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2707 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1435 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39334  (
+	.A(n_42989),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39341  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1400 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1730 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39342  (
+	.A(n_66413),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2796 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1728 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39343  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1274 ),
+	.B(n_70998),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1479 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1726 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39346  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_858 ),
+	.B(n_70994),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1310 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1722 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39347  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2708 ),
+	.CI(n_36623),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1740 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39348  (
+	.A(n_71092),
+	.B(n_38317),
+	.CI(n_48617),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1719 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39349  (
+	.A(n_38309),
+	.B(n_71100),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39350  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_359 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1168 ),
+	.CIN(n_38320),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1715 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39352  (
+	.A(n_35686),
+	.B(n_67679),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2913 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1712 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39353  (
+	.A(n_71098),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1252 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1514 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1710 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39354  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1164 ),
+	.B(n_71094),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2891 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1708 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39355  (
+	.A(n_71073),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1118 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1707 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39356  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ),
+	.B(n_38314),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1137 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39357  (
+	.A(n_38306),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1703 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1704 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39358  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2931 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_340 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2872 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39362  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1490 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1452 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1695 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39363  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.B(n_67718),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39364  (
+	.A(n_67718),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39365  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1494 ),
+	.B1_N(n_36626),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39367  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2853 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1546 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39371  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1125 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1671 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39372  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_865 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1284 ),
+	.CIN(n_48563),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1669 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39375  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ),
+	.B(n_38310),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1298 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1664 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39377  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1249 ),
+	.B(n_70995),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1303 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1661 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39379  (
+	.A(n_70988),
+	.B(n_36619),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1121 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1658 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39380  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1254 ),
+	.B(n_71085),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1657 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39381  (
+	.A(n_73055),
+	.B(n_38313),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1255 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39382  (
+	.A(n_71078),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_857 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1097 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39383  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_350 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1311 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1652 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39384  (
+	.A(n_67278),
+	.B(n_35687),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1651 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39385  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39386  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ),
+	.B(n_35693),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2921 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1646 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39390  (
+	.A(n_49889),
+	.B(n_71077),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1290 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1638 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39394  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_353 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1312 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1632 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39395  (
+	.A(n_71075),
+	.B(n_71096),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1247 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39396  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1100 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1628 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1629 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39397  (
+	.A(n_70983),
+	.B(n_36153),
+	.CIN(n_71082),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1627 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39398  (
+	.A(n_71072),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ),
+	.CI(n_38315),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1625 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39399  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1077 ),
+	.B(n_70989),
+	.CIN(n_71083),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1623 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1624 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39400  (
+	.A(n_71079),
+	.B(n_70997),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1287 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1621 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39401  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39403  (
+	.A(n_53359),
+	.B(n_71099),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1616 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39404  (
+	.A(n_67666),
+	.B(n_38318),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1235 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39405  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1294 ),
+	.B(n_36622),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1086 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1613 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39406  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_864 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1301 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1276 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39407  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1094 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1609 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39416  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ),
+	.A2(n_66719),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39419  (
+	.A(n_70993),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1388 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1370 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39424  (
+	.A1(n_67275),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2795 ),
+	.B1(n_67666),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39426  (
+	.A1(n_71084),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1426 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1407 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39428  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1433 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1410 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39430  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1390 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1344 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1358 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39433  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39434  (
+	.A(n_66851),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1455 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39436  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2878 ),
+	.B(n_74576),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39438  (
+	.A(n_71084),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1456 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39439  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1229 ),
+	.A2(n_48251),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ),
+	.B2(n_48249),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39449  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1457 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39451  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1388 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1458 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39454  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1390 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39457  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1203 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39458  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1229 ),
+	.B(n_48250),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39461  (
+	.A(n_67663),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1317 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1555 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39462  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.SUM(n_32034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39470  (
+	.A(n_46410),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39477  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1490 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1452 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39479  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1330 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1482 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39480  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1331 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39483  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1357 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2801 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39490  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1187 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1522 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39492  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_867 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1518 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39493  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1308 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1516 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39494  (
+	.A(n_74455),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1291 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1515 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39495  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_280 ),
+	.CI(n_71097),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1514 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39496  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1147 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1511 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39501  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1344 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1358 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1503 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39502  (
+	.A1_N(n_36151),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1371 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1447 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39504  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1028 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2990 ),
+	.B1(n_26742),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39505  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1363 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1330 ),
+	.B1(n_67276),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1436 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39507  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2911 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1428 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1430 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39509  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2978 ),
+	.A2(n_36736),
+	.B1(n_36735),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39510  (
+	.A(n_36151),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1371 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39512  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2930 ),
+	.B(n_55782),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1485 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39515  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1363 ),
+	.B(n_67276),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39516  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1393 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39518  (
+	.A(n_53359),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1205 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39520  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1394 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39522  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1208 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1209 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39523  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1389 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39525  (
+	.A(n_36624),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1381 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39526  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_915 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1382 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1476 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39527  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ),
+	.B(n_67677),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39531  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1173 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1472 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39546  (
+	.A(n_70993),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1370 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39547  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1199 ),
+	.B(n_38312),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39548  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ),
+	.B(n_70986),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39549  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1182 ),
+	.B(n_36621),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39555  (
+	.A(n_36151),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1331 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39556  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39560  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2919 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1367 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39561  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39564  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1182 ),
+	.B(n_36621),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1438 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39566  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1363 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1330 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39567  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1352 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2893 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1435 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39569  (
+	.A(n_46645),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2899 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39572  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1357 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39574  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1357 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1428 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39575  (
+	.A(n_38312),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1199 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39576  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ),
+	.B_N(n_70986),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39578  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_977 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1394 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39579  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1393 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39583  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39584  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_972 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1173 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39587  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2893 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1352 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39588  (
+	.A(n_36620),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39589  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2899 ),
+	.B(n_46645),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1410 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39591  (
+	.A_N(n_67879),
+	.B(n_68471),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39592  (
+	.A_N(n_70986),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39596  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ),
+	.B(n_35690),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1403 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39599  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1389 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39600  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1381 ),
+	.B_N(n_36624),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1399 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39601  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ),
+	.B_N(n_67677),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39603  (
+	.A(n_53359),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1205 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1397 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39604  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1208 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1209 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39607  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1382 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_915 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39608  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1231 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1230 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39609  (
+	.A(n_50350),
+	.B_N(n_67720),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1395 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39617  (
+	.A(n_35692),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39619  (
+	.A(n_71070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39628  (
+	.A(n_35688),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1327 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39630  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39633  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_762 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39634  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ),
+	.A2(n_43247),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_665 ),
+	.B2(n_43244),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1318 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39635  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_839 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_836 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1317 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39636  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_816 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_818 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1316 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39637  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_849 ),
+	.A2(n_58554),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_839 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1315 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39639  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_814 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2938 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1313 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39640  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39641  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_815 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_816 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1311 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39642  (
+	.A1(n_53331),
+	.A2(n_55865),
+	.B1(FE_DBTN102_n_55797),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_756 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1310 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39643  (
+	.A1(n_73240),
+	.A2(n_44548),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_588 ),
+	.B2(n_36375),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39644  (
+	.A1(n_55862),
+	.A2(n_45450),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_703 ),
+	.B2(n_55815),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1393 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39645  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2937 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2942 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1309 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39648  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_818 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ),
+	.B2(n_33146),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1390 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39649  (
+	.A1(n_34354),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_466 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39650  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_534 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_522 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1308 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39653  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_710 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_519 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1388 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39656  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_585 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_744 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1303 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39659  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_522 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(n_34351),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1301 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39661  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_462 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_722 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39662  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_695 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_597 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39663  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2938 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_951 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39664  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_492 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_534 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39666  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_746 ),
+	.A2(n_48520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_488 ),
+	.B2(n_48519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39667  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_586 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_492 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1293 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39669  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_540 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_586 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1292 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39670  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_540 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1382 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39671  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39672  (
+	.A1(n_55862),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_703 ),
+	.B1(n_55815),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_647 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1381 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39676  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_601 ),
+	.A2(n_55865),
+	.B1(FE_DBTN102_n_55797),
+	.B2(n_55864),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1290 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39683  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_615 ),
+	.B1(n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_536 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39684  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_557 ),
+	.A2(n_55865),
+	.B1(FE_DBTN102_n_55797),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_547 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1370 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39686  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_533 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_516 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39689  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_480 ),
+	.A2(n_43247),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ),
+	.B2(n_43244),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39692  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_937 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_993 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39694  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_767 ),
+	.A2(n_43247),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_480 ),
+	.B2(n_43244),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39697  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_620 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_770 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39699  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_505 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_490 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39700  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39701  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_831 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2937 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39703  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_750 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_767 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39705  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_744 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39706  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2713 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39707  (
+	.A1(n_33107),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2713 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_814 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39709  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_847 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39711  (
+	.A1_N(n_66052),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1358 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39713  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_581 ),
+	.A2(n_43247),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_618 ),
+	.B2(n_43244),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39717  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_674 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(n_33128),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1265 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39724  (
+	.A1(n_71086),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2811 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39731  (
+	.A1_N(n_44548),
+	.A2_N(n_36375),
+	.B1(n_48520),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_545 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39732  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_460 ),
+	.A2(n_48520),
+	.B1(n_48519),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_743 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39734  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_661 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_579 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39735  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_847 ),
+	.A2(n_58554),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_849 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39736  (
+	.A1(n_66052),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_505 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1251 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39738  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_716 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_750 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39739  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ),
+	.A2(n_33107),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2948 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1248 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39743  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_680 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_515 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39746  (
+	.A1(n_46417),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_479 ),
+	.B1(n_68520),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_517 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39760  (
+	.A1(n_46417),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_511 ),
+	.B1(n_68520),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_479 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39761  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_589 ),
+	.A2(n_48520),
+	.B1(n_48519),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_494 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39762  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2805 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2806 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39766  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_836 ),
+	.A2_N(n_58554),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.B2(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1236 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39767  (
+	.A1(n_44003),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_592 ),
+	.B1(n_49893),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_689 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39769  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.B1(n_71086),
+	.B2(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39790  (
+	.A(n_71098),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39791  (
+	.A(n_71075),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39792  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2931 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39793  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39794  (
+	.A(n_38306),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39795  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39798  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_735 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_467 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39800  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1031 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_952 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1231 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39801  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_618 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39802  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_661 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_721 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39806  (
+	.A1(FE_DBTN102_n_55797),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_552 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1018 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39810  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_713 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_518 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39812  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_743 ),
+	.A2(n_48520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_714 ),
+	.B2(n_48519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39827  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_682 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_581 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39828  (
+	.A1(n_33128),
+	.A2(n_51429),
+	.B1(n_33131),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39830  (
+	.A1(n_53363),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53365),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39835  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_490 ),
+	.A2_N(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_670 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39837  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_488 ),
+	.A2(n_48520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_542 ),
+	.B2(n_48519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39838  (
+	.A1(n_55862),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_607 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_465 ),
+	.B2(n_55815),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39840  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_603 ),
+	.A2(n_48520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_775 ),
+	.B2(n_48519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39841  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_526 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39843  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_518 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_606 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39849  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_579 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39850  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_714 ),
+	.A2(n_48520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_746 ),
+	.B2(n_48519),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39852  (
+	.A1(n_69464),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_466 ),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39854  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_772 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_543 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39857  (
+	.A1(n_53365),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53366),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39859  (
+	.A1(n_55865),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ),
+	.B1(FE_DBTN102_n_55797),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_699 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39862  (
+	.A1(n_33121),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_709 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39864  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_669 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_478 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39866  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_893 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39868  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_682 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39869  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_516 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_537 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39870  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_563 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_772 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39875  (
+	.A1(n_55862),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_465 ),
+	.B1(n_33139),
+	.B2(n_55815),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39878  (
+	.A1(n_45458),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_558 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39881  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_741 ),
+	.B1(n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_615 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39887  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_713 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39888  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_523 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39890  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_543 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_601 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39892  (
+	.A1(n_53371),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53363),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39893  (
+	.A1_N(n_55797),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_773 ),
+	.B1(n_55865),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_705 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39894  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_537 ),
+	.A2(n_55865),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_708 ),
+	.B2(FE_DBTN102_n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1095 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39895  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_519 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39896  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_547 ),
+	.A2(n_55865),
+	.B1(FE_DBTN102_n_55797),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_563 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1093 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39900  (
+	.A(n_36151),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39904  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_517 ),
+	.A2_N(n_46417),
+	.B1(n_46411),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_562 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39905  (
+	.A1(n_53364),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53371),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39906  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ),
+	.A2(n_46411),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_995 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39911  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_523 ),
+	.B2(n_51429),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39912  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_594 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_991 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1079 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39915  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_593 ),
+	.A2(n_43247),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_710 ),
+	.B2(n_43244),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39916  (
+	.A1(n_53362),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53364),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39918  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_708 ),
+	.A2(n_55865),
+	.B1(FE_DBTN102_n_55797),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_557 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39924  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_665 ),
+	.A2(n_43247),
+	.B1(n_43244),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_593 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39925  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_711 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_741 ),
+	.B2(n_71086),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39927  (
+	.A1_N(n_43245),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2944 ),
+	.B1(n_46642),
+	.B2(n_43247),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39932  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_536 ),
+	.B1(n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_564 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39934  (
+	.A1(n_67721),
+	.A2(n_48253),
+	.B1(n_53357),
+	.B2(n_43995),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39943  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39956  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_467 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39958  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_692 ),
+	.B(n_73048),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39960  (
+	.A(n_73240),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_774 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39966  (
+	.A(n_53360),
+	.B(n_55856),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39967  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_715 ),
+	.B(n_73240),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39972  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_619 ),
+	.B(n_73240),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39975  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_36625),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39976  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39979  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_698 ),
+	.B(n_55862),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1025 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g39986  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_773 ),
+	.B(n_55866),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40000  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40005  (
+	.A(n_46417),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_499 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40009  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_664 ),
+	.B(n_46417),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40011  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2943 ),
+	.B(n_65239),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40013  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_478 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40017  (
+	.A(n_36151),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40021  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40022  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40023  (
+	.A(n_67279),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40024  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40025  (
+	.A(n_74455),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40031  (
+	.A(n_51429),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40032  (
+	.A(n_71088),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40034  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_603 ),
+	.B(n_36375),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40035  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2973 ),
+	.B(n_33146),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40049  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_489 ),
+	.B(n_70981),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40065  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_587 ),
+	.B(n_68520),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40066  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40070  (
+	.A1(FE_DBTN47_n_66052),
+	.A2(n_29511),
+	.B1(n_54454),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40073  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.A2(n_29518),
+	.B1(n_53331),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40074  (
+	.A1(FE_DBTN59_n_46648),
+	.A2(n_29516),
+	.B1(n_44549),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40077  (
+	.A1(n_44548),
+	.A2(n_29515),
+	.B1(n_55101),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40080  (
+	.A1(n_26742),
+	.A2(n_29520),
+	.B1(n_45468),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40088  (
+	.A(n_36620),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40091  (
+	.A(n_43247),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40093  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_650 ),
+	.B(n_71086),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40098  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_674 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40102  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_462 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40107  (
+	.A_N(n_33129),
+	.B(n_68520),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40113  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_695 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40120  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_807 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_788 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40122  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_804 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_794 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40123  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_779 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40124  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_805 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_791 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40125  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_812 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_786 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40128  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_811 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40129  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_791 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_810 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40130  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_803 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_805 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40132  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_786 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_803 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40133  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_812 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40134  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_798 ),
+	.B1(n_36627),
+	.B2(n_33116),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40138  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40142  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_779 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_804 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40143  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_796 ),
+	.B1(n_36627),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_784 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40159  (
+	.A(n_51528),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40161  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40163  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40168  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2973 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40169  (
+	.A(n_33473),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_830 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40170  (
+	.A(n_51647),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_829 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40171  (
+	.A(n_66445),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40172  (
+	.A(n_29787),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40180  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_809 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40181  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_806 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40182  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40183  (
+	.A(n_33115),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40184  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_795 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40186  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_789 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40187  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_787 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40188  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_783 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40189  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_781 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40190  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_777 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40191  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_774 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40196  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40197  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40203  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_721 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40205  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_711 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40206  (
+	.A(n_67793),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40207  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2948 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40209  (
+	.A(n_67693),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40210  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2949 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_697 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40212  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_690 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_691 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40213  (
+	.A(n_53356),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40214  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_686 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40215  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_684 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40216  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_679 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40217  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2952 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_676 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40218  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_671 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40219  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_669 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40220  (
+	.A(n_33127),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40223  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_653 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40224  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_647 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40225  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40226  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2957 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40237  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_359 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40238  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(n_45072),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_353 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_818 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40240  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.B1(n_53413),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40241  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_216 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_341 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40242  (
+	.A1(n_29546),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_347 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40244  (
+	.A(n_26742),
+	.B(n_45443),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40245  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_29547),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40246  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_46641),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40247  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_29546),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40248  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40249  (
+	.A(n_26742),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40250  (
+	.A(n_26771),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40251  (
+	.A(n_26742),
+	.B(n_29544),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40252  (
+	.A(n_26767),
+	.B(n_26742),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40253  (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.A1(n_66460),
+	.S(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40256  (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.A1(n_44282),
+	.S(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40257  (
+	.A(n_26772),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40259  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_46633),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_791 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40260  (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ),
+	.A1(n_29557),
+	.S(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40261  (
+	.A(n_26766),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40262  (
+	.A(n_26742),
+	.B(n_45462),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40264  (
+	.A0(n_45072),
+	.A1(n_45093),
+	.S(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40265  (
+	.A(n_26768),
+	.B(n_26742),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40266  (
+	.A(n_46414),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_780 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40267  (
+	.A(n_73522),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40268  (
+	.A1(n_55101),
+	.A2(n_46633),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.B2(n_45070),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40270  (
+	.A(n_44548),
+	.B(n_29544),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40271  (
+	.A0(n_45093),
+	.A1(n_45072),
+	.S(n_53331),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40272  (
+	.A(n_53331),
+	.B(n_29544),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40273  (
+	.A(n_45070),
+	.B(n_73522),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40274  (
+	.A(n_26772),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40275  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B(n_29557),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40276  (
+	.A(n_26767),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40277  (
+	.A(n_44548),
+	.B(n_29547),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40281  (
+	.A(n_29547),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40282  (
+	.A(n_46414),
+	.B(n_26748),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40283  (
+	.A(n_45070),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40286  (
+	.A(n_26748),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40289  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.A2(FE_DBTN47_n_66052),
+	.B1(n_26772),
+	.B2(n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40290  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ),
+	.A2(n_48019),
+	.B1(n_73522),
+	.B2(n_48016),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40291  (
+	.A(n_26766),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40292  (
+	.A(n_29546),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40293  (
+	.A(n_46641),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40294  (
+	.A(n_46414),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40295  (
+	.A(n_44548),
+	.B(n_73522),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40296  (
+	.A(n_26767),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40297  (
+	.A(n_26748),
+	.B(n_26768),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40298  (
+	.A(n_26768),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_743 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40300  (
+	.A(n_48019),
+	.B(n_45093),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40301  (
+	.A0(n_54454),
+	.A1(n_46400),
+	.S(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40302  (
+	.A(n_26748),
+	.B(n_33473),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40303  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ),
+	.A2(FE_DBTN47_n_66052),
+	.B1(n_64929),
+	.B2(n_66052),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40305  (
+	.A(n_26767),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40309  (
+	.A(n_26772),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40311  (
+	.A(n_46414),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40313  (
+	.A(FE_DBTN47_n_66052),
+	.B(n_66460),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40317  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40318  (
+	.A(n_44548),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40319  (
+	.A(n_46414),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40320  (
+	.A(n_45450),
+	.B(n_29544),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40321  (
+	.A(n_48019),
+	.B(n_44282),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_711 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40322  (
+	.A(n_26772),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40323  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.A2(FE_DBTN47_n_66052),
+	.B1(n_26771),
+	.B2(n_66052),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_709 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40324  (
+	.A(n_53331),
+	.B(n_29547),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40325  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40326  (
+	.A(n_66052),
+	.B(n_29787),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40328  (
+	.A(n_45468),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_703 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40330  (
+	.A(n_26771),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40332  (
+	.A(n_45450),
+	.B(n_66445),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_698 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40334  (
+	.A(n_46641),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_695 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40335  (
+	.A(n_46641),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40336  (
+	.A(n_45070),
+	.B(n_29544),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_692 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40337  (
+	.A(n_33473),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40339  (
+	.A(n_53331),
+	.B(n_26766),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40340  (
+	.A(n_48019),
+	.B(n_29787),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40342  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_45443),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40344  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_66445),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40346  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ),
+	.A2(n_48019),
+	.B1(n_26766),
+	.B2(n_48016),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_677 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40348  (
+	.A(n_45443),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40350  (
+	.A1(n_44549),
+	.A2(n_46633),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.B2(n_44548),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_671 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40351  (
+	.A(n_26767),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_669 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40354  (
+	.A(n_66445),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40355  (
+	.A(n_73522),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40356  (
+	.A(n_46633),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40359  (
+	.A(n_29557),
+	.B(n_66052),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40365  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ),
+	.A2(n_46400),
+	.B1(n_29544),
+	.B2(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_653 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40368  (
+	.A(n_29544),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40369  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2815 ),
+	.B(n_51528),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40370  (
+	.A(n_26766),
+	.B(n_45468),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40371  (
+	.A(n_26767),
+	.B(n_45450),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40376  (
+	.A(n_29546),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40377  (
+	.A(n_26768),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40378  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40382  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_606 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40383  (
+	.A(n_73050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40384  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_596 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40385  (
+	.A(n_36148),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40386  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_588 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40388  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_571 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40389  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_564 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40391  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_553 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40392  (
+	.A(n_33134),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40393  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2966 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40394  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2967 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_545 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40395  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_541 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40396  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40398  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_528 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40400  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2970 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40401  (
+	.A(n_33135),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40402  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_512 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40406  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_499 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40407  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_496 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40408  (
+	.A(n_53369),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40409  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_481 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_482 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40410  (
+	.A(n_33140),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40413  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40414  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2972 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40415  (
+	.A(n_33146),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40420  (
+	.A(n_36146),
+	.B(n_53374),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40422  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40423  (
+	.A(n_44548),
+	.B(n_45443),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40424  (
+	.A(n_29544),
+	.B(n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40427  (
+	.A(n_66460),
+	.B(n_48016),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40429  (
+	.A(n_44548),
+	.B(n_29546),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40433  (
+	.A1(n_45450),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.B1(n_45468),
+	.B2(n_66460),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40434  (
+	.A(n_45468),
+	.B(n_46633),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40436  (
+	.A(n_44548),
+	.B(n_45462),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40438  (
+	.A(n_53331),
+	.B(n_46633),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40439  (
+	.A(n_26768),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40440  (
+	.A(n_46400),
+	.B(n_44282),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40441  (
+	.A(n_45443),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40442  (
+	.A(FE_DBTN47_n_66052),
+	.B(n_44282),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40444  (
+	.A(n_46414),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40445  (
+	.A(n_26771),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40447  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ),
+	.B(n_55101),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40448  (
+	.A(n_44549),
+	.B(n_67059),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40449  (
+	.A(n_67059),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40450  (
+	.A(n_46414),
+	.B(n_45450),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40451  (
+	.A(n_53331),
+	.B(n_26767),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40454  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_45462),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40455  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B(n_46641),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40456  (
+	.A(FE_DBTN47_n_66052),
+	.B(n_51647),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40458  (
+	.A(n_26766),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40462  (
+	.A(n_46633),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40463  (
+	.A(n_55101),
+	.B(n_46641),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40464  (
+	.A(n_29557),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40466  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40469  (
+	.A(n_51647),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40470  (
+	.A(n_26748),
+	.B(n_45462),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40471  (
+	.A(n_46400),
+	.B(n_29787),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40473  (
+	.A(n_45093),
+	.B(n_54454),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40474  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B(n_51647),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40475  (
+	.A(n_26748),
+	.B(n_29546),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40477  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40478  (
+	.A(n_26767),
+	.B(n_46400),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40482  (
+	.A(n_26748),
+	.B(n_45443),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40483  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_546 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40485  (
+	.A(n_26748),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40486  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_541 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40487  (
+	.A(n_26768),
+	.B(n_45450),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_540 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40489  (
+	.A(n_29546),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_538 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40490  (
+	.A(n_26772),
+	.B(n_26748),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40491  (
+	.A(n_29557),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40492  (
+	.A(n_46414),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40493  (
+	.A(n_26771),
+	.B(n_45450),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40494  (
+	.A(n_26748),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40496  (
+	.A(n_67059),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_528 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40497  (
+	.A1(n_45070),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_56 ),
+	.B1(n_29546),
+	.B2(n_55101),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_527 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40498  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40500  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.B(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40501  (
+	.A(FE_DBTN47_n_66052),
+	.B(n_66445),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_523 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40502  (
+	.A(n_26772),
+	.B(n_45450),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40504  (
+	.A(FE_DBTN59_n_46648),
+	.B(n_29547),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40505  (
+	.A(n_45450),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40506  (
+	.A(n_66445),
+	.B(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40507  (
+	.A(n_26748),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40509  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.B(n_48019),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40510  (
+	.A(n_51647),
+	.B(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40513  (
+	.A(n_45450),
+	.B(n_33473),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40514  (
+	.A(n_67059),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_505 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40516  (
+	.A(n_29547),
+	.B(n_45070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40517  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40518  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ),
+	.A2(n_46400),
+	.B1(n_29547),
+	.B2(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_499 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40520  (
+	.A(n_45462),
+	.B(n_48019),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40522  (
+	.A(n_26766),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40523  (
+	.A(n_44549),
+	.B(n_66445),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40524  (
+	.A(n_45450),
+	.B(n_73522),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40526  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ),
+	.B(FE_DBTN47_n_66052),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_490 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40527  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.A2_N(n_66445),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.B2(n_66445),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_489 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40528  (
+	.A(n_26771),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_488 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40532  (
+	.A(n_33473),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40533  (
+	.A0(n_66052),
+	.A1(FE_DBTN47_n_66052),
+	.S(n_29547),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40534  (
+	.A(n_26768),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40535  (
+	.A(n_33473),
+	.B(n_54454),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40536  (
+	.A(n_26768),
+	.B(FE_DBTN47_n_66052),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40544  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.B(FE_DBTN47_n_66052),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40545  (
+	.A(n_45450),
+	.B(n_45443),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_466 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40546  (
+	.A(n_45468),
+	.B(n_46641),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40548  (
+	.A(n_45450),
+	.B(n_45462),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40549  (
+	.A(FE_DBTN47_n_66052),
+	.B(n_45093),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_462 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40551  (
+	.A(n_26767),
+	.B(n_44548),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40552  (
+	.A(n_45462),
+	.B(n_46400),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40553  (
+	.A(n_64929),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40558  (
+	.A(n_29557),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_452 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40568  (
+	.A(n_53373),
+	.B(n_36147),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40575  (
+	.A(n_55101),
+	.B(n_29513),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_425 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40587  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.B(n_29518),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40588  (
+	.A(n_45070),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_227 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_412 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40592  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_228 ),
+	.B(FE_DBTN59_n_46648),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_408 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40593  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_29520),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_407 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40642  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_354 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_355 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40643  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_351 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_352 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40644  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_347 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40646  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_344 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_345 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40647  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40648  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_339 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40649  (
+	.A(n_48618),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_338 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40650  (
+	.A(n_53409),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40651  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_333 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40652  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40702  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_281 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40703  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40704  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40705  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_66460),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40706  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_357 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40708  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_26766),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40709  (
+	.A(n_45072),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_353 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40710  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_26771),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40711  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40713  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_29546),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_347 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40715  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_26767),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_344 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40716  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_29544),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40717  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_216 ),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40718  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_73522),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_339 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40721  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_46414),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_333 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40722  (
+	.A(n_36627),
+	.B(n_26742),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40725  (
+	.A(n_45817),
+	.Y(n_32040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40726  (
+	.A(n_74485),
+	.Y(n_32045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40729  (
+	.A(n_30939),
+	.Y(n_32042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40731  (
+	.A(n_68039),
+	.Y(n_32041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40732  (
+	.A(addinc_ADD_UNS_OP_2_n_65),
+	.Y(addinc_ADD_UNS_OP_2_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40772  (
+	.A(n_29516),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40773  (
+	.A(n_29513),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_227 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40774  (
+	.A(n_29511),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_226 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40777  (
+	.A(n_26767),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40778  (
+	.A(n_64929),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40780  (
+	.A(n_33473),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40782  (
+	.A(n_66460),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40785  (
+	.A(n_26772),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40787  (
+	.A(n_29787),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40789  (
+	.A(n_26768),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40790  (
+	.A(n_29557),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40876  (
+	.A(n_70559),
+	.Y(addinc_ADD_UNS_OP_2_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40881  (
+	.A(n_51899),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40885  (
+	.A(addinc_ADD_UNS_OP_2_n_60),
+	.Y(addinc_ADD_UNS_OP_2_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40888  (
+	.A(n_26723),
+	.Y(n_31969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40894  (
+	.A(n_48664),
+	.Y(n_32047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40937  (
+	.A(n_57662),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40939  (
+	.A(n_29515),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40940  (
+	.A(n_29512),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40941  (
+	.A(n_29544),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40944  (
+	.A(n_29546),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40945  (
+	.A(n_73522),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40946  (
+	.A(n_26771),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40947  (
+	.A(n_26766),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g40948  (
+	.A(n_29547),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41001  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2792 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1403 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41002  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1210 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1411 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1441 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41003  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ),
+	.B(n_33101),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41004  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2877 ),
+	.B(n_48559),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41006  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_482 ),
+	.A2(n_51429),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_640 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41009  (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41011  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_333 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41019  (
+	.A0(n_54454),
+	.A1(n_46400),
+	.S(n_73522),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41021  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_26772),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41028  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2824 ),
+	.B(n_69378),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2732 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41035  (
+	.A_N(n_43578),
+	.B(n_43588),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41052  (
+	.A(n_43124),
+	.B(n_49690),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41064  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2090 ),
+	.B(n_68769),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41070  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2042 ),
+	.B(n_33099),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41076  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1962 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41082  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2864 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41087  (
+	.A1(n_35690),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ),
+	.B1_N(n_34271),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2792 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41088  (
+	.A(n_48559),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2877 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41089  (
+	.A_N(n_74541),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1327 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41090  (
+	.A(n_35692),
+	.B_N(n_67666),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41091  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1230 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1231 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41095  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1187 ),
+	.B(n_71070),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41096  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2911 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41097  (
+	.A_N(n_38305),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41098  (
+	.A(n_71093),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1485 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41100  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_649 ),
+	.B(n_58554),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41101  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ),
+	.B(n_33146),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41102  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_768 ),
+	.B(n_70981),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41103  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_737 ),
+	.B(n_55797),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41104  (
+	.A_N(n_53361),
+	.B(n_43995),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41105  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_558 ),
+	.B(n_65239),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41106  (
+	.A(n_71088),
+	.B_N(n_33136),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41107  (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_506 ),
+	.B(n_55815),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41108  (
+	.A_N(n_53368),
+	.B(n_43995),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41110  (
+	.A_N(n_67059),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41111  (
+	.A_N(n_46414),
+	.B(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41119  (
+	.A0(n_52548),
+	.A1(n_44881),
+	.S(n_44883),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41127  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2042 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2781 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1962 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1984 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41135  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ),
+	.A2(n_69828),
+	.B1_N(n_69830),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41141  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3010 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2864 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1678 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41142  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1416 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41143  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1489 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1423 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41144  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2705 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1198 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41145  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2707 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1352 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2893 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41146  (
+	.A(n_46410),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.C(n_67680),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41148  (
+	.A1(n_46410),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1420 ),
+	.B1(n_67680),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41149  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2916 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2794 ),
+	.B1(n_74541),
+	.B2(n_35688),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41151  (
+	.A1_N(n_35685),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1442 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1367 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2919 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41153  (
+	.A1_N(n_66851),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1438 ),
+	.B1(n_36621),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1182 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41154  (
+	.A(n_74468),
+	.B(n_49200),
+	.C(n_67691),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41155  (
+	.A(n_35685),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2919 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1367 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2860 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41157  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ),
+	.B(n_46645),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2899 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41159  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1203 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1415 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1173 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_972 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2864 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41161  (
+	.A(n_67275),
+	.B(n_67666),
+	.C(n_35692),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41162  (
+	.A(n_67884),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2925 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41163  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_968 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2990 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41166  (
+	.A1(n_33135),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.B1(n_43245),
+	.B2(FE_DBTN59_n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41167  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2982 ),
+	.B1(n_48622),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41172  (
+	.A1(n_33142),
+	.A2(n_65239),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2807 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41173  (
+	.A1_N(n_43244),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_679 ),
+	.B1(n_33126),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41174  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_709 ),
+	.A2(n_51429),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_920 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2879 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41177  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2983 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2715 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2882 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41179  (
+	.A1(n_33134),
+	.A2(n_55866),
+	.B1(n_33117),
+	.B2(n_55797),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41180  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2945 ),
+	.A2(n_55862),
+	.B1(n_55815),
+	.B2(n_45450),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41181  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2943 ),
+	.A2(n_70981),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2810 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41183  (
+	.A1(n_67692),
+	.A2(n_55866),
+	.B1(n_55797),
+	.B2(n_26748),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41185  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2963 ),
+	.A2(n_55866),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2808 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41186  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2968 ),
+	.A2(n_65239),
+	.B1(n_70981),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41188  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2945 ),
+	.A2(n_55815),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1025 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41189  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2967 ),
+	.A2(n_36375),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_493 ),
+	.B2(n_73240),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41191  (
+	.A1(n_46417),
+	.A2(n_46400),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_921 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41193  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2949 ),
+	.B1(n_33136),
+	.B2(n_71086),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2898 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41194  (
+	.A1(n_67795),
+	.A2(n_55862),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2812 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41195  (
+	.A1(n_58554),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2981 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2982 ),
+	.B2(n_33146),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41197  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2944 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2970 ),
+	.B2(n_43245),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41200  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_753 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_481 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41204  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2972 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.B1(n_33126),
+	.B2(n_43245),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41206  (
+	.A1_N(n_36727),
+	.A2_N(n_70981),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_580 ),
+	.B2(n_45458),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41208  (
+	.A1_N(n_43244),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_456 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2965 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41211  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_496 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_898 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41214  (
+	.A1(n_33117),
+	.A2(n_55866),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2963 ),
+	.B2(n_55797),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41215  (
+	.A1(n_33118),
+	.A2(n_55862),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_608 ),
+	.B2(n_55815),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41216  (
+	.A1(n_36142),
+	.A2(n_43995),
+	.B1(n_53357),
+	.B2(n_48253),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41220  (
+	.A1(n_33123),
+	.A2(n_36375),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2964 ),
+	.B2(n_73240),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41221  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_596 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_889 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41223  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2968 ),
+	.A2(n_70981),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_489 ),
+	.B2(n_65239),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41225  (
+	.A1_N(n_67641),
+	.A2_N(n_46411),
+	.B1(n_46417),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_553 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41226  (
+	.A1(n_48253),
+	.A2(n_53369),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2813 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41232  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41233  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_212 ),
+	.B1_N(n_48618),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41234  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_53 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_354 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2939 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41235  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_220 ),
+	.A2(n_26742),
+	.B1(n_33473),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41236  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.A2(n_26742),
+	.B1(n_51647),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41237  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_357 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41238  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_220 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B1(n_33473),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41239  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.A2(FE_DBTN59_n_46648),
+	.B1(n_44282),
+	.B2(n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41240  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(n_45450),
+	.B1(n_29787),
+	.B2(n_45468),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41243  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_223 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_344 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41244  (
+	.A1(n_48016),
+	.A2(n_26768),
+	.B1(n_48019),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_211 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41247  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(n_45070),
+	.B1(n_29787),
+	.B2(n_55101),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41250  (
+	.A1(n_48016),
+	.A2(n_29547),
+	.B1(n_48019),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41252  (
+	.A1(n_48016),
+	.A2(n_29546),
+	.B1(n_48019),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_56 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41253  (
+	.A1(n_44549),
+	.A2(n_29516),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_228 ),
+	.B2(n_44548),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41258  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.A2(n_26748),
+	.B1(n_51647),
+	.B2(n_53331),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41259  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.A2(n_44548),
+	.B1(n_51647),
+	.B2(n_44549),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41260  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ),
+	.A2(FE_DBTN59_n_46648),
+	.B1(n_29557),
+	.B2(n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41261  (
+	.A1(n_48016),
+	.A2(n_26772),
+	.B1(n_48019),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41262  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(n_44548),
+	.B1(n_29787),
+	.B2(n_44549),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41263  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B1(n_29787),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41265  (
+	.A1(n_45072),
+	.A2(FE_DBTN59_n_46648),
+	.B1(n_45093),
+	.B2(n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41267  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.A2(FE_DBTN59_n_46648),
+	.B1(n_51647),
+	.B2(n_46648),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41268  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(n_45462),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41273  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_608 ),
+	.A2(n_55862),
+	.B1(n_55810),
+	.B2(n_55815),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41276  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_339 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41277  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_351 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41278  (
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_211 ),
+	.B1_N(n_53409),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41279  (
+	.A1(n_54454),
+	.A2(n_45443),
+	.B1(n_46400),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_212 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41280  (
+	.A1(n_48016),
+	.A2(n_26767),
+	.B1(n_48019),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_223 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41281  (
+	.A1(n_54454),
+	.A2(n_26771),
+	.B1(n_46400),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2986 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41285  (
+	.A1(n_33130),
+	.A2(n_73240),
+	.B1(n_33133),
+	.B2(n_36375),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41296  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3003 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1446 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41297  (
+	.A1(n_26742),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2886 ),
+	.B1(n_74203),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41299  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1421 ),
+	.B(n_43311),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1741 ),
+	.COUT(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3008 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcon_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g41300  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1184 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1183 ),
+	.CI(n_36152),
+	.COUT_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3009 ),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_fopt41412  (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1091 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
+	.Y(n_25827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1092 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_45),
+	.SUM(n_25826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1093 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_43),
+	.SUM(n_25825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1094 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_41),
+	.SUM(n_25824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1095 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_39),
+	.SUM(n_25823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1096 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_37),
+	.SUM(n_25822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1097 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_35),
+	.SUM(n_25821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1098 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_33),
+	.SUM(n_25820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1099 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_31),
+	.SUM(n_25819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1100 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_29),
+	.SUM(n_25818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1101 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_27),
+	.SUM(n_25817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1102 (
+	.A(n_25841),
+	.B(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4),
+	.CIN(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_25),
+	.SUM(n_25816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1103 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25831),
+	.CIN(n_25843),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_23),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1104 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25833),
+	.CIN(n_25845),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_21),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1105 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25830),
+	.CIN(n_25842),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_19),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1106 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25828),
+	.CIN(n_25840),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_17),
+	.SUM(n_25815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1107 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.B(n_25835),
+	.CIN(n_25847),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_15),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1108 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25832),
+	.CIN(n_25844),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_13),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1109 (
+	.A(n_25837),
+	.B(n_25849),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_11),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1110 (
+	.A(n_25834),
+	.B(n_25846),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_9),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1111 (
+	.A(n_25838),
+	.B(n_25850),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_7),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1112 (
+	.A(n_25836),
+	.B(n_25848),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_5),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1113 (
+	.A(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0),
+	.B(n_25829),
+	.COUT(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_3),
+	.SUM(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1114 (
+	.A1(n_25839),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.B1(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1),
+	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1115 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.B(n_25839),
+	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_g1116 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Div_start_dly_S_8434 ),
+	.Y(csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_1__i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0__active_lane_lane_instance_i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_add_3396_76_groupi_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g805  (
+	.A(n_25074),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_82 ),
+	.X(n_26810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g806  (
+	.A(n_25076),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_80 ),
+	.X(n_26802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g807  (
+	.A(n_33029),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_72 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_82 ),
+	.SUM(n_26809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g808  (
+	.A(n_33013),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_61 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_80 ),
+	.SUM(n_26801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g809  (
+	.A(n_33012),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_74 ),
+	.X(n_26822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g810  (
+	.A(n_25068),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_65 ),
+	.X(n_26820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g811  (
+	.A(n_26850),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_67 ),
+	.X(n_26818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g812  (
+	.A(n_26846),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_63 ),
+	.X(n_26814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g813  (
+	.A(n_26853),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_121 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_74 ),
+	.SUM(n_26821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g814  (
+	.A(n_33028),
+	.B(n_47809),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_72 ),
+	.SUM(n_26808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g815  (
+	.A(n_26848),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_58 ),
+	.X(n_26816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g816  (
+	.A(n_33037),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_56 ),
+	.X(n_26812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g817  (
+	.A(n_26838),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_54 ),
+	.X(n_26806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g818  (
+	.A(n_32994),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_42 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_67 ),
+	.SUM(n_26817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g819  (
+	.A(n_32998),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_65 ),
+	.SUM(n_26819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g820  (
+	.A(n_32986),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_41 ),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_63 ),
+	.SUM(n_26813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g821  (
+	.A(n_26832),
+	.B(n_66371),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_61 ),
+	.SUM(n_26800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g822  (
+	.A(n_33026),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_49 ),
+	.X(n_26804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g823  (
+	.A(n_32987),
+	.B(n_76732),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_58 ),
+	.SUM(n_26815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g824  (
+	.A(n_65605),
+	.B(n_66368),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_56 ),
+	.SUM(n_26811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g825  (
+	.A(n_26837),
+	.B(n_66370),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_54 ),
+	.SUM(n_26805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g826  (
+	.A(n_65200),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ),
+	.X(n_26798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g828  (
+	.A(n_74659),
+	.B(n_66369),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_49 ),
+	.SUM(n_26803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g830  (
+	.A(n_25079),
+	.B(n_76733),
+	.X(n_26796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g831  (
+	.A(n_26829),
+	.B(n_76735),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_45 ),
+	.SUM(n_26797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g833  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_11 ),
+	.B_N(n_76732),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g834  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_6 ),
+	.B_N(n_66368),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g835  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_122 ),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g837  (
+	.A(n_76727),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_31 ),
+	.X(n_26794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g842  (
+	.A(n_76728),
+	.B(n_76729),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_31 ),
+	.SUM(n_26793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g856  (
+	.A(n_25074),
+	.B(n_33027),
+	.C(n_33028),
+	.D(n_33029),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g861  (
+	.A(n_26848),
+	.B(n_32987),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g864  (
+	.A(n_32986),
+	.B(n_26846),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g865  (
+	.A(n_25079),
+	.B(n_26827),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g866  (
+	.A(n_65605),
+	.B(n_33037),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g874  (
+	.A_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_122 ),
+	.B(n_32998),
+	.C(n_25068),
+	.X(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g875  (
+	.A_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_11 ),
+	.B(n_76732),
+	.C(n_26850),
+	.D(n_32994),
+	.Y(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g2  (
+	.A(n_25083),
+	.B(n_65193),
+	.COUT(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_126 ),
+	.SUM(n_26791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1597 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.B(inc_ADD_UNS_OP10_n_133),
+	.X(n_27164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1598 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.B(inc_ADD_UNS_OP10_n_128),
+	.COUT(inc_ADD_UNS_OP10_n_133),
+	.SUM(n_27163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_ADD_UNS_OP10_g1599 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [63]),
+	.B(inc_ADD_UNS_OP10_n_131),
+	.Y(n_27165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_ADD_UNS_OP10_g1600 (
+	.A(inc_ADD_UNS_OP10_n_128),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [62]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [61]),
+	.Y(inc_ADD_UNS_OP10_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1601 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.B(inc_ADD_UNS_OP10_n_122),
+	.X(n_27161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1602 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.B(inc_ADD_UNS_OP10_n_124),
+	.X(n_27157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1603 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [60]),
+	.B(inc_ADD_UNS_OP10_n_114),
+	.COUT(inc_ADD_UNS_OP10_n_128),
+	.SUM(n_27162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1604 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.B(inc_ADD_UNS_OP10_n_119),
+	.X(n_27153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1605 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.B(inc_ADD_UNS_OP10_n_111),
+	.COUT(inc_ADD_UNS_OP10_n_124),
+	.SUM(n_27156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1606 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.B(inc_ADD_UNS_OP10_n_110),
+	.COUT(inc_ADD_UNS_OP10_n_122),
+	.SUM(n_27160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1607 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.B(inc_ADD_UNS_OP10_n_115),
+	.X(n_27149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1608 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.B(inc_ADD_UNS_OP10_n_101),
+	.COUT(inc_ADD_UNS_OP10_n_119),
+	.SUM(n_27152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1609 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.B(inc_ADD_UNS_OP10_n_107),
+	.X(n_27145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1610 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.B(inc_ADD_UNS_OP10_n_105),
+	.X(n_27141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1611 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.B(inc_ADD_UNS_OP10_n_99),
+	.COUT(inc_ADD_UNS_OP10_n_115),
+	.SUM(n_27148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1612 (
+	.A(inc_ADD_UNS_OP10_n_110),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [58]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [59]),
+	.X(inc_ADD_UNS_OP10_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1613 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.B(inc_ADD_UNS_OP10_n_103),
+	.X(n_27137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1614 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.B(inc_ADD_UNS_OP10_n_91),
+	.COUT(inc_ADD_UNS_OP10_n_111),
+	.SUM(n_27155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1615 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [57]),
+	.B(inc_ADD_UNS_OP10_n_97),
+	.COUT(inc_ADD_UNS_OP10_n_110),
+	.SUM(n_27159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1616 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.B(inc_ADD_UNS_OP10_n_95),
+	.COUT(inc_ADD_UNS_OP10_n_107),
+	.SUM(n_27144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1617 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.B(inc_ADD_UNS_OP10_n_93),
+	.COUT(inc_ADD_UNS_OP10_n_105),
+	.SUM(n_27140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1618 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.B(inc_ADD_UNS_OP10_n_87),
+	.COUT(inc_ADD_UNS_OP10_n_103),
+	.SUM(n_27136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1619 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.B(inc_ADD_UNS_OP10_n_89),
+	.COUT(inc_ADD_UNS_OP10_n_101),
+	.SUM(n_27151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1620 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.B(inc_ADD_UNS_OP10_n_85),
+	.COUT(inc_ADD_UNS_OP10_n_99),
+	.SUM(n_27147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1621 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [56]),
+	.B(inc_ADD_UNS_OP10_n_79),
+	.COUT(inc_ADD_UNS_OP10_n_97),
+	.SUM(n_27158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1622 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.B(inc_ADD_UNS_OP10_n_83),
+	.COUT(inc_ADD_UNS_OP10_n_95),
+	.SUM(n_27143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1623 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.B(inc_ADD_UNS_OP10_n_81),
+	.COUT(inc_ADD_UNS_OP10_n_93),
+	.SUM(n_27139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1624 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.B(inc_ADD_UNS_OP10_n_80),
+	.COUT(inc_ADD_UNS_OP10_n_91),
+	.SUM(n_27154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1625 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B(inc_ADD_UNS_OP10_n_75),
+	.COUT(inc_ADD_UNS_OP10_n_89),
+	.SUM(n_27150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1626 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.B(inc_ADD_UNS_OP10_n_76),
+	.COUT(inc_ADD_UNS_OP10_n_87),
+	.SUM(n_27135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1627 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.B(inc_ADD_UNS_OP10_n_72),
+	.COUT(inc_ADD_UNS_OP10_n_85),
+	.SUM(n_27146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1628 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.B(inc_ADD_UNS_OP10_n_70),
+	.COUT(inc_ADD_UNS_OP10_n_83),
+	.SUM(n_27142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1629 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.B(inc_ADD_UNS_OP10_n_71),
+	.COUT(inc_ADD_UNS_OP10_n_81),
+	.SUM(n_27138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1630 (
+	.A(inc_ADD_UNS_OP10_n_5),
+	.B_N(inc_ADD_UNS_OP10_n_75),
+	.Y(inc_ADD_UNS_OP10_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1631 (
+	.A(inc_ADD_UNS_OP10_n_75),
+	.B(inc_ADD_UNS_OP10_n_10),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [52]),
+	.X(inc_ADD_UNS_OP10_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1632 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.B(inc_ADD_UNS_OP10_n_73),
+	.X(n_27133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1633 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.B(inc_ADD_UNS_OP10_n_69),
+	.COUT(inc_ADD_UNS_OP10_n_76),
+	.SUM(n_27134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1634 (
+	.A(inc_ADD_UNS_OP10_n_1),
+	.B_N(inc_ADD_UNS_OP10_n_72),
+	.Y(inc_ADD_UNS_OP10_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1635 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.B(inc_ADD_UNS_OP10_n_67),
+	.COUT(inc_ADD_UNS_OP10_n_73),
+	.SUM(n_27132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1636 (
+	.A(inc_ADD_UNS_OP10_n_70),
+	.B(inc_ADD_UNS_OP10_n_3),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [41]),
+	.X(inc_ADD_UNS_OP10_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1637 (
+	.A(inc_ADD_UNS_OP10_n_4),
+	.B_N(inc_ADD_UNS_OP10_n_69),
+	.Y(inc_ADD_UNS_OP10_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1638 (
+	.A(inc_ADD_UNS_OP10_n_69),
+	.B(inc_ADD_UNS_OP10_n_9),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [36]),
+	.X(inc_ADD_UNS_OP10_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1639 (
+	.A(inc_ADD_UNS_OP10_n_67),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [30]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [31]),
+	.X(inc_ADD_UNS_OP10_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1640 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.B(inc_ADD_UNS_OP10_n_63),
+	.X(n_27129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1641 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [29]),
+	.B(inc_ADD_UNS_OP10_n_59),
+	.COUT(inc_ADD_UNS_OP10_n_67),
+	.SUM(n_27131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1642 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.B(inc_ADD_UNS_OP10_n_61),
+	.X(n_27121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1643 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.B(inc_ADD_UNS_OP10_n_57),
+	.COUT(inc_ADD_UNS_OP10_n_63),
+	.SUM(n_27128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1644 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.B(inc_ADD_UNS_OP10_n_55),
+	.COUT(inc_ADD_UNS_OP10_n_61),
+	.SUM(n_27120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1645 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [28]),
+	.B(inc_ADD_UNS_OP10_n_52),
+	.COUT(inc_ADD_UNS_OP10_n_59),
+	.SUM(n_27130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1646 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.B(inc_ADD_UNS_OP10_n_49),
+	.COUT(inc_ADD_UNS_OP10_n_57),
+	.SUM(n_27127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1647 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.B(inc_ADD_UNS_OP10_n_43),
+	.COUT(inc_ADD_UNS_OP10_n_55),
+	.SUM(n_27119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1648 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.B(inc_ADD_UNS_OP10_n_46),
+	.X(n_27125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1649 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.B(inc_ADD_UNS_OP10_n_50),
+	.X(n_27123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 inc_ADD_UNS_OP10_g1650 (
+	.A(inc_ADD_UNS_OP10_n_49),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [25]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [27]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [26]),
+	.X(inc_ADD_UNS_OP10_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1651 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.B(inc_ADD_UNS_OP10_n_37),
+	.COUT(inc_ADD_UNS_OP10_n_50),
+	.SUM(n_27122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1652 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [24]),
+	.B(inc_ADD_UNS_OP10_n_35),
+	.COUT(inc_ADD_UNS_OP10_n_49),
+	.SUM(n_27126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1653 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.B(inc_ADD_UNS_OP10_n_36),
+	.COUT(inc_ADD_UNS_OP10_n_46),
+	.SUM(n_27124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1654 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.B(inc_ADD_UNS_OP10_n_40),
+	.X(n_27117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1655 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.B(inc_ADD_UNS_OP10_n_34),
+	.COUT(inc_ADD_UNS_OP10_n_43),
+	.SUM(n_27118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1656 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.B(inc_ADD_UNS_OP10_n_38),
+	.X(n_27113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1657 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.B(inc_ADD_UNS_OP10_n_33),
+	.COUT(inc_ADD_UNS_OP10_n_40),
+	.SUM(n_27116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1658 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.B(inc_ADD_UNS_OP10_n_30),
+	.COUT(inc_ADD_UNS_OP10_n_38),
+	.SUM(n_27112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1659 (
+	.A(inc_ADD_UNS_OP10_n_2),
+	.B_N(inc_ADD_UNS_OP10_n_34),
+	.Y(inc_ADD_UNS_OP10_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1660 (
+	.A(inc_ADD_UNS_OP10_n_6),
+	.B_N(inc_ADD_UNS_OP10_n_34),
+	.Y(inc_ADD_UNS_OP10_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1661 (
+	.A_N(inc_ADD_UNS_OP10_n_6),
+	.B(inc_ADD_UNS_OP10_n_34),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [23]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [22]),
+	.X(inc_ADD_UNS_OP10_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1662 (
+	.A(inc_ADD_UNS_OP10_n_33),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [14]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [15]),
+	.X(inc_ADD_UNS_OP10_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1663 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [13]),
+	.B(inc_ADD_UNS_OP10_n_28),
+	.COUT(inc_ADD_UNS_OP10_n_33),
+	.SUM(n_27115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1664 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.B(inc_ADD_UNS_OP10_n_25),
+	.COUT(inc_ADD_UNS_OP10_n_30),
+	.SUM(n_27111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1665 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [12]),
+	.B(inc_ADD_UNS_OP10_n_22),
+	.COUT(inc_ADD_UNS_OP10_n_28),
+	.SUM(n_27114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1666 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.B(inc_ADD_UNS_OP10_n_23),
+	.X(n_27109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1667 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.B(inc_ADD_UNS_OP10_n_21),
+	.COUT(inc_ADD_UNS_OP10_n_25),
+	.SUM(n_27110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1668 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.B(inc_ADD_UNS_OP10_n_20),
+	.COUT(inc_ADD_UNS_OP10_n_23),
+	.SUM(n_27108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP10_g1669 (
+	.A(inc_ADD_UNS_OP10_n_0),
+	.B_N(inc_ADD_UNS_OP10_n_21),
+	.Y(inc_ADD_UNS_OP10_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1670 (
+	.A(inc_ADD_UNS_OP10_n_20),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [6]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [7]),
+	.X(inc_ADD_UNS_OP10_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1671 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [5]),
+	.B(inc_ADD_UNS_OP10_n_16),
+	.COUT(inc_ADD_UNS_OP10_n_20),
+	.SUM(n_27107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP10_g1672 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.B(inc_ADD_UNS_OP10_n_14),
+	.X(n_27105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1673 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [4]),
+	.B(inc_ADD_UNS_OP10_n_13),
+	.COUT(inc_ADD_UNS_OP10_n_16),
+	.SUM(n_27106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1674 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.B(inc_ADD_UNS_OP10_n_12),
+	.COUT(inc_ADD_UNS_OP10_n_14),
+	.SUM(n_27104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1675 (
+	.A(inc_ADD_UNS_OP10_n_12),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [2]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [3]),
+	.X(inc_ADD_UNS_OP10_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1676 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [1]),
+	.B(inc_ADD_UNS_OP10_n_7),
+	.COUT(inc_ADD_UNS_OP10_n_12),
+	.SUM(n_27103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1677 (
+	.A_N(inc_ADD_UNS_OP10_n_5),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [53]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [54]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [55]),
+	.X(inc_ADD_UNS_OP10_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP10_g1678 (
+	.A_N(inc_ADD_UNS_OP10_n_4),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [37]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [38]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [39]),
+	.X(inc_ADD_UNS_OP10_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP10_g1679 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [0]),
+	.B(n_27166),
+	.COUT(inc_ADD_UNS_OP10_n_7),
+	.SUM(n_27102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 inc_ADD_UNS_OP10_g1680 (
+	.A_N(inc_ADD_UNS_OP10_n_2),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [21]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [20]),
+	.Y(inc_ADD_UNS_OP10_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1681 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [48]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [49]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [50]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [51]),
+	.Y(inc_ADD_UNS_OP10_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1682 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [33]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [32]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [34]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [35]),
+	.Y(inc_ADD_UNS_OP10_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP10_g1683 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [40]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [42]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [43]),
+	.X(inc_ADD_UNS_OP10_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1684 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [18]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [19]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [16]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [17]),
+	.Y(inc_ADD_UNS_OP10_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1685 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [46]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [47]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [44]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [45]),
+	.Y(inc_ADD_UNS_OP10_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP10_g1686 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [10]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [11]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [8]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[2] [9]),
+	.Y(inc_ADD_UNS_OP10_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1597 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.B(inc_ADD_UNS_OP12256_n_133),
+	.X(n_27229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1598 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.B(inc_ADD_UNS_OP12256_n_128),
+	.COUT(inc_ADD_UNS_OP12256_n_133),
+	.SUM(n_27228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_ADD_UNS_OP12256_g1599 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [63]),
+	.B(inc_ADD_UNS_OP12256_n_131),
+	.Y(n_27230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_ADD_UNS_OP12256_g1600 (
+	.A(inc_ADD_UNS_OP12256_n_128),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [62]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [61]),
+	.Y(inc_ADD_UNS_OP12256_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1601 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.B(inc_ADD_UNS_OP12256_n_122),
+	.X(n_27226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1602 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.B(inc_ADD_UNS_OP12256_n_124),
+	.X(n_27222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1603 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [60]),
+	.B(inc_ADD_UNS_OP12256_n_114),
+	.COUT(inc_ADD_UNS_OP12256_n_128),
+	.SUM(n_27227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1604 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.B(inc_ADD_UNS_OP12256_n_119),
+	.X(n_27218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1605 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.B(inc_ADD_UNS_OP12256_n_111),
+	.COUT(inc_ADD_UNS_OP12256_n_124),
+	.SUM(n_27221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1606 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.B(inc_ADD_UNS_OP12256_n_110),
+	.COUT(inc_ADD_UNS_OP12256_n_122),
+	.SUM(n_27225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1607 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.B(inc_ADD_UNS_OP12256_n_115),
+	.X(n_27214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1608 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.B(inc_ADD_UNS_OP12256_n_101),
+	.COUT(inc_ADD_UNS_OP12256_n_119),
+	.SUM(n_27217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1609 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.B(inc_ADD_UNS_OP12256_n_107),
+	.X(n_27210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1610 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.B(inc_ADD_UNS_OP12256_n_105),
+	.X(n_27206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1611 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B(inc_ADD_UNS_OP12256_n_99),
+	.COUT(inc_ADD_UNS_OP12256_n_115),
+	.SUM(n_27213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1612 (
+	.A(inc_ADD_UNS_OP12256_n_110),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [58]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [59]),
+	.X(inc_ADD_UNS_OP12256_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1613 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.B(inc_ADD_UNS_OP12256_n_103),
+	.X(n_27202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1614 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.B(inc_ADD_UNS_OP12256_n_91),
+	.COUT(inc_ADD_UNS_OP12256_n_111),
+	.SUM(n_27220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1615 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [57]),
+	.B(inc_ADD_UNS_OP12256_n_97),
+	.COUT(inc_ADD_UNS_OP12256_n_110),
+	.SUM(n_27224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1616 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.B(inc_ADD_UNS_OP12256_n_95),
+	.COUT(inc_ADD_UNS_OP12256_n_107),
+	.SUM(n_27209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1617 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.B(inc_ADD_UNS_OP12256_n_93),
+	.COUT(inc_ADD_UNS_OP12256_n_105),
+	.SUM(n_27205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1618 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.B(inc_ADD_UNS_OP12256_n_87),
+	.COUT(inc_ADD_UNS_OP12256_n_103),
+	.SUM(n_27201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1619 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.B(inc_ADD_UNS_OP12256_n_89),
+	.COUT(inc_ADD_UNS_OP12256_n_101),
+	.SUM(n_27216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1620 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.B(inc_ADD_UNS_OP12256_n_85),
+	.COUT(inc_ADD_UNS_OP12256_n_99),
+	.SUM(n_27212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1621 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [56]),
+	.B(inc_ADD_UNS_OP12256_n_79),
+	.COUT(inc_ADD_UNS_OP12256_n_97),
+	.SUM(n_27223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1622 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.B(inc_ADD_UNS_OP12256_n_83),
+	.COUT(inc_ADD_UNS_OP12256_n_95),
+	.SUM(n_27208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1623 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.B(inc_ADD_UNS_OP12256_n_81),
+	.COUT(inc_ADD_UNS_OP12256_n_93),
+	.SUM(n_27204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1624 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.B(inc_ADD_UNS_OP12256_n_80),
+	.COUT(inc_ADD_UNS_OP12256_n_91),
+	.SUM(n_27219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1625 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B(inc_ADD_UNS_OP12256_n_75),
+	.COUT(inc_ADD_UNS_OP12256_n_89),
+	.SUM(n_27215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1626 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B(inc_ADD_UNS_OP12256_n_76),
+	.COUT(inc_ADD_UNS_OP12256_n_87),
+	.SUM(n_27200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1627 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.B(inc_ADD_UNS_OP12256_n_72),
+	.COUT(inc_ADD_UNS_OP12256_n_85),
+	.SUM(n_27211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1628 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B(inc_ADD_UNS_OP12256_n_70),
+	.COUT(inc_ADD_UNS_OP12256_n_83),
+	.SUM(n_27207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1629 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.B(inc_ADD_UNS_OP12256_n_71),
+	.COUT(inc_ADD_UNS_OP12256_n_81),
+	.SUM(n_27203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1630 (
+	.A(inc_ADD_UNS_OP12256_n_5),
+	.B_N(inc_ADD_UNS_OP12256_n_75),
+	.Y(inc_ADD_UNS_OP12256_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1631 (
+	.A(inc_ADD_UNS_OP12256_n_75),
+	.B(inc_ADD_UNS_OP12256_n_10),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [52]),
+	.X(inc_ADD_UNS_OP12256_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1632 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.B(inc_ADD_UNS_OP12256_n_73),
+	.X(n_27198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1633 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.B(inc_ADD_UNS_OP12256_n_69),
+	.COUT(inc_ADD_UNS_OP12256_n_76),
+	.SUM(n_27199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1634 (
+	.A(inc_ADD_UNS_OP12256_n_1),
+	.B_N(inc_ADD_UNS_OP12256_n_72),
+	.Y(inc_ADD_UNS_OP12256_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1635 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.B(inc_ADD_UNS_OP12256_n_67),
+	.COUT(inc_ADD_UNS_OP12256_n_73),
+	.SUM(n_27197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1636 (
+	.A(inc_ADD_UNS_OP12256_n_70),
+	.B(inc_ADD_UNS_OP12256_n_3),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [41]),
+	.X(inc_ADD_UNS_OP12256_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1637 (
+	.A(inc_ADD_UNS_OP12256_n_4),
+	.B_N(inc_ADD_UNS_OP12256_n_69),
+	.Y(inc_ADD_UNS_OP12256_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1638 (
+	.A(inc_ADD_UNS_OP12256_n_69),
+	.B(inc_ADD_UNS_OP12256_n_9),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [36]),
+	.X(inc_ADD_UNS_OP12256_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1639 (
+	.A(inc_ADD_UNS_OP12256_n_67),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [30]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [31]),
+	.X(inc_ADD_UNS_OP12256_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1640 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.B(inc_ADD_UNS_OP12256_n_63),
+	.X(n_27194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1641 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [29]),
+	.B(inc_ADD_UNS_OP12256_n_59),
+	.COUT(inc_ADD_UNS_OP12256_n_67),
+	.SUM(n_27196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1642 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.B(inc_ADD_UNS_OP12256_n_61),
+	.X(n_27186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1643 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.B(inc_ADD_UNS_OP12256_n_57),
+	.COUT(inc_ADD_UNS_OP12256_n_63),
+	.SUM(n_27193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1644 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B(inc_ADD_UNS_OP12256_n_55),
+	.COUT(inc_ADD_UNS_OP12256_n_61),
+	.SUM(n_27185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1645 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [28]),
+	.B(inc_ADD_UNS_OP12256_n_52),
+	.COUT(inc_ADD_UNS_OP12256_n_59),
+	.SUM(n_27195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1646 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.B(inc_ADD_UNS_OP12256_n_49),
+	.COUT(inc_ADD_UNS_OP12256_n_57),
+	.SUM(n_27192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1647 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.B(inc_ADD_UNS_OP12256_n_43),
+	.COUT(inc_ADD_UNS_OP12256_n_55),
+	.SUM(n_27184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1648 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.B(inc_ADD_UNS_OP12256_n_46),
+	.X(n_27190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1649 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.B(inc_ADD_UNS_OP12256_n_50),
+	.X(n_27188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 inc_ADD_UNS_OP12256_g1650 (
+	.A(inc_ADD_UNS_OP12256_n_49),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [25]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [27]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [26]),
+	.X(inc_ADD_UNS_OP12256_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1651 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.B(inc_ADD_UNS_OP12256_n_37),
+	.COUT(inc_ADD_UNS_OP12256_n_50),
+	.SUM(n_27187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1652 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [24]),
+	.B(inc_ADD_UNS_OP12256_n_35),
+	.COUT(inc_ADD_UNS_OP12256_n_49),
+	.SUM(n_27191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1653 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.B(inc_ADD_UNS_OP12256_n_36),
+	.COUT(inc_ADD_UNS_OP12256_n_46),
+	.SUM(n_27189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1654 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.B(inc_ADD_UNS_OP12256_n_40),
+	.X(n_27182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1655 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.B(inc_ADD_UNS_OP12256_n_34),
+	.COUT(inc_ADD_UNS_OP12256_n_43),
+	.SUM(n_27183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1656 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.B(inc_ADD_UNS_OP12256_n_38),
+	.X(n_27178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1657 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.B(inc_ADD_UNS_OP12256_n_33),
+	.COUT(inc_ADD_UNS_OP12256_n_40),
+	.SUM(n_27181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1658 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B(inc_ADD_UNS_OP12256_n_30),
+	.COUT(inc_ADD_UNS_OP12256_n_38),
+	.SUM(n_27177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1659 (
+	.A(inc_ADD_UNS_OP12256_n_2),
+	.B_N(inc_ADD_UNS_OP12256_n_34),
+	.Y(inc_ADD_UNS_OP12256_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1660 (
+	.A(inc_ADD_UNS_OP12256_n_6),
+	.B_N(inc_ADD_UNS_OP12256_n_34),
+	.Y(inc_ADD_UNS_OP12256_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP12256_g1661 (
+	.A_N(inc_ADD_UNS_OP12256_n_6),
+	.B(inc_ADD_UNS_OP12256_n_34),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [23]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [22]),
+	.X(inc_ADD_UNS_OP12256_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1662 (
+	.A(inc_ADD_UNS_OP12256_n_33),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [14]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [15]),
+	.X(inc_ADD_UNS_OP12256_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1663 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [13]),
+	.B(inc_ADD_UNS_OP12256_n_28),
+	.COUT(inc_ADD_UNS_OP12256_n_33),
+	.SUM(n_27180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1664 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.B(inc_ADD_UNS_OP12256_n_25),
+	.COUT(inc_ADD_UNS_OP12256_n_30),
+	.SUM(n_27176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1665 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [12]),
+	.B(inc_ADD_UNS_OP12256_n_22),
+	.COUT(inc_ADD_UNS_OP12256_n_28),
+	.SUM(n_27179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1666 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.B(inc_ADD_UNS_OP12256_n_23),
+	.X(n_27174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1667 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.B(inc_ADD_UNS_OP12256_n_21),
+	.COUT(inc_ADD_UNS_OP12256_n_25),
+	.SUM(n_27175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1668 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.B(inc_ADD_UNS_OP12256_n_20),
+	.COUT(inc_ADD_UNS_OP12256_n_23),
+	.SUM(n_27173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_ADD_UNS_OP12256_g1669 (
+	.A(inc_ADD_UNS_OP12256_n_0),
+	.B_N(inc_ADD_UNS_OP12256_n_21),
+	.Y(inc_ADD_UNS_OP12256_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1670 (
+	.A(inc_ADD_UNS_OP12256_n_20),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [6]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [7]),
+	.X(inc_ADD_UNS_OP12256_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1671 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [5]),
+	.B(inc_ADD_UNS_OP12256_n_16),
+	.COUT(inc_ADD_UNS_OP12256_n_20),
+	.SUM(n_27172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_ADD_UNS_OP12256_g1672 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.B(inc_ADD_UNS_OP12256_n_14),
+	.X(n_27170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1673 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [4]),
+	.B(inc_ADD_UNS_OP12256_n_13),
+	.COUT(inc_ADD_UNS_OP12256_n_16),
+	.SUM(n_27171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1674 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.B(inc_ADD_UNS_OP12256_n_12),
+	.COUT(inc_ADD_UNS_OP12256_n_14),
+	.SUM(n_27169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1675 (
+	.A(inc_ADD_UNS_OP12256_n_12),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [2]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [3]),
+	.X(inc_ADD_UNS_OP12256_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1676 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [1]),
+	.B(inc_ADD_UNS_OP12256_n_7),
+	.COUT(inc_ADD_UNS_OP12256_n_12),
+	.SUM(n_27168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP12256_g1677 (
+	.A_N(inc_ADD_UNS_OP12256_n_5),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [53]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [54]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [55]),
+	.X(inc_ADD_UNS_OP12256_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_ADD_UNS_OP12256_g1678 (
+	.A_N(inc_ADD_UNS_OP12256_n_4),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [37]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [38]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [39]),
+	.X(inc_ADD_UNS_OP12256_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_ADD_UNS_OP12256_g1679 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [0]),
+	.B(n_27231),
+	.COUT(inc_ADD_UNS_OP12256_n_7),
+	.SUM(n_27167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 inc_ADD_UNS_OP12256_g1680 (
+	.A_N(inc_ADD_UNS_OP12256_n_2),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [21]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [20]),
+	.Y(inc_ADD_UNS_OP12256_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP12256_g1681 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [48]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [49]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [50]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [51]),
+	.Y(inc_ADD_UNS_OP12256_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP12256_g1682 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [33]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [32]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [34]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [35]),
+	.Y(inc_ADD_UNS_OP12256_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_ADD_UNS_OP12256_g1683 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [40]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [42]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [43]),
+	.X(inc_ADD_UNS_OP12256_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP12256_g1684 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [18]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [19]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [16]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [17]),
+	.Y(inc_ADD_UNS_OP12256_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP12256_g1685 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [46]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [47]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [44]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [45]),
+	.Y(inc_ADD_UNS_OP12256_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 inc_ADD_UNS_OP12256_g1686 (
+	.A(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [10]),
+	.B(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [11]),
+	.C(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [8]),
+	.D(\soc_top_u_top_u_core_cs_registers_i_mhpmcounter[0] [9]),
+	.Y(inc_ADD_UNS_OP12256_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g449 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_28),
+	.X(n_25596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g450 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_24),
+	.X(n_25604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g451 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
+	.X(n_25602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g452 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_22),
+	.X(n_25600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g453 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_15),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_28),
+	.SUM(n_27516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g454 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[16]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_17),
+	.Y(n_25605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g455 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
+	.X(n_25598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g456 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_12),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_24),
+	.SUM(n_25603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g457 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_14),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_22),
+	.SUM(n_25599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g458 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_13),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_20),
+	.SUM(n_25601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g459 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_18),
+	.SUM(n_25597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g460 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_12),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[15]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[14]),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g461 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_9),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_15),
+	.SUM(n_27515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g462 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
+	.B_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g463 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_1),
+	.B_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g464 (
+	.A_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_1),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_11),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[12]),
+	.D(soc_top_main_swith_host_lsu_num_req_outstanding[13]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g465 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_7),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[5]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g466 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[4]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_9),
+	.SUM(n_27514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g467 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_5),
+	.X(n_27513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g468 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_4),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[6]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[7]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g469 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.B(inc_soc_top_main_swith_host_lsu_add_105_52_n_3),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_5),
+	.SUM(n_27512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 inc_soc_top_main_swith_host_lsu_add_105_52_g470 (
+	.A(inc_soc_top_main_swith_host_lsu_add_105_52_n_3),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[2]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[3]),
+	.X(inc_soc_top_main_swith_host_lsu_add_105_52_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 inc_soc_top_main_swith_host_lsu_add_105_52_g471 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[0]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[1]),
+	.COUT(inc_soc_top_main_swith_host_lsu_add_105_52_n_3),
+	.SUM(n_27511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 inc_soc_top_main_swith_host_lsu_add_105_52_g472 (
+	.A_N(inc_soc_top_main_swith_host_lsu_add_105_52_n_0),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[11]),
+	.C(soc_top_main_swith_host_lsu_num_req_outstanding[10]),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 inc_soc_top_main_swith_host_lsu_add_105_52_g473 (
+	.A(soc_top_main_swith_host_lsu_num_req_outstanding[9]),
+	.B(soc_top_main_swith_host_lsu_num_req_outstanding[8]),
+	.Y(inc_soc_top_main_swith_host_lsu_add_105_52_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3328  (
+	.A(n_70056),
+	.B(n_34542),
+	.Y(n_26402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3329  (
+	.A(n_70177),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_194 ),
+	.Y(n_26400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3330  (
+	.A(n_70064),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_153 ),
+	.Y(n_26394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3334  (
+	.A(n_70066),
+	.B(n_34480),
+	.Y(n_26406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3335  (
+	.A(n_70082),
+	.B(n_34588),
+	.Y(n_26404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3337  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_274 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3338  (
+	.A(n_49321),
+	.B(n_34529),
+	.Y(n_26407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3345  (
+	.A(FE_DBTN100_n_70076),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_145 ),
+	.Y(n_26390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3346  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_195 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3347  (
+	.A(n_34584),
+	.B(n_70069),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3348  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_175 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_45 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3351  (
+	.A(n_38255),
+	.B(n_73777),
+	.C(n_38254),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3352  (
+	.A(n_35618),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_187 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3353  (
+	.A(n_70073),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_155 ),
+	.Y(n_26398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3356  (
+	.A(n_70072),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ),
+	.Y(n_26397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3359  (
+	.A(n_73632),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3362  (
+	.A(n_35618),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_165 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3370  (
+	.A(n_34584),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3371  (
+	.A(n_70062),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ),
+	.Y(n_26391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3373  (
+	.A(n_73610),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_133 ),
+	.Y(n_26392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3381  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_161 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3384  (
+	.A(n_72915),
+	.B(n_70073),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3385  (
+	.A(n_35618),
+	.B(n_72905),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3386  (
+	.A(n_35618),
+	.B(n_72911),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3390  (
+	.A(n_70075),
+	.B(n_65176),
+	.Y(n_26386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3391  (
+	.A(n_35618),
+	.B(n_72915),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3393  (
+	.A(n_35618),
+	.B(n_73669),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3397  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_35 ),
+	.B(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3399  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_97 ),
+	.B(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3400  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_27 ),
+	.B(n_74798),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3402  (
+	.A(n_72834),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_84 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3406  (
+	.A(n_65715),
+	.B(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3407  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_114 ),
+	.B(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3408  (
+	.A(n_35472),
+	.B(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3412  (
+	.A(n_72834),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_263 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3413  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_132 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_124 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3417  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_87 ),
+	.B(n_54535),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3422  (
+	.A(n_69587),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3427  (
+	.A(n_54543),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3429  (
+	.A(n_39048),
+	.B(n_41548),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3430  (
+	.A(n_49319),
+	.B(n_41548),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3431  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_96 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_269 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3438  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3440  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_329 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_319 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3445  (
+	.A(n_49320),
+	.B(n_49321),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3448  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_96 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3453  (
+	.A(n_62300),
+	.B(n_65175),
+	.Y(n_26385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3456  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_68 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3458  (
+	.A(n_34146),
+	.B(n_65718),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3460  (
+	.A(n_73670),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3461  (
+	.A(n_70101),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3462  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_71 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3465  (
+	.A(n_34146),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3473  (
+	.A(n_70076),
+	.B(n_70080),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3478  (
+	.A(n_70178),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3492  (
+	.A(n_70064),
+	.B(n_73669),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3501  (
+	.A(n_70069),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3511  (
+	.A(n_70067),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3519  (
+	.A(n_70080),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3524  (
+	.A(n_70059),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3533  (
+	.A(n_70065),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3547  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_84 ),
+	.B(n_70062),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3553  (
+	.A(n_70069),
+	.B(n_70058),
+	.X(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g3558  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_140 ),
+	.B(n_74794),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_fopt3593  (
+	.A(n_70081),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_329 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3516  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_252 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_255 ),
+	.Y(n_26864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3518  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_340 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_4 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3519  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_228 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_193 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3520  (
+	.A(n_30524),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_191 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [30]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3521  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_239 ),
+	.B(n_26929),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_252 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3525  (
+	.A(n_26925),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ),
+	.Y(n_26860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3527  (
+	.A(n_67126),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_192 ),
+	.Y(n_26876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3528  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_234 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_235 ),
+	.Y(n_26874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3533  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_230 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_229 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3534  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_340 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_239 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3538  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_200 ),
+	.B(n_67128),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3539  (
+	.A(n_67128),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_200 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3543  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ),
+	.B(n_26944),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3544  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_43 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3545  (
+	.A1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_420 ),
+	.A2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ),
+	.B1(n_30525),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3548  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_209 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_341 ),
+	.Y(n_26868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3549  (
+	.A(n_67136),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ),
+	.Y(n_26866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3550  (
+	.A(n_26930),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ),
+	.Y(n_26865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3551  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_211 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_342 ),
+	.Y(n_26870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3552  (
+	.A(n_67133),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ),
+	.Y(n_26869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3553  (
+	.A(n_26932),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ),
+	.Y(n_26867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3555  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_164 ),
+	.B(n_26937),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3558  (
+	.A(n_26936),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3559  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_167 ),
+	.B(n_67132),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_211 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3561  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_169 ),
+	.B(n_26933),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3565  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3567  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ),
+	.B(n_66230),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3568  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3569  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_320 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ),
+	.Y(n_26861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3572  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_291 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3573  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_175 ),
+	.B(n_26879),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3574  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_181 ),
+	.B(n_26879),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3576  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3577  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_173 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3578  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_343 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3579  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3580  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3581  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_174 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3582  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_159 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3589  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3590  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_88 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3595  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3596  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3597  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_136 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3598  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_121 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3600  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_147 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3601  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_149 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_100 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3602  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ),
+	.B(n_26879),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3604  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3605  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3607  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_332 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3608  (
+	.A(n_26921),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_58 ),
+	.Y(n_26856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3610  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_418 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3611  (
+	.A(n_74241),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3613  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_148 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3614  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_129 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3615  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_149 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3616  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3617  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_149 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_295 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3618  (
+	.A(n_67326),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3619  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ),
+	.C(n_26936),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3622  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3623  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.B(n_26944),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3624  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_71 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_126 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3625  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3626  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_57 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_124 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3628  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3629  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_143 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3631  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3634  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_134 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3635  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ),
+	.B(n_26924),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3636  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3638  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_118 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3639  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_73 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_440 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3640  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_100 ),
+	.B(n_26932),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3641  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_77 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3644  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ),
+	.B(n_67127),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3646  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3647  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_124 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3648  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_121 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3651  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3653  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_105 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3654  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_106 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3655  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_98 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3658  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3662  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_57 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3666  (
+	.A(n_26879),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_90 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3667  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_105 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3670  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3671  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_79 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3673  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_85 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3674  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ),
+	.B(n_66233),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3675  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_90 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_94 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3677  (
+	.A(n_66236),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3678  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_62 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_88 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3679  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3681  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_82 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3683  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_78 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3687  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_77 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3688  (
+	.A(n_67121),
+	.B(n_67144),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3690  (
+	.A(n_67133),
+	.B(n_67132),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3693  (
+	.A(n_30553),
+	.B(n_26943),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3694  (
+	.A(n_26921),
+	.B(n_26920),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3695  (
+	.A(n_26937),
+	.B(n_26936),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3696  (
+	.A(n_26933),
+	.B(n_26932),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3697  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_61 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3703  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_57 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3706  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3708  (
+	.A(n_67129),
+	.B(n_67128),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3709  (
+	.A(n_26929),
+	.B(n_67136),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3712  (
+	.A(n_26879),
+	.B(n_26920),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3713  (
+	.A(n_30525),
+	.B(n_26948),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3714  (
+	.A(n_26928),
+	.B(n_26929),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3715  (
+	.A(n_26925),
+	.B(n_26924),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3716  (
+	.A(n_30527),
+	.B(n_26944),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3717  (
+	.A(n_67126),
+	.B(n_67127),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3721  (
+	.A(n_26927),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3730  (
+	.A(n_26944),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3731  (
+	.A(n_26926),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3769  (
+	.A(n_26929),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g2  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_420 ),
+	.B(n_30525),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3777  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_71 ),
+	.B_N(n_26930),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3802  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_152 ),
+	.B(n_26926),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_320 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3814  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_332 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3822  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.B_N(n_26928),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3823  (
+	.A_N(n_26933),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_168 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_341 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3824  (
+	.A_N(n_67132),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_166 ),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_342 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3825  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_88 ),
+	.B_N(n_67129),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_343 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3900  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_56 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.C(n_30519),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3902  (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_440 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.C(n_26948),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g3922  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_65 ),
+	.B(n_30519),
+	.C(n_30526),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3202  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ),
+	.X(n_27251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3203  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ),
+	.X(n_27243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3204  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_142 ),
+	.SUM(n_27250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3205  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_140 ),
+	.SUM(n_27242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3206  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ),
+	.X(n_27255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3207  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ),
+	.X(n_27263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3208  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_136 ),
+	.SUM(n_27249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3209  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
+	.X(n_27303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3210  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
+	.X(n_27265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3211  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
+	.X(n_27261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3212  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
+	.X(n_27253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3213  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
+	.X(n_27247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3214  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_129 ),
+	.SUM(n_27262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3215  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_127 ),
+	.SUM(n_27254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3216  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_125 ),
+	.SUM(n_27241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3217  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
+	.X(n_27245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3218  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
+	.X(n_27259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3219  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
+	.X(n_27257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3220  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_120 ),
+	.SUM(n_27252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3221  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_118 ),
+	.SUM(n_27246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3222  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_116 ),
+	.SUM(n_27264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3223  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_114 ),
+	.SUM(n_27260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3224  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_112 ),
+	.SUM(n_27302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3225  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ),
+	.Y(n_27271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3226  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ),
+	.Y(n_27270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3227  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ),
+	.X(n_27267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3228  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ),
+	.X(n_27269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3229  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ),
+	.X(n_27268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3230  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ),
+	.X(n_27266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3231  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_104 ),
+	.SUM(n_27256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3232  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_102 ),
+	.SUM(n_27248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3233  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_100 ),
+	.SUM(n_27244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3234  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_98 ),
+	.SUM(n_27258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3235  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_96 ),
+	.SUM(n_27240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3236  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3237  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3238  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3239  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3240  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3241  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
+	.X(n_27299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3242  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
+	.X(n_27295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3243  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3244  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3245  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3246  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_84 ),
+	.SUM(n_27301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3247  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3248  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3249  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3250  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3251  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3252  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
+	.X(n_27291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3253  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_76 ),
+	.SUM(n_27294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3254  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_74 ),
+	.SUM(n_27298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3255  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3256  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3257  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3258  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3259  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_68 ),
+	.SUM(n_27290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3260  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3261  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3262  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_65 ),
+	.SUM(n_27300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3263  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_62 ),
+	.SUM(n_27293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3264  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_60 ),
+	.SUM(n_27297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3265  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
+	.X(n_27287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3266  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_57 ),
+	.SUM(n_27289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3267  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ),
+	.X(n_27283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3268  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_54 ),
+	.SUM(n_27286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3269  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3270  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_51 ),
+	.SUM(n_27292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3271  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_49 ),
+	.SUM(n_27282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3272  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_48 ),
+	.SUM(n_27296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3273  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_45 ),
+	.SUM(n_27288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3274  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_43 ),
+	.SUM(n_27285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3275  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_41 ),
+	.SUM(n_27281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3276  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3277  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3278  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3279  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3280  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_36 ),
+	.SUM(n_27284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3281  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_33 ),
+	.SUM(n_27280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3282  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3283  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [7]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_31 ),
+	.SUM(n_27279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3284  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [6]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_28 ),
+	.SUM(n_27278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3285  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [5]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_26 ),
+	.SUM(n_27277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3286  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [4]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_24 ),
+	.SUM(n_27276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3287  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [3]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_22 ),
+	.SUM(n_27275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3288  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [2]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_20 ),
+	.SUM(n_27274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3289  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3290  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3291  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.B(\soc_top_timer0_reg2hw[cfg0][step][q] [1]),
+	.CIN(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_16 ),
+	.SUM(n_27273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4bb_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3292  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ),
+	.B_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3293  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3294  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3295  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3296  (
+	.A(\soc_top_timer0_reg2hw[cfg0][step][q] [0]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.COUT(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_10 ),
+	.SUM(n_27272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3297  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3298  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3299  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3300  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.D(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3301  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.C(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3302  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.D(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3303  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3304  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3305  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_1 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_add_41_26_g3306  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_add_41_26_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4573  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_200 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_198 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_199 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4574  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_196 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4575  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4576  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_197 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4577  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4578  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_196 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4579  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o41ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4580  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ),
+	.A4(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4581  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4582  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4583  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_330 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4584  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4585  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4586  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4587  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ),
+	.A4(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4588  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4589  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4590  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4591  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4592  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4593  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4594  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4595  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4596  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ),
+	.D(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4597  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ),
+	.D1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4598  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4599  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4600  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4601  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4602  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ),
+	.D1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4603  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ),
+	.B1_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_171 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4604  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_170 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4605  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_169 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4606  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4607  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4608  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ),
+	.A3(\soc_top_timer0_reg2hw[timer_v_upper0][q] [8]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4609  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4610  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4611  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4612  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4613  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ),
+	.A2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ),
+	.B2(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4614  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_160 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4615  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4616  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ),
+	.D1(n_66679),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4617  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ),
+	.B1(n_66679),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4618  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4619  (
+	.A1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ),
+	.B1(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a311oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4620  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ),
+	.A3(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_148 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4621  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4622  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [28]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_157 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4623  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4624  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4625  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ),
+	.C1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4626  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_153 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4627  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [16]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ),
+	.C(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4628  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4629  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4630  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4631  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ),
+	.C_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4632  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4633  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_141 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4634  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4635  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4637  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4638  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4639  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4640  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4641  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4642  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4643  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4644  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4645  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4646  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4647  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4648  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4649  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4650  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4651  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [22]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4652  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4653  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [0]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ),
+	.C(\soc_top_timer0_reg2hw[compare_lower0_0][q] [0]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4654  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4655  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4656  (
+	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [26]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4657  (
+	.A1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4658  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4659  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4660  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ),
+	.C(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4661  (
+	.A1_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [10]),
+	.A2_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ),
+	.B1(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.B2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4662  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4663  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [26]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4664  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [14]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4665  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4666  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_lower0][q] [10]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4667  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4669  (
+	.A1(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.A2(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4670  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [30]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4671  (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
+	.A2(\soc_top_timer0_reg2hw[compare_upper0_0][q] [14]),
+	.B1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4673  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4674  (
+	.A_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4675  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4676  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4677  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [24]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4678  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4679  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [12]),
+	.B_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4680  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [4]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4681  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4682  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [24]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [24]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4683  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [12]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [12]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4684  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [16]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4685  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4686  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4687  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [23]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4688  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [19]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4689  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4690  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [13]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4691  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [17]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4692  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4693  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4694  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4695  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4696  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4697  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [17]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [17]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4698  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [29]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4699  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [19]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [19]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4701  (
+	.A_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [6]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4702  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4703  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4704  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [8]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [8]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4705  (
+	.A_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [25]),
+	.B(\soc_top_timer0_reg2hw[timer_v_upper0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4706  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [4]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [4]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4707  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4708  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4709  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.B_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4710  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [0]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [0]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4711  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [1]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4712  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [2]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [2]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4713  (
+	.A_N(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4714  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [5]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4715  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [9]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4716  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [5]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4717  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [13]),
+	.X(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4718  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [7]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4719  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4720  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_lower0][q] [15]),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4721  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ),
+	.B(\soc_top_timer0_reg2hw[compare_lower0_0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4722  (
+	.A_N(\soc_top_timer0_reg2hw[timer_v_upper0][q] [9]),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4723  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4724  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [31]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4725  (
+	.A(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ),
+	.B(\soc_top_timer0_reg2hw[compare_upper0_0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4726  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [15]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4727  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [27]),
+	.B(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4728  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [7]),
+	.B_N(\soc_top_timer0_reg2hw[compare_upper0_0][q] [7]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4729  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4730  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4731  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4732  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4733  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4734  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [21]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4735  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [3]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4736  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [30]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4737  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4738  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [16]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4739  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [14]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4740  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [11]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4741  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4742  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [8]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4743  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [27]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4744  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [9]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4745  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [25]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4746  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4747  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [2]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4748  (
+	.A(\soc_top_timer0_reg2hw[timer_v_lower0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4749  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4750  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [31]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4751  (
+	.A(\soc_top_timer0_reg2hw[timer_v_upper0][q] [1]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4752  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [20]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4753  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [28]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4754  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [18]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4756  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [15]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4757  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4759  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [23]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4760  (
+	.A(\soc_top_timer0_reg2hw[compare_lower0_0][q] [10]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4762  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [29]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g4770  (
+	.A(\soc_top_timer0_reg2hw[compare_upper0_0][q] [26]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_g2  (
+	.A_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_99 ),
+	.B(\soc_top_timer0_reg2hw[timer_v_lower0][q] [22]),
+	.Y(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_330 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2567 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_32),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_136),
+	.X(n_27339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2568 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_45),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_134),
+	.Y(n_27338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2569 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_130),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11),
+	.C1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2570 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_57),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_131),
+	.Y(n_27337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2571 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_130),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2572 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_43),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_126),
+	.Y(n_27336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2573 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_59),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_125),
+	.Y(n_27335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2574 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_127),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2575 (
+	.A1(n_25577),
+	.A2(n_27369),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_127),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2576 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_49),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_124),
+	.Y(n_27334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2577 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_61),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_122),
+	.X(n_27333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2578 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2579 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_69),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2580 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_67),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2581 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_63),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2582 (
+	.A1(n_25577),
+	.A2(n_27367),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.C1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2583 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2584 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_33),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118),
+	.Y(n_27332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2585 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_41),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2586 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_39),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_114),
+	.Y(n_27331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_4 soc_top_u_top_u_core_ex_block_i_add_93_45_g2587 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_113),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15),
+	.C1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_66),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2588 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_37),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_112),
+	.Y(n_27330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2589 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_35),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_110),
+	.Y(n_27327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2590 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_55),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_111),
+	.X(n_27329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2591 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_113),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_66),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2592 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2593 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_64),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2594 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_105),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2595 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_1),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_104),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2596 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_36),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_105),
+	.Y(n_27328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2597 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_42),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_104),
+	.Y(n_27326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2598 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2599 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_48),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_103),
+	.Y(n_27325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_4 soc_top_u_top_u_core_ex_block_i_add_93_45_g2600 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_65),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_52),
+	.B2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_101),
+	.C1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2601 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_2),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_101),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_53),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2602 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_101),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2603 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_47),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_100),
+	.Y(n_27324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2604 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_100),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2605 (
+	.A(n_25571),
+	.B(n_27355),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_97),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_100),
+	.SUM(n_27323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2606 (
+	.A(n_25570),
+	.B(n_27354),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_95),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_97),
+	.SUM(n_27322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2607 (
+	.A(n_25569),
+	.B(n_27353),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_93),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_95),
+	.SUM(n_27321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2608 (
+	.A(n_25568),
+	.B(n_27352),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_91),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_93),
+	.SUM(n_27320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2609 (
+	.A(n_25566),
+	.B(n_27351),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_89),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_91),
+	.SUM(n_27319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2610 (
+	.A(n_25565),
+	.B(n_27350),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_86),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_89),
+	.SUM(n_27318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2611 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_38),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_87),
+	.Y(n_27317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 soc_top_u_top_u_core_ex_block_i_add_93_45_g2612 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_22),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_84),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2613 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_50),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_84),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3),
+	.B2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20),
+	.C1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2614 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_83),
+	.Y(n_27316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2615 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2616 (
+	.A(n_25562),
+	.B(n_27347),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_80),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_83),
+	.SUM(n_27315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2617 (
+	.A(n_25561),
+	.B(n_27346),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_78),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_80),
+	.SUM(n_27314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2618 (
+	.A(n_25560),
+	.B(n_27345),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_76),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_78),
+	.SUM(n_27313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2619 (
+	.A(n_25559),
+	.B(n_27344),
+	.CIN(soc_top_u_top_u_core_ex_block_i_add_93_45_n_74),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_76),
+	.SUM(n_27312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2620 (
+	.A(n_25558),
+	.B(n_27343),
+	.CI(soc_top_u_top_u_core_ex_block_i_add_93_45_n_71),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_74),
+	.SUM(n_27311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2621 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_56),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2622 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_69),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2623 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_68),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2624 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_51),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_46),
+	.Y(n_27310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2625 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_58),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_67),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2626 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_51),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2627 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_63),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2628 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_64),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2629 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_53),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_0),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2630 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_54),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2631 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_60),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2632 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_44),
+	.X(n_27309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2633 (
+	.A(n_25577),
+	.B(n_27365),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_60),
+	.SUM(soc_top_u_top_u_core_ex_block_i_add_93_45_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2634 (
+	.A(n_25577),
+	.B(n_27367),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_58),
+	.SUM(soc_top_u_top_u_core_ex_block_i_add_93_45_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2635 (
+	.A(n_25577),
+	.B(n_27369),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_56),
+	.SUM(soc_top_u_top_u_core_ex_block_i_add_93_45_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2636 (
+	.A(n_25577),
+	.B(n_27361),
+	.COUT(soc_top_u_top_u_core_ex_block_i_add_93_45_n_54),
+	.SUM(soc_top_u_top_u_core_ex_block_i_add_93_45_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2637 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2638 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_1),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18),
+	.C(soc_top_u_top_u_core_ex_block_i_add_93_45_n_2),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_add_93_45_g2639 (
+	.A1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5),
+	.A2(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14),
+	.B1(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2640 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_22),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2641 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2642 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_2),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2643 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2644 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2645 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2646 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2647 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2648 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_1),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2649 (
+	.A1(n_27365),
+	.A2(n_27364),
+	.B1(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2650 (
+	.A1(n_27360),
+	.A2(n_27361),
+	.B1(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2651 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2652 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2653 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2654 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2655 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2656 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_22),
+	.B(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2657 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27),
+	.B_N(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2658 (
+	.A(n_25577),
+	.B(n_27371),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2659 (
+	.A(n_25572),
+	.B(n_27356),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2660 (
+	.A(n_27359),
+	.B(n_25575),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2661 (
+	.A(n_25577),
+	.B(n_27370),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2662 (
+	.A(n_25577),
+	.B(n_27363),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2663 (
+	.A(n_25577),
+	.B(n_27364),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2664 (
+	.A(n_25577),
+	.B(n_27360),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2665 (
+	.A(n_25556),
+	.B(n_63148),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2666 (
+	.A(n_63148),
+	.B(n_25556),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2667 (
+	.A(n_27368),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2668 (
+	.A(n_25563),
+	.B(n_27348),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2669 (
+	.A(n_27356),
+	.B(n_25572),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2670 (
+	.A(n_25564),
+	.B(n_27349),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2671 (
+	.A(n_27364),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2672 (
+	.A(n_25575),
+	.B(n_27359),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2673 (
+	.A(n_27358),
+	.B(n_25574),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2674 (
+	.A(soc_top_u_top_u_core_ex_block_i_add_93_45_n_1),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2675 (
+	.A(n_27349),
+	.B(n_25564),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2676 (
+	.A(n_27363),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2677 (
+	.A(n_25555),
+	.B(n_27341),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2678 (
+	.A(n_27366),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2679 (
+	.A(n_25577),
+	.B(n_27362),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2680 (
+	.A(n_27370),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2681 (
+	.A(n_27341),
+	.B(n_25555),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2682 (
+	.A(n_25577),
+	.B(n_27368),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2683 (
+	.A(n_27362),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2684 (
+	.A(n_27357),
+	.B(n_25573),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2685 (
+	.A(n_27360),
+	.B(n_25577),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2686 (
+	.A(n_72812),
+	.B(n_25557),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 soc_top_u_top_u_core_ex_block_i_add_93_45_g2687 (
+	.A(n_25577),
+	.B(n_27366),
+	.X(soc_top_u_top_u_core_ex_block_i_add_93_45_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2688 (
+	.A(n_27348),
+	.B(n_25563),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2689 (
+	.A(n_25573),
+	.B(n_27357),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_add_93_45_g2690 (
+	.A(n_25574),
+	.B(n_27358),
+	.Y(soc_top_u_top_u_core_ex_block_i_add_93_45_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1025 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_310),
+	.Y(\soc_top_lsu_to_xbar[a_address] [28]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1026 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296),
+	.Y(\soc_top_lsu_to_xbar[a_address] [27]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1027 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300),
+	.Y(\soc_top_lsu_to_xbar[a_address] [26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1028 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299),
+	.Y(\soc_top_lsu_to_xbar[a_address] [22]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1029 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295),
+	.X(\soc_top_lsu_to_xbar[a_address] [18]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1031 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_297),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1032 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285),
+	.Y(\soc_top_lsu_to_xbar[a_address] [25]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1033 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287),
+	.X(\soc_top_lsu_to_xbar[a_address] [23]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1034 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_412),
+	.Y(\soc_top_lsu_to_xbar[a_address] [24]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1035 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272),
+	.Y(\soc_top_lsu_to_xbar[a_address] [21]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1036 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1037 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280),
+	.Y(\soc_top_lsu_to_xbar[a_address] [31]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1039 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_113),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_113),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282),
+	.Y(\soc_top_lsu_to_xbar[a_address] [16]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1041 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_411),
+	.Y(\soc_top_lsu_to_xbar[a_address] [29]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1042 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82),
+	.Y(n_25606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1043 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_288),
+	.Y(\soc_top_lsu_to_xbar[a_address] [20]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1046 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_290),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1047 (
+	.A(n_61694),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1048 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_297),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1049 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1050 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1051 (
+	.A(n_61693),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_179),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1052 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_271),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1053 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_271),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1055 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_271),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1057 (
+	.A(n_61688),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1058 (
+	.A(n_61685),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1059 (
+	.A(n_61687),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1060 (
+	.A(n_61682),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1062 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1063 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1066 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253),
+	.X(\soc_top_lsu_to_xbar[a_address] [10]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1067 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252),
+	.X(\soc_top_lsu_to_xbar[a_address] [13]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1068 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254),
+	.X(\soc_top_lsu_to_xbar[a_address] [12]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1069 (
+	.A(n_61684),
+	.B(n_64723),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1070 (
+	.A(n_61689),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1073 (
+	.A(n_61681),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1074 (
+	.A(n_61683),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_225),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1075 (
+	.A(n_61685),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1083 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247),
+	.X(\soc_top_xbar_to_timer[a_address] [8]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1087 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248),
+	.X(\soc_top_lsu_to_xbar[a_address] [11]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1090 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1092 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1093 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1094 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1095 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_238),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_238),
+	.X(\soc_top_xbar_to_timer[a_address] [6]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1097 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1098 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1099 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1100 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235),
+	.X(\soc_top_xbar_to_timer[a_address] [7]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1103 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1104 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1108 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_149),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1109 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_149),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1111 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1112 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1113 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220),
+	.X(\soc_top_xbar_to_timer[a_address] [3]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1114 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_221),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1115 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_393),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_221),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1116 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218),
+	.X(\soc_top_xbar_to_timer[a_address] [2]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1117 (
+	.A1(n_64720),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1119 (
+	.A1(n_64720),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161),
+	.B1(n_64705),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1121 (
+	.A1(n_64720),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1122 (
+	.A1(n_64720),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1124 (
+	.A1(n_64720),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1125 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_221),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1126 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_219),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_390),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1127 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_402),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1128 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_402),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1129 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195),
+	.X(soc_top_u_top_u_core_alu_adder_result_ex[1]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1130 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1131 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1132 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1133 (
+	.A1(n_64705),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1134 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1137 (
+	.A(n_64720),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1139 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1140 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1142 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1143 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1145 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1146 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.B(n_64721),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1147 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1148 (
+	.A1(n_64701),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1149 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1151 (
+	.A(n_64705),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1152 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1153 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1154 (
+	.A(n_67036),
+	.B(n_27446),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.X(soc_top_u_top_u_core_alu_adder_result_ex[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1155 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1156 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1157 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1158 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1159 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1161 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1162 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1163 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.B(n_64704),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1164 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_418),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1166 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_164),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1167 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1168 (
+	.A1(n_27446),
+	.A2(n_67036),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1169 (
+	.A(n_64704),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1170 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1171 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_164),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1172 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1174 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_164),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1175 (
+	.A(n_64704),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1178 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1179 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1180 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1181 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1182 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1183 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1184 (
+	.A(n_64701),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1185 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1186 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1187 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1190 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1191 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_418),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1192 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1193 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1195 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1196 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
+	.A2(n_64702),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1197 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1198 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1199 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1201 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1202 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1204 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1205 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1206 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1207 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_396),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1209 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1210 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1211 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1212 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1213 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1214 (
+	.A_N(n_64702),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1215 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1216 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1217 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_402),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1218 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1219 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1220 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1221 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1222 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1223 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1224 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1225 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1226 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1227 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1228 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1229 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1230 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1231 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1232 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1233 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1234 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1237 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1240 (
+	.A(n_27445),
+	.B(n_27380),
+	.X(n_25641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1241 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1242 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1243 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1245 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1246 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1247 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1248 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1249 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1250 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1251 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1252 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1253 (
+	.A_N(n_64701),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1254 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1255 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_400),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1256 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1257 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1258 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1259 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1260 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1261 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1263 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_400),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1264 (
+	.A(n_27403),
+	.B(n_27468),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1265 (
+	.A(n_27411),
+	.B(n_27476),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1266 (
+	.A(n_27412),
+	.B(n_27477),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1267 (
+	.A(n_27477),
+	.B(n_27412),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1269 (
+	.A(n_27397),
+	.B(n_27462),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1270 (
+	.A(n_27405),
+	.B(n_27470),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1271 (
+	.A(n_30164),
+	.B(n_27456),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1272 (
+	.A(n_30160),
+	.B(n_27450),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1273 (
+	.A(n_27387),
+	.B(n_27452),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1274 (
+	.A(n_27383),
+	.B(n_27448),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1275 (
+	.A(n_30170),
+	.B(n_27457),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1276 (
+	.A(n_27468),
+	.B(n_27403),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1277 (
+	.A(n_27453),
+	.B(n_30167),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1279 (
+	.A_N(n_27462),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1280 (
+	.A(n_27476),
+	.B(n_27411),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1281 (
+	.A(n_27382),
+	.B(n_27447),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1282 (
+	.A(n_27400),
+	.B(n_27465),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1283 (
+	.A(n_30168),
+	.B(n_27451),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1284 (
+	.A(n_27474),
+	.B(n_27409),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1286 (
+	.A(n_30165),
+	.B(n_27455),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1287 (
+	.A(n_27460),
+	.B(n_30161),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1288 (
+	.A(n_27470),
+	.B(n_27405),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1289 (
+	.A(n_27406),
+	.B(n_27471),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1290 (
+	.A(n_27408),
+	.B(n_27473),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1291 (
+	.A(n_27458),
+	.B(n_27393),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1292 (
+	.A(n_27457),
+	.B(n_30170),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1294 (
+	.A(n_27473),
+	.B(n_27408),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1295 (
+	.A(n_27465),
+	.B(n_27400),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1297 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1300 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1301 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1303 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1304 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1305 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1306 (
+	.A(n_27407),
+	.B(n_27472),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1307 (
+	.A(n_30161),
+	.B(n_27460),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1308 (
+	.A(n_27409),
+	.B(n_27474),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1309 (
+	.A(n_27393),
+	.B(n_27458),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1310 (
+	.A(n_30163),
+	.B(n_27466),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1311 (
+	.A(n_30166),
+	.B(n_27454),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1312 (
+	.A(n_30169),
+	.B(n_27464),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1313 (
+	.A(n_67036),
+	.B(n_27446),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1314 (
+	.A(n_27454),
+	.B(n_30166),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1315 (
+	.A(n_27450),
+	.B(n_30160),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1316 (
+	.A(n_30162),
+	.B(n_27467),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1317 (
+	.A(n_27384),
+	.B(n_27449),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1318 (
+	.A(n_27398),
+	.B(n_27463),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1319 (
+	.A(n_27456),
+	.B(n_30164),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1320 (
+	.A(n_27404),
+	.B(n_27469),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1321 (
+	.A(n_27466),
+	.B(n_30163),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1322 (
+	.A(n_27448),
+	.B(n_27383),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1323 (
+	.A(n_27396),
+	.B(n_27461),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1324 (
+	.A(n_27410),
+	.B(n_27475),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1325 (
+	.A_N(n_27461),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1326 (
+	.A(n_27449),
+	.B(n_27384),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1327 (
+	.A(n_27464),
+	.B(n_30169),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1328 (
+	.A(n_27394),
+	.B(n_27459),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1329 (
+	.A(n_27380),
+	.B(n_27445),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1330 (
+	.A(n_30167),
+	.B(n_27453),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1331 (
+	.A(n_27467),
+	.B(n_30162),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1332 (
+	.A(n_27459),
+	.B(n_27394),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1333 (
+	.A(n_27475),
+	.B(n_27410),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1334 (
+	.A(n_27469),
+	.B(n_27404),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1336 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1337 (
+	.A(n_27455),
+	.B(n_30165),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1338 (
+	.A(n_30168),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1339 (
+	.A(n_27451),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1341 (
+	.A(n_27397),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1345 (
+	.A(n_27396),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1348 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_66),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_33),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_74),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1351 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_102),
+	.B_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_131),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1354 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_32),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_69),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1355 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_152),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_17),
+	.B1_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_30),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1358 (
+	.A(n_27387),
+	.B(n_27452),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1359 (
+	.A(n_27398),
+	.B(n_27463),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1360 (
+	.A(n_27447),
+	.B(n_27382),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1369 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_174),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_213),
+	.C1(n_61680),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1370 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_15),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_208),
+	.B1(n_61690),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_36),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1376 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_22),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_43),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_45),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g1377 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_234),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_135),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_107),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g2 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_104),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_432),
+	.Y(\soc_top_xbar_to_timer[a_address] [4]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g3 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_27),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_220),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_39),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3090 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104),
+	.X(n_25678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3091 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117),
+	.X(n_25680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3092 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118),
+	.X(n_25681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3093 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116),
+	.X(n_25679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3094 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136),
+	.X(n_25677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3095 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135),
+	.X(n_25676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3096 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
+	.B2(n_73722),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132),
+	.Y(n_25683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3097 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93),
+	.A2(n_73722),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128),
+	.Y(n_25687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3098 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
+	.A2(n_73722),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133),
+	.Y(n_25684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3099 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81),
+	.A2(n_73722),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129),
+	.Y(n_25689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3100 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
+	.B2(n_73722),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130),
+	.Y(n_25688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3101 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
+	.B2(n_73722),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134),
+	.Y(n_25685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3102 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
+	.B2(n_25709),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105),
+	.X(n_25674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3103 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
+	.X(n_25694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3104 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
+	.X(n_25695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3105 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3106 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3107 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3108 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3109 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
+	.B2(n_73722),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127),
+	.Y(n_25686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3110 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131),
+	.Y(n_25682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3111 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3112 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3113 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3114 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
+	.X(n_25696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3115 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
+	.X(n_25697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3116 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3117 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3118 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106),
+	.X(n_25675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3119 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3120 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(n_25691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3121 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5),
+	.X(n_25690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3122 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3123 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3124 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3125 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3126 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3127 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3128 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3129 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3130 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3131 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3132 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3133 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3134 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3135 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3136 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3137 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3138 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3139 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3140 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3141 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3142 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3143 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3144 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3145 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3146 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95),
+	.B2(n_73722),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3147 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3148 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3149 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3150 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3151 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87),
+	.S(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3152 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92),
+	.S(n_25708),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3153 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3154 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3155 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3156 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3157 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3158 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3159 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3160 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3161 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3162 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3163 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3164 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3165 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3166 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3167 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3168 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3169 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3170 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3171 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3172 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3173 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3174 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3175 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58),
+	.S(n_25707),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3176 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3177 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3178 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3179 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3180 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3181 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3182 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
+	.A2(n_25706),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3183 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3184 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3185 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3186 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3187 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3188 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3189 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3190 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3191 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3192 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3193 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23),
+	.S(n_25706),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3194 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3195 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3196 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43),
+	.A2(n_25706),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3197 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3198 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3199 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3200 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3201 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3202 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3203 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3204 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43),
+	.S(n_25706),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3205 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3206 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3207 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3208 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3209 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3210 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3211 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3212 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3213 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3214 (
+	.A0(n_25552),
+	.A1(n_25553),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3215 (
+	.A0(n_25553),
+	.A1(n_25554),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3216 (
+	.A0(n_25537),
+	.A1(n_25538),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3217 (
+	.A0(n_25539),
+	.A1(n_25540),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3218 (
+	.A0(n_25536),
+	.A1(n_25537),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3219 (
+	.A0(n_25543),
+	.A1(n_25544),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3220 (
+	.A0(n_25526),
+	.A1(n_25527),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3221 (
+	.A0(n_25540),
+	.A1(n_25541),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3222 (
+	.A0(n_25544),
+	.A1(n_25545),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3223 (
+	.A0(n_25546),
+	.A1(n_25547),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3224 (
+	.A0(n_25530),
+	.A1(n_25531),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3225 (
+	.A0(n_25538),
+	.A1(n_25539),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3226 (
+	.A0(n_25531),
+	.A1(n_25532),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3227 (
+	.A0(n_25534),
+	.A1(n_25535),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3228 (
+	.A0(n_25548),
+	.A1(n_25549),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3229 (
+	.A(n_25707),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3230 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3231 (
+	.A0(n_25523),
+	.A1(n_25524),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3232 (
+	.A0(n_25524),
+	.A1(n_25525),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3233 (
+	.A0(n_25529),
+	.A1(n_25530),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3234 (
+	.A0(n_25551),
+	.A1(n_25552),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3235 (
+	.A0(n_25527),
+	.A1(n_25528),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3236 (
+	.A0(n_25528),
+	.A1(n_25529),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3237 (
+	.A0(n_25554),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3238 (
+	.A0(n_25542),
+	.A1(n_25543),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3239 (
+	.A0(n_25535),
+	.A1(n_25536),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3240 (
+	.A0(n_25533),
+	.A1(n_25534),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3241 (
+	.A0(n_25525),
+	.A1(n_25526),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3242 (
+	.A0(n_25549),
+	.A1(n_25550),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3243 (
+	.A0(n_25547),
+	.A1(n_25548),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3244 (
+	.A0(n_25550),
+	.A1(n_25551),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3245 (
+	.A0(n_25545),
+	.A1(n_25546),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3246 (
+	.A0(n_25532),
+	.A1(n_25533),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3247 (
+	.A0(n_25541),
+	.A1(n_25542),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3248 (
+	.A(n_25706),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3249 (
+	.A(n_25707),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3250 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3251 (
+	.A(n_25708),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3252 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3253 (
+	.A(n_25709),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_803),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g3254 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_hi_fo_buf3295 (
+	.A(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3090 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104),
+	.X(n_25669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3091 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117),
+	.X(n_25667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3092 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118),
+	.X(n_25666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3093 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116),
+	.X(n_25668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3094 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136),
+	.X(n_25670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3095 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135),
+	.X(n_25671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3096 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132),
+	.Y(n_25664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3097 (
+	.A1(n_73722),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128),
+	.Y(n_25660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3098 (
+	.A1(n_73722),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133),
+	.Y(n_25663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3099 (
+	.A1(n_73722),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129),
+	.Y(n_25658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3100 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130),
+	.Y(n_25659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3101 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134),
+	.Y(n_25662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3102 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
+	.B2(n_25709),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105),
+	.X(n_25673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3103 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
+	.X(n_25653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3104 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
+	.X(n_25652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3105 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3106 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3107 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3108 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3109 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
+	.B2(n_73722),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127),
+	.Y(n_25661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3110 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131),
+	.Y(n_25665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3111 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3112 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3113 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3114 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
+	.X(n_25651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3115 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
+	.X(n_25650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3116 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3117 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3118 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108),
+	.A2(n_25709),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46),
+	.C1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106),
+	.X(n_25672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3119 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3120 (
+	.A1(n_25709),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(n_25656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3121 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5),
+	.X(n_25657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3122 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3123 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3124 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3125 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3126 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3127 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3128 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3129 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3130 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3131 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3132 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3133 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3134 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3135 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3136 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3137 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3138 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3139 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3140 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3141 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3142 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3143 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3144 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3145 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3146 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29),
+	.B1(n_73722),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3147 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3148 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3149 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3150 (
+	.A1_N(n_25708),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102),
+	.B1(n_25708),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3151 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87),
+	.S(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3152 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91),
+	.S(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3153 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3154 (
+	.A1(n_25708),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3155 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3156 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3157 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3158 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3159 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_99), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3160 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_98), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3161 (
+	.A1(n_25707),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_97), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3162 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_96), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3163 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_95), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3164 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_94), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3165 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_93), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3166 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_92), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3167 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_91), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3168 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_90), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3169 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_89), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3170 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_88), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3171 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3172 (
+	.A1_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.A2_N(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B2(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_86), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3173 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_85), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3174 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_84), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3175 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58),
+	.S(n_25707),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_83), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3176 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_82), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3177 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_81), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3178 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61),
+	.S(n_25707),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_80), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3179 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_79), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3180 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3181 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3182 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
+	.A2(n_25706),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_78), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3183 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_77), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3184 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3185 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3186 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3187 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3188 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3189 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3190 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3191 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3192 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3193 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23),
+	.S(n_25706),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3194 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3195 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3196 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43),
+	.A2(n_25706),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3197 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3198 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3199 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3200 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3201 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3202 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3203 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3204 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43),
+	.S(n_25706),
+	.X(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3205 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3206 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3207 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3208 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3209 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3210 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3211 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3212 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3213 (
+	.A0(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20),
+	.S(n_25706),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3214 (
+	.A0(n_25525),
+	.A1(n_25524),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3215 (
+	.A0(n_25524),
+	.A1(n_25523),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3216 (
+	.A0(n_25540),
+	.A1(n_25539),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3217 (
+	.A0(n_25538),
+	.A1(n_25537),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3218 (
+	.A0(n_25541),
+	.A1(n_25540),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3219 (
+	.A0(n_25534),
+	.A1(n_25533),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3220 (
+	.A0(n_25551),
+	.A1(n_25550),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3221 (
+	.A0(n_25537),
+	.A1(n_25536),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3222 (
+	.A0(n_25533),
+	.A1(n_25532),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3223 (
+	.A0(n_25531),
+	.A1(n_25530),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3224 (
+	.A0(n_25547),
+	.A1(n_25546),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3225 (
+	.A0(n_25539),
+	.A1(n_25538),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3226 (
+	.A0(n_25546),
+	.A1(n_25545),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3227 (
+	.A0(n_25543),
+	.A1(n_25542),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3228 (
+	.A0(n_25529),
+	.A1(n_25528),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3229 (
+	.A(n_25707),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3230 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_n_1),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3231 (
+	.A0(n_25554),
+	.A1(n_25553),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3232 (
+	.A0(n_25553),
+	.A1(n_25552),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3233 (
+	.A0(n_25548),
+	.A1(n_25547),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3234 (
+	.A0(n_25526),
+	.A1(n_25525),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3235 (
+	.A0(n_25550),
+	.A1(n_25549),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3236 (
+	.A0(n_25549),
+	.A1(n_25548),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3237 (
+	.A0(n_25523),
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_23), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3238 (
+	.A0(n_25535),
+	.A1(n_25534),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3239 (
+	.A0(n_25542),
+	.A1(n_25541),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3240 (
+	.A0(n_25544),
+	.A1(n_25543),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3241 (
+	.A0(n_25552),
+	.A1(n_25551),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3242 (
+	.A0(n_25528),
+	.A1(n_25527),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3243 (
+	.A0(n_25530),
+	.A1(n_25529),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3244 (
+	.A0(n_25527),
+	.A1(n_25526),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3245 (
+	.A0(n_25532),
+	.A1(n_25531),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_15), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3246 (
+	.A0(n_25545),
+	.A1(n_25544),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_14), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3247 (
+	.A0(n_25536),
+	.A1(n_25535),
+	.S(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3248 (
+	.A(n_25706),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3249 (
+	.A(n_25707),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3250 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3251 (
+	.A(n_25708),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3252 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3253 (
+	.A(n_25709),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_n_879),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_6), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3254 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3256 (
+	.A(n_25708),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_g3258 (
+	.A(n_25709),
+	.Y(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15811  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1962 ),
+	.Y(n_26464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15816  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1868 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1927 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1492 ),
+	.Y(n_26458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15820  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1869 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1463 ),
+	.Y(n_26459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15822  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2319 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1976 ),
+	.Y(n_26444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15826  (
+	.A(n_69553),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ),
+	.Y(n_26366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15833  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1667 ),
+	.Y(n_26374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15835  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1970 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1400 ),
+	.Y(n_26367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15836  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ),
+	.B1(FE_DBTN57_n_73965),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1870 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15838  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1957 ),
+	.Y(n_26363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15841  (
+	.A(n_54714),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15844  (
+	.A(n_69571),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15847  (
+	.A(n_68571),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15854  (
+	.A(n_44142),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15855  (
+	.A(n_69571),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1962 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15858  (
+	.A(n_68535),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15859  (
+	.A(n_39319),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15860  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15862  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1897 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15865  (
+	.A(n_44349),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15870  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2243 ),
+	.B(n_58964),
+	.Y(n_26454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15883  (
+	.A1(n_43938),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1340 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1913 ),
+	.Y(n_26368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15886  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1915 ),
+	.B(n_66683),
+	.Y(n_26372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15888  (
+	.A(n_49379),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15889  (
+	.A(n_69335),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15890  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1811 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15902  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15904  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1811 ),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15905  (
+	.A(n_54751),
+	.B(FE_DBTN57_n_73965),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1912 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15932  (
+	.A1(n_58959),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2212 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1730 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1733 ),
+	.Y(n_26380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15934  (
+	.A1(FE_DBTN11_n_68980),
+	.A2(n_65753),
+	.B1(n_74258),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15935  (
+	.A1(n_49311),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1660 ),
+	.B1(n_35878),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1628 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15936  (
+	.A1(n_54655),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ),
+	.C1(n_51952),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15946  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ),
+	.B(n_52471),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15949  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1747 ),
+	.B(n_52468),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15956  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1842 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1744 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15960  (
+	.A(n_34627),
+	.B(n_71022),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1645 ),
+	.Y(n_26344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15963  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ),
+	.B(n_73318),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15964  (
+	.A(n_34627),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ),
+	.Y(n_26342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15968  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15973  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1710 ),
+	.B(FE_DBTN60_n_58959),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15975  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1842 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15976  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1707 ),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g15987  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1715 ),
+	.B(FE_DBTN60_n_58959),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16035  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1694 ),
+	.B(n_50474),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16043  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ),
+	.B(n_69618),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16044  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1752 ),
+	.B(n_35119),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16052  (
+	.A(n_69622),
+	.B(n_43937),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16057  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1605 ),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16058  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16059  (
+	.A(n_57950),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16062  (
+	.A(n_54767),
+	.B(n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16067  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1580 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16073  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16081  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16084  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ),
+	.B(n_43937),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16086  (
+	.A(n_57950),
+	.B(n_43937),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16094  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ),
+	.B(FE_DBTN60_n_58959),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16100  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1665 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16101  (
+	.A(n_65753),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16103  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1706 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16107  (
+	.A(n_54297),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1696 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16109  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1612 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16111  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16118  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1605 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16120  (
+	.A(n_54717),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16123  (
+	.A(n_67629),
+	.B(FE_DBTN60_n_58959),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16125  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1580 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16137  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1655 ),
+	.B(n_43937),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1667 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16139  (
+	.A(n_35840),
+	.B(n_33305),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1167 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1665 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16140  (
+	.A1_N(n_71021),
+	.A2_N(n_54624),
+	.B1(n_58967),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16145  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ),
+	.B(n_68392),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16147  (
+	.A(n_72945),
+	.B(n_53001),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1708 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16148  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1532 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1633 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1707 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16149  (
+	.A1_N(n_52455),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2214 ),
+	.B1(n_52455),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16152  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1655 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16156  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1659 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1660 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16166  (
+	.A(n_68611),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16168  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1506 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16170  (
+	.A(n_54754),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16171  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1506 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16172  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16177  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16182  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1498 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1628 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16193  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1483 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16195  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1542 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16214  (
+	.A(n_44161),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16217  (
+	.A(n_69638),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16219  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1553 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1471 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16220  (
+	.A(n_69623),
+	.B(n_59614),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16223  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1467 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1549 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16227  (
+	.A(n_49288),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1566 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16228  (
+	.A(n_72946),
+	.B(n_69559),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16237  (
+	.A1_N(n_52455),
+	.A2_N(n_75253),
+	.B1(n_52455),
+	.B2(n_67021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16240  (
+	.A(n_69558),
+	.B(n_36132),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16241  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1468 ),
+	.B(n_68347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16244  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1439 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16247  (
+	.A(n_54694),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16251  (
+	.A(n_69457),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16257  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1351 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16258  (
+	.A(n_37524),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16263  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1417 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16264  (
+	.A(n_52898),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16266  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1371 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16268  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16273  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ),
+	.B(n_34627),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1544 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16274  (
+	.A(n_57348),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1543 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16275  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1389 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16285  (
+	.A(n_69457),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1532 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16288  (
+	.A(n_45778),
+	.B(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16309  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16313  (
+	.A(n_58967),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1367 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16318  (
+	.A(n_58967),
+	.B(n_70520),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16322  (
+	.A(n_57348),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1483 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16331  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1389 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1474 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16334  (
+	.A(n_54715),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1471 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16336  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1372 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1469 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16337  (
+	.A(n_68295),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16338  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16342  (
+	.A(n_58967),
+	.B(n_35105),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1463 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16350  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1371 ),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16352  (
+	.A(n_68346),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16360  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1414 ),
+	.B(n_36126),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16362  (
+	.A(n_69615),
+	.B(n_37241),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16363  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1272 ),
+	.A2(n_75245),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16365  (
+	.A(n_70762),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1305 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16367  (
+	.A(n_72988),
+	.B(n_70763),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16371  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1414 ),
+	.B(n_35082),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16377  (
+	.A(n_54763),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1436 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16394  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1172 ),
+	.A2(n_51923),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1173 ),
+	.B2(n_34689),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16396  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ),
+	.B(n_43937),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1400 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16401  (
+	.A(n_71022),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1340 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16402  (
+	.A(n_71022),
+	.B(n_47321),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1439 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16409  (
+	.A(n_53637),
+	.B(n_53026),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16412  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ),
+	.B(n_62434),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1426 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16418  (
+	.A(n_35972),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1269 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1417 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16420  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1336 ),
+	.B(n_52959),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1414 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16428  (
+	.A(n_74546),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16429  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1372 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16434  (
+	.A(n_68750),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1356 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16438  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ),
+	.B(n_37582),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1394 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16442  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1319 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1389 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16453  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1314 ),
+	.B(n_69661),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16454  (
+	.A(n_64051),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16455  (
+	.A(n_57422),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16458  (
+	.A(n_66043),
+	.B(n_57980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1367 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16463  (
+	.A(n_75251),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16464  (
+	.A(n_58116),
+	.B(n_35971),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16465  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ),
+	.B(n_37584),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16469  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ),
+	.B(n_52956),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16471  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1264 ),
+	.B(n_67349),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1351 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16480  (
+	.A(n_44530),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1336 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16482  (
+	.A(n_34689),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16494  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1322 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16497  (
+	.A(n_44147),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16502  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1314 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16504  (
+	.A(n_43966),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16511  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1158 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16514  (
+	.A(n_44338),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16521  (
+	.A(n_49409),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16522  (
+	.A(n_68607),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1294 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16525  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1130 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16527  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16529  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16537  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1204 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1279 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16538  (
+	.A(n_68340),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1278 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16540  (
+	.A(n_52389),
+	.B(n_43966),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1340 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16542  (
+	.A(n_47321),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16543  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16548  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1200 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1264 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16553  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16555  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1158 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16559  (
+	.A(n_59245),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16565  (
+	.A(n_43962),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1247 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16574  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1117 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1238 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16592  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1206 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16593  (
+	.A(n_68340),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16595  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1200 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1217 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16599  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1204 ),
+	.B(n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1213 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16606  (
+	.A1(n_65952),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2200 ),
+	.B1(n_41500),
+	.C1(n_33603),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1272 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16615  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16616  (
+	.A(n_35883),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1173 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16617  (
+	.A(n_35882),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1172 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16620  (
+	.A(n_69650),
+	.B(n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16621  (
+	.A(n_35086),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1167 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16623  (
+	.A(n_69650),
+	.B(n_36126),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16628  (
+	.A(n_39069),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1010 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1206 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16630  (
+	.A(n_39068),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1017 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16634  (
+	.A(n_67007),
+	.B(n_74536),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16641  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2147 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1006 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16642  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2150 ),
+	.B(n_41491),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16643  (
+	.A(n_38817),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1004 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16652  (
+	.A(n_35068),
+	.B(n_73589),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16655  (
+	.A(n_69650),
+	.B(n_35082),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16659  (
+	.A(n_74774),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16682  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1033 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1058 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16684  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_963 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1042 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16692  (
+	.A(n_70283),
+	.B(n_73583),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16702  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1083 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_983 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16707  (
+	.A(n_61611),
+	.B(n_69657),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16709  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_982 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1071 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16718  (
+	.A(n_62388),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1070 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16731  (
+	.A(n_73129),
+	.B(FE_DBTN9_n_69283),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16733  (
+	.A(n_49403),
+	.B(FE_DBTN9_n_69283),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1083 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16742  (
+	.A(n_50990),
+	.B(FE_DBTN34_n_54651),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16745  (
+	.A(FE_DBTN9_n_69283),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_816 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16746  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ),
+	.B(FE_DBTN34_n_54651),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16747  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_746 ),
+	.B(FE_DBTN9_n_69283),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16748  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ),
+	.B(FE_DBTN69_n_65405),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1068 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16755  (
+	.A(n_44617),
+	.B(FE_DBTN15_n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16756  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ),
+	.B(FE_DBTN41_n_55284),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16758  (
+	.A(n_59242),
+	.B(FE_DBTN15_n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1058 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16762  (
+	.A(n_70768),
+	.B(FE_DBTN15_n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16774  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_827 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16779  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_800 ),
+	.B(n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16783  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_802 ),
+	.B(n_65952),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16800  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_948 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16805  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_940 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16807  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_936 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16809  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_932 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16811  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_930 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16813  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_927 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16830  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ),
+	.B(n_54651),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_983 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16831  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_738 ),
+	.B(n_65405),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16846  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_782 ),
+	.B(n_55284),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16847  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_814 ),
+	.B(n_55284),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16848  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_816 ),
+	.B(n_65405),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16850  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_786 ),
+	.B(n_55284),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16865  (
+	.A(n_50469),
+	.B(n_38005),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16873  (
+	.A(n_41504),
+	.B(n_37996),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16876  (
+	.A(n_35506),
+	.B(n_33558),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_937 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16877  (
+	.A(n_41492),
+	.B(n_37995),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_936 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16881  (
+	.A(n_35095),
+	.B(n_37998),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_932 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16883  (
+	.A(n_41505),
+	.B(n_38819),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16886  (
+	.A(n_41498),
+	.B(n_50471),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_927 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16888  (
+	.A(n_41502),
+	.B(n_37999),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16977  (
+	.A(n_39061),
+	.B(n_30596),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g16979  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.B(n_71022),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17009  (
+	.A(n_49311),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17010  (
+	.A(n_70279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17011  (
+	.A(n_70166),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_655 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17012  (
+	.A(n_34823),
+	.B(n_70286),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17016  (
+	.A(n_70940),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_616 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17018  (
+	.A(n_51406),
+	.B(n_35852),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17019  (
+	.A(n_33980),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_639 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17020  (
+	.A(n_40525),
+	.B(n_70302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_760 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17022  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_604 ),
+	.B(n_70281),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17025  (
+	.A(n_34819),
+	.B(n_70275),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17027  (
+	.A(n_34812),
+	.B(n_70289),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17029  (
+	.A(n_34821),
+	.B(n_70299),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17031  (
+	.A(n_52983),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_650 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17032  (
+	.A(n_34822),
+	.B(n_70284),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17034  (
+	.A(n_70272),
+	.B(n_34818),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_746 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17035  (
+	.A(n_34817),
+	.B(n_70287),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17038  (
+	.A(n_34813),
+	.B(n_70280),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17039  (
+	.A(n_34810),
+	.B(n_70288),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17042  (
+	.A(n_70278),
+	.B(n_69014),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17044  (
+	.A(n_65760),
+	.B(n_34816),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17045  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ),
+	.A2(n_65952),
+	.B1(n_70300),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_735 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17048  (
+	.A(n_55289),
+	.B(n_70294),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17051  (
+	.A(n_35868),
+	.B(n_70961),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_827 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17052  (
+	.A(n_33710),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_649 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17054  (
+	.A(n_62387),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_610 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17059  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_536 ),
+	.B(n_33981),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_816 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17060  (
+	.A(n_35870),
+	.B(n_33706),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_814 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17067  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ),
+	.B(n_49809),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17068  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_642 ),
+	.B(n_65410),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17069  (
+	.A(n_52984),
+	.B(n_35872),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17073  (
+	.A(n_70314),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_644 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17078  (
+	.A(n_70320),
+	.B(n_70963),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17080  (
+	.A(n_70317),
+	.B(n_70954),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17086  (
+	.A(n_71021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17145  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_35167),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17152  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_41551),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_663 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17160  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_41155),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17165  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_30586),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_650 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17166  (
+	.A(FE_DBTN34_n_54651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17171  (
+	.A(n_54651),
+	.B(n_38299),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17173  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_41160),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17176  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_30592),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17194  (
+	.A(n_65952),
+	.B(n_30596),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17199  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_34163),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17205  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_30599),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17210  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_33420),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17211  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_25029),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17261  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_65948),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17268  (
+	.A(n_71017),
+	.B(FE_DBTN11_n_68980),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_542 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17274  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_70226),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_536 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17277  (
+	.A(FE_DBTN41_n_55284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [39]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17288  (
+	.A(n_65405),
+	.B(n_41165),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17295  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_56938),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17316  (
+	.A(n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_494 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17330  (
+	.A(n_41413),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_487 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17336  (
+	.A(n_33634),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_481 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17338  (
+	.A(n_30595),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17367  (
+	.A(n_34627),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17584  (
+	.A(n_35162),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17588  (
+	.A(n_30594),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17589  (
+	.A(n_30589),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17595  (
+	.A(n_30585),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17864  (
+	.A(n_35096),
+	.B(n_51405),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17867  (
+	.A(n_35094),
+	.B(n_38004),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17911  (
+	.A_N(n_33693),
+	.B(n_51923),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17917  (
+	.A0(n_30591),
+	.A1(n_30590),
+	.S(FE_DBTN69_n_65405),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17929  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ),
+	.A2(n_52455),
+	.B1(n_75253),
+	.B2(FE_DBTN43_n_52455),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17931  (
+	.A1(n_65747),
+	.A2(n_75245),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ),
+	.B2(FE_DBTN6_n_75245),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1959 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1830 ),
+	.C(n_38416),
+	.Y(n_26448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17949  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1929 ),
+	.B(n_35118),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1720 ),
+	.Y(n_26446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g17960  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1718 ),
+	.B1_N(FE_DBTN53_n_49347),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2243 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g18036  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1706 ),
+	.A2(FE_DBTN60_n_58959),
+	.B1(n_68724),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g18039  (
+	.A(n_34627),
+	.B(n_71022),
+	.C_N(n_57950),
+	.Y(n_26345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g18053  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1681 ),
+	.B(n_41524),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1958 ),
+	.Y(n_26449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g18062  (
+	.A(n_35030),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1664 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1402 ),
+	.Y(n_26461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_fopt1  (
+	.A(n_35086),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2363 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_fopt4  (
+	.A(n_71022),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2759  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ),
+	.Y(n_25756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2760  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ),
+	.Y(n_25755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2761  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_144 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ),
+	.Y(n_25758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2762  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ),
+	.Y(n_25757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2763  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
+	.Y(n_25754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2764  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
+	.Y(n_25753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2765  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
+	.Y(n_25752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2766  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
+	.Y(n_25751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2767  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
+	.Y(n_25745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2768  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ),
+	.Y(n_25744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2769  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
+	.Y(n_25743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2770  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
+	.Y(n_25750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2771  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ),
+	.Y(n_25749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2772  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ),
+	.Y(n_25748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2773  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ),
+	.Y(n_25747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2774  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ),
+	.Y(n_25746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2775  (
+	.A1(n_32158),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ),
+	.B1(n_25090),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ),
+	.Y(n_25740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2776  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2777  (
+	.A1_N(n_32158),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ),
+	.B1(n_32158),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2778  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2779  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
+	.Y(n_25742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2780  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ),
+	.Y(n_25741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2781  (
+	.A1(n_25090),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_145 ),
+	.Y(n_25739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2782  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2783  (
+	.A1_N(n_32158),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ),
+	.B1(n_32158),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2784  (
+	.A(n_25090),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ),
+	.Y(n_25738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2785  (
+	.A(n_25090),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ),
+	.Y(n_25737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2786  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2787  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2788  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2789  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2790  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2791  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2792  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2793  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2794  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2795  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2796  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_144 ),
+	.B1(n_73097),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2797  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2798  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2799  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2800  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ),
+	.S(n_32158),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2801  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2802  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2803  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2804  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2805  (
+	.A0(n_73097),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ),
+	.S(n_25087),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2806  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2807  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2808  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ),
+	.S(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2809  (
+	.A(n_25090),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_145 ),
+	.Y(n_25735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2810  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(n_25736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2811  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2812  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ),
+	.A1(n_73096),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2813  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2814  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2815  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2816  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2817  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2818  (
+	.A0(n_73096),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2819  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2820  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B1(n_69523),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.C2(n_31276),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2822  (
+	.A1(n_30147),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.B1(n_36786),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.C2(n_67778),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2823  (
+	.A1_N(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2825  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ),
+	.B1(n_51477),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2826  (
+	.A1_N(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.B1(n_25088),
+	.B2(n_51660),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2827  (
+	.A1_N(n_36105),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2828  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2829  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2830  (
+	.A0(n_73098),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2831  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2832  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
+	.A1(n_73098),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2833  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2834  (
+	.A1_N(n_31260),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2835  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2836  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ),
+	.S(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2837  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
+	.S(n_25087),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2838  (
+	.A(n_25087),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2839  (
+	.A1(n_36786),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_30147),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2841  (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2842  (
+	.A1(n_36105),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_48306),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2843  (
+	.A1(n_31260),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_36679),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2844  (
+	.A1(n_51477),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_69701),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2845  (
+	.A1(n_31276),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_69523),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2846  (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_30151),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2847  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_36679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2848  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2849  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_69701),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2850  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_69523),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2851  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_30151),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2852  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_48306),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2854  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_30147),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2855  (
+	.A0(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.A1(n_48306),
+	.S(n_25088),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2856  (
+	.A0(n_31465),
+	.A1(n_30151),
+	.S(n_25088),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2858  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.A1(n_33467),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2859  (
+	.A0(n_68926),
+	.A1(n_69701),
+	.S(n_25088),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2860  (
+	.A0(n_30144),
+	.A1(n_36679),
+	.S(n_25088),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2861  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.B(n_25087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2864  (
+	.A(n_32158),
+	.B(n_25090),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2865  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.B(n_25088),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2866  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B(n_25328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2867  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.B(n_32158),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2870  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2  (
+	.A_N(n_25087),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2873  (
+	.A_N(n_32158),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_34 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_145 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g2875  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.B(n_25328),
+	.COUT(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.SUM(UNCONNECTED315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2738  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_90 ),
+	.Y(n_25732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2739  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ),
+	.Y(n_25731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2740  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ),
+	.B1(n_61459),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ),
+	.Y(n_25734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2741  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ),
+	.Y(n_25733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2742  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
+	.Y(n_25730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2743  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
+	.Y(n_25729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2744  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
+	.Y(n_25728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2745  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ),
+	.Y(n_25727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2746  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ),
+	.Y(n_25721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2747  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ),
+	.Y(n_25720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2748  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
+	.Y(n_25719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2749  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ),
+	.Y(n_25726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2750  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ),
+	.Y(n_25725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2751  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ),
+	.Y(n_25724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2752  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ),
+	.Y(n_25723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2753  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
+	.Y(n_25722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2754  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
+	.B1(n_25093),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ),
+	.Y(n_25716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2755  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2756  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2757  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2758  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2759  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_64 ),
+	.Y(n_25718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2760  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
+	.Y(n_25717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2761  (
+	.A1(n_25093),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ),
+	.Y(n_25715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2762  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2763  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2764  (
+	.A(n_25093),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_64 ),
+	.Y(n_25714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2765  (
+	.A(n_25093),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ),
+	.Y(n_25713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2766  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2767  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
+	.A3(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2768  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2769  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2770  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2771  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2772  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2773  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2774  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2775  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2776  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
+	.S(n_25092),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2777  (
+	.A1(n_25092),
+	.A2(n_35672),
+	.B1(n_53330),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2778  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ),
+	.S(n_25092),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2779  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2780  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2781  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2782  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2783  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2784  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2785  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2786  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(n_35672),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2787  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ),
+	.S(n_25092),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2788  (
+	.A(n_25093),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ),
+	.Y(n_25711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2789  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ),
+	.Y(n_25712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2790  (
+	.A1(n_53330),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2791  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2792  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2793  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2794  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2795  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ),
+	.A2(n_25092),
+	.B1(n_35673),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2796  (
+	.A1(n_35673),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(n_53328),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2797  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ),
+	.A2(n_25092),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2799  (
+	.A1(n_30396),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B1(n_39713),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.C2(n_16415),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2800  (
+	.A1(n_48302),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B1(n_66776),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.C2(n_54453),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2801  (
+	.A1_N(n_66569),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ),
+	.B1(n_16135),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2802  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2803  (
+	.A1_N(n_66569),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ),
+	.B1(n_50715),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2805  (
+	.A1_N(n_13481),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ),
+	.B1(n_30395),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2806  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2807  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2808  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2809  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2810  (
+	.A1_N(n_13481),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ),
+	.B1(n_44566),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2811  (
+	.A1(n_25092),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2812  (
+	.A1(n_25092),
+	.A2(n_53328),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_34 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2813  (
+	.A0(n_35671),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_0 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2814  (
+	.A(n_25092),
+	.B(n_35671),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2815  (
+	.A1(n_39713),
+	.A2(n_66569),
+	.B1(n_30396),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2816  (
+	.A1(n_66457),
+	.A2(n_66569),
+	.B1(n_44566),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2817  (
+	.A1(n_55031),
+	.A2(n_66569),
+	.B1(n_30395),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2819  (
+	.A1(n_66776),
+	.A2(n_66569),
+	.B1(n_48302),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2820  (
+	.A1(n_50715),
+	.A2(n_66569),
+	.B1(n_30415),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2821  (
+	.A1(n_16135),
+	.A2(n_66569),
+	.B1(n_44104),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2824  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_30395),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2826  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_30415),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2827  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_48302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2828  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_44104),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2829  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_44566),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2830  (
+	.A0(n_30399),
+	.A1(n_44104),
+	.S(n_13481),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2831  (
+	.A0(soc_top_u_top_u_core_lsu_wdata[18]),
+	.A1(n_30415),
+	.S(n_13481),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2832  (
+	.A0(n_36918),
+	.A1(n_51483),
+	.S(n_66569),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2833  (
+	.A0(n_55031),
+	.A1(n_67060),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2834  (
+	.A0(n_66457),
+	.A1(soc_top_u_top_u_core_lsu_wdata[21]),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2835  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_30396),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2836  (
+	.A(n_25092),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2837  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2838  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.B(n_13481),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2839  (
+	.A(n_66569),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2840  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2841  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ub_n_534 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2843  (
+	.A(n_66569),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g2844  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_2 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g707  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_8 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_81 ),
+	.C(soc_top_u_top_u_core_lsu_wdata[31]),
+	.X(n_27095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g708  (
+	.A(n_37502),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ),
+	.C(n_16220),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g709  (
+	.A(n_70813),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ),
+	.C(n_51105),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g710  (
+	.A(n_44200),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_78 ),
+	.C(FE_DBTN92_n_49646),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g711  (
+	.A(n_70029),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ),
+	.C(n_16134),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g712  (
+	.A(FE_DBTN35_n_54412),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_76 ),
+	.C(n_71047),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g713  (
+	.A1(n_74769),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g715  (
+	.A(n_73650),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_73 ),
+	.C(n_31180),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g716  (
+	.A(n_67778),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_72 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g717  (
+	.A(n_33467),
+	.B(n_51656),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g719  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_69 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g720  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_68 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g721  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_67 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_16 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g722  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g723  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g724  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g725  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g726  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g727  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g728  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g729  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g730  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g731  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ),
+	.A2(n_53326),
+	.B1(n_53327),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g732  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g733  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ),
+	.A2(n_73088),
+	.B1(n_73087),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g734  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g735  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g736  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g737  (
+	.A1(n_35669),
+	.A2(n_36679),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_147 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g740  (
+	.A_N(n_30396),
+	.B(n_30147),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g741  (
+	.A_N(n_50715),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g742  (
+	.A(n_48302),
+	.B_N(n_48306),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g743  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B_N(n_50715),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g744  (
+	.A(n_66776),
+	.B_N(n_36105),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g745  (
+	.A_N(n_31260),
+	.B(n_16135),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g746  (
+	.A_N(n_51483),
+	.B(n_51477),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g747  (
+	.A(n_51477),
+	.B_N(n_51483),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g750  (
+	.A(n_66457),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g751  (
+	.A(n_36786),
+	.B_N(n_39713),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g752  (
+	.A(n_30147),
+	.B_N(n_30396),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g753  (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.B(n_54453),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g754  (
+	.A_N(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B(n_31465),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_35 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g756  (
+	.A_N(n_30395),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_33 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g757  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B_N(n_30395),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g758  (
+	.A(n_31465),
+	.B_N(soc_top_u_top_u_core_lsu_wdata[18]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_31 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g759  (
+	.A_N(n_73723),
+	.B(n_68801),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g760  (
+	.A_N(n_30415),
+	.B(n_30151),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g761  (
+	.A(n_16135),
+	.B_N(n_31260),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g762  (
+	.A_N(n_36105),
+	.B(n_66776),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g763  (
+	.A_N(n_36918),
+	.B(n_68926),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g764  (
+	.A(n_54453),
+	.B_N(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g765  (
+	.A(n_68926),
+	.B_N(n_36918),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g766  (
+	.A(n_54575),
+	.B_N(n_31276),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g767  (
+	.A_N(n_31276),
+	.B(n_54575),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g768  (
+	.A_N(n_48306),
+	.B(n_48302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g769  (
+	.A_N(n_39713),
+	.B(n_36786),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g771  (
+	.A(n_30151),
+	.B_N(n_30415),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g772  (
+	.A_N(n_30144),
+	.B(n_30399),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g773  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.B(n_66457),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g774  (
+	.A(n_68801),
+	.B_N(n_73723),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g775  (
+	.A_N(n_55031),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g776  (
+	.A(n_30399),
+	.B_N(n_30144),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g777  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.B_N(n_55031),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g784  (
+	.A(n_16415),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g2  (
+	.A1(n_36679),
+	.A2(n_35669),
+	.B1_N(n_44104),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1793  (
+	.A(n_31260),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_253 ),
+	.Y(n_27062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1795  (
+	.A(n_24845),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_269 ),
+	.X(n_27084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1798  (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_259 ),
+	.B1(n_66688),
+	.Y(n_27072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_289 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_283 ),
+	.Y(n_27078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1802  (
+	.A(FE_DBTN35_n_54412),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_257 ),
+	.Y(n_27079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1803  (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_270 ),
+	.B1(n_66686),
+	.Y(n_27082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1805  (
+	.A(n_40810),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_260 ),
+	.Y(n_27076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1806  (
+	.A(n_68796),
+	.B(n_66245),
+	.Y(n_27077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1807  (
+	.A(n_67778),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_261 ),
+	.Y(n_27075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1808  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_268 ),
+	.Y(n_27071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1815  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_383 ),
+	.B(FE_DBTN28_n_73723),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_289 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1818  (
+	.A(n_73770),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_232 ),
+	.Y(n_27068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1819  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_275 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_376 ),
+	.Y(n_27067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1820  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_276 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_375 ),
+	.Y(n_27066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1821  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(n_57993),
+	.C(n_73723),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_283 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1822  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_255 ),
+	.Y(n_27065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1824  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_254 ),
+	.Y(n_27063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1827  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_230 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_530 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_276 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1828  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_228 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_275 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1830  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(n_37906),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_273 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1833  (
+	.A(n_67358),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_234 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_270 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1834  (
+	.A(n_66249),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_269 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1836  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_373 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_268 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1840  (
+	.A(n_30147),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_224 ),
+	.Y(n_27059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1841  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ),
+	.C(n_74528),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_263 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1843  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_204 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_234 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_261 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1844  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_187 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_260 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1845  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_234 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_259 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1847  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_124 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_257 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1849  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_255 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1850  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_254 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1851  (
+	.A(n_51477),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_253 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1867  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1871  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_191 ),
+	.B(n_64645),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1872  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_215 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_431 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1874  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_215 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_202 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1876  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_215 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_228 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1879  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_205 ),
+	.Y(n_27057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1880  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_118 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_224 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1881  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_223 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1882  (
+	.A(n_30151),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_149 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_222 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1888  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_215 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1889  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_205 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_359 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1890  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_205 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_179 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_214 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1898  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1899  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_166 ),
+	.B(n_33472),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_204 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1900  (
+	.A(n_51645),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1902  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_202 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1903  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1904  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_200 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1911  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_193 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1912  (
+	.A(n_73099),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_371 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ),
+	.D(n_66252),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1916  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_165 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1918  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_164 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_175 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1923  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_373 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1924  (
+	.A(n_30147),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_118 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1925  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_128 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1928  (
+	.A(n_51651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_110 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1931  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_146 ),
+	.B(n_66252),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1936  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_168 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1938  (
+	.A(n_33472),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1939  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_139 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1944  (
+	.A(n_36679),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ),
+	.Y(n_27054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1945  (
+	.A(n_73770),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1947  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1948  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_373 ),
+	.B(n_66690),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_168 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1955  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_146 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1961  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
+	.B(n_40810),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1962  (
+	.A(n_40810),
+	.B(n_57993),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1965  (
+	.A(n_37906),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1969  (
+	.A_N(n_36679),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_149 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1970  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1976  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1982  (
+	.A(n_73099),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1986  (
+	.A(n_68796),
+	.B(n_67778),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1990  (
+	.A(n_15302),
+	.B(n_33471),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1991  (
+	.A(n_33471),
+	.B(n_15302),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1992  (
+	.A(n_57993),
+	.B(FE_DBTN28_n_73723),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g1993  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_530 ),
+	.B(n_32877),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2020  (
+	.A(n_30151),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2040  (
+	.A(n_69701),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2077  (
+	.A(n_30144),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_212 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_350 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_371 ),
+	.B(n_73099),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_359 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2118  (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.B(n_37502),
+	.C(FE_DBTN92_n_49646),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_364 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2125  (
+	.A(n_30147),
+	.B(n_69523),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_371 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2126  (
+	.A(n_48306),
+	.B(n_36679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_372 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2127  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
+	.B(n_37906),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_373 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2129  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_230 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_375 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2130  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_228 ),
+	.B(n_36786),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_376 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2133  (
+	.A(n_30151),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_149 ),
+	.X(n_27055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2137  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_193 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.C(n_57993),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_383 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2148  (
+	.A(n_69523),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_214 ),
+	.X(n_27060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2149  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.B(n_67357),
+	.X(n_27064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2150  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_126 ),
+	.B_N(FE_DBTN35_n_54412),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_396 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2163  (
+	.A(n_48306),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_222 ),
+	.Y(n_27056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2185  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_181 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ),
+	.C_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_88 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_431 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g2197  (
+	.A(n_40810),
+	.B(FE_DBTN28_n_73723),
+	.C(FE_DBTN92_n_49646),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_113 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_fopt2249  (
+	.A(n_31465),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [31]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao2  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [30]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao3  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [29]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao5  (
+	.A1(n_30406),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [28]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao6  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [27]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao8  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(n_30423),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao9  (
+	.A1(n_67062),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [25]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao11  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(n_30404),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao12  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [23]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao14  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [22]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao15  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [21]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao17  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [20]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao18  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [19]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao20  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(n_67061),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao21  (
+	.A1(n_67953),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [17]),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao23  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.A2(n_25001),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.B2(n_30407),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao48  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_136 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao50  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_134 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao51  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_132 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao53  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_130 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao54  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_128 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao56  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_126 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao57  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_124 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao59  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_122 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao60  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_135 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao62  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_133 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao63  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_131 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao65  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_129 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao66  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_127 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao68  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_125 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao69  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_123 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao71  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_121 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao72  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao74  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao75  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao77  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao78  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao80  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao81  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao83  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao96  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_120 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao98  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_119 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao99  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_118 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao101  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_117 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao102  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_116 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao104  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_115 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao105  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_114 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao107  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_113 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao108  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_112 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao110  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_111 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao111  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_110 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao113  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_109 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao114  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_108 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_84 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao116  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_107 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao117  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_106 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao119  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_105 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao120  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_104 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao122  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_103 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao123  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_102 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao125  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_101 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao126  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_100 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao128  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_99 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao129  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_98 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao131  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_97 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao132  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao134  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao135  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao137  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao138  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao140  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao141  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao144  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_96 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao146  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_94 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao147  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_95 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao149  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_93 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao150  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_92 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao152  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_91 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao153  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_90 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao155  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_89 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao156  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_88 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao158  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_87 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao159  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_84 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_86 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao161  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_85 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao162  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_84 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao164  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_83 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao165  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_82 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao167  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_81 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao168  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_80 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao170  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_79 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao171  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_78 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao173  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_77 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao174  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_76 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao176  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_75 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao177  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_74 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao179  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_73 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao180  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_72 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao182  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_71 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao183  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_70 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao185  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_69 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao186  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_68 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao188  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B1(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_67 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao200  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ),
+	.X(n_27048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao203  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ),
+	.X(n_27046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao204  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
+	.X(n_27045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao206  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_56 ),
+	.X(n_27044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao207  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
+	.X(n_27043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao215  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
+	.X(n_27038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao216  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
+	.X(n_27037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao219  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
+	.X(n_27035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao221  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_46 ),
+	.X(n_27034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao228  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
+	.X(n_27029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao230  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
+	.X(n_27028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_cdnao231  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
+	.X(n_27027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g528  (
+	.A(n_30956),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_36 ),
+	.Y(n_27024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g529  (
+	.A(n_30956),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ),
+	.Y(n_27023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g530  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [1]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_66 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_32 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g531  (
+	.A(n_30956),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
+	.Y(n_27022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g532  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ),
+	.B(FE_DBTN112_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_renorm_shamt_1),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g533  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_29 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g534  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_28 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g535  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_27 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g536  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_26 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g537  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_25 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g538  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_24 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g539  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_23 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g540  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_22 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g541  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_21 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g542  (
+	.A(n_25001),
+	.B_N(n_30406),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_20 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g543  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [10]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_19 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g544  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [14]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_18 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g545  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [8]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_17 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g546  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [15]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_16 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g547  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [13]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_15 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g548  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [7]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_14 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g549  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [0]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_13 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g550  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_12 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g551  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [11]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_11 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g552  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_10 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g553  (
+	.A(n_25001),
+	.B_N(n_67953),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_9 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g554  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [5]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_8 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g555  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [6]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_7 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g556  (
+	.A(n_25001),
+	.B_N(n_67062),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_6 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g557  (
+	.A(n_25001),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [4]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_5 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g558  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [3]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_4 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_g562  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8734  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1920 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1917 ),
+	.Y(n_26896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8736  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_66267),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1424 ),
+	.Y(n_26893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8737  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_33218),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1919 ),
+	.Y(n_26891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8744  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1926 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ),
+	.Y(n_26886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8746  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_74438),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ),
+	.Y(n_26888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8747  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1925 ),
+	.Y(n_26907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8748  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1198 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1920 ),
+	.Y(n_26915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8749  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ),
+	.B2(n_67894),
+	.Y(n_26914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8750  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ),
+	.B1(n_67894),
+	.B2(n_66267),
+	.Y(n_26913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8751  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ),
+	.B1(n_67894),
+	.B2(n_33218),
+	.Y(n_26911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8752  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ),
+	.A2_N(n_67894),
+	.B1(n_67894),
+	.B2(n_73798),
+	.Y(n_26910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8753  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ),
+	.B1(n_67894),
+	.B2(n_74438),
+	.Y(n_26908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8754  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ),
+	.B1(n_67894),
+	.B2(n_66266),
+	.Y(n_26916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8755  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1926 ),
+	.Y(n_26906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8756  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ),
+	.A2_N(n_67894),
+	.B1(n_67894),
+	.B2(n_67568),
+	.Y(n_26905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8757  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1529 ),
+	.Y(n_26903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8758  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1928 ),
+	.Y(n_26902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8759  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1530 ),
+	.Y(n_26901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8760  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ),
+	.B1(n_67894),
+	.B2(n_67008),
+	.Y(n_26900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8761  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1177 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1929 ),
+	.Y(n_26917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8762  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ),
+	.X(n_26966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8763  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_770 ),
+	.X(n_26968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8768  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1177 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_819 ),
+	.X(n_26967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8769  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1198 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1090 ),
+	.X(n_26965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8771  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1194 ),
+	.B1(n_67894),
+	.B2(n_67009),
+	.Y(n_26912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8772  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1299 ),
+	.Y(n_26958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8773  (
+	.A1_N(n_67894),
+	.A2_N(n_63803),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ),
+	.Y(n_26957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8774  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ),
+	.Y(n_26956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8775  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1181 ),
+	.Y(n_26953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8776  (
+	.A1_N(n_67894),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ),
+	.B1(n_67894),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ),
+	.Y(n_26952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8777  (
+	.A1(n_67894),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1175 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1305 ),
+	.Y(n_26918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8778  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ),
+	.Y(n_26955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8779  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1201 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ),
+	.Y(n_26954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8780  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ),
+	.Y(n_26959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8781  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1298 ),
+	.Y(n_26969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8782  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ),
+	.Y(n_26970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8791  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ),
+	.B(n_67894),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1305 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8796  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1300 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8797  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1299 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8798  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1298 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8799  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1297 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8800  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1296 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8801  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1295 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8805  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ),
+	.B(n_67894),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1291 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8809  (
+	.A1(n_74303),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1287 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8810  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1130 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8811  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1285 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8812  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1131 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8822  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8837  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8845  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1111 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1250 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8846  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8849  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ),
+	.S(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8862  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8864  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1126 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8866  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1124 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8876  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1131 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1220 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8877  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8878  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1218 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8886  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1130 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8888  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8893  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1189 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8894  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1186 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8895  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1181 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8896  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1179 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8897  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1175 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8899  (
+	.A1(n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ),
+	.B1(FE_DBTN14_n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1207 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8901  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8902  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ),
+	.S(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8903  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ),
+	.S(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1201 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8904  (
+	.A1_N(FE_DBTN14_n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ),
+	.B1(FE_DBTN14_n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8905  (
+	.A1(n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1198 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8906  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8908  (
+	.A1(FE_DBTN14_n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8909  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1194 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8910  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1044 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8911  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1192 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8912  (
+	.A1(n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8913  (
+	.A1(FE_DBTN14_n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1190 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8914  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1144 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1188 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8915  (
+	.A1(n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1142 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1187 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8916  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1185 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8917  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1184 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8918  (
+	.A1_N(n_66542),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ),
+	.B1(n_66542),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1183 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8919  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1512 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1182 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8920  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1180 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8921  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1178 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8922  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1138 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1493 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1177 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8923  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1135 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1158 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1176 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8924  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1137 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8930  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1166 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8931  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1165 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8932  (
+	.A(n_67432),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1164 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8933  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1163 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8934  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1026 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1162 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8935  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1161 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8937  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1159 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8938  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1158 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8941  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8942  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8944  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8945  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1151 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8946  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1150 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8949  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1020 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8952  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1144 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8953  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1143 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8954  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1017 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8956  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8957  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1139 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8958  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8959  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8961  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ),
+	.B(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1135 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8963  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8964  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8965  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8966  (
+	.A(n_74298),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8967  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1114 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8968  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8969  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1641 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1111 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8970  (
+	.A(n_74303),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8971  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1458 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1103 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8972  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8973  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8974  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1459 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1100 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8975  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1099 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8976  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1459 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1070 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1098 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8977  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1097 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8978  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1096 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8980  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ),
+	.B2(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1094 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8982  (
+	.A1(n_26986),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8983  (
+	.A1(n_26986),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_933 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1012 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1131 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8984  (
+	.A1(n_26986),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8985  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8986  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8987  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1066 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8988  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1126 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8989  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8990  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1124 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8991  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8992  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_913 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8993  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8995  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8996  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g8997  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9000  (
+	.A1(n_26986),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9001  (
+	.A1_N(n_26986),
+	.A2_N(n_67467),
+	.B1(n_26986),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1106 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9002  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9003  (
+	.A1(n_26986),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1104 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9004  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1092 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9005  (
+	.A(n_67894),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ),
+	.Y(n_26981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9006  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1090 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9007  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1089 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9008  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1088 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9009  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1087 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9010  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1086 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9011  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1085 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9012  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1084 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9014  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1082 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9015  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_948 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1081 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9016  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1080 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9019  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1077 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9020  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1076 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9022  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1074 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9024  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1072 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9025  (
+	.A(n_67468),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1071 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9026  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1756 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1070 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9027  (
+	.A(n_67457),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1069 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9029  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1067 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9030  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1066 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9031  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1065 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9032  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1064 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9033  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_956 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1063 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9034  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1062 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9035  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_948 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1061 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9036  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1060 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9038  (
+	.A(n_67894),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ),
+	.Y(n_26982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9039  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1057 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9040  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1055 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9041  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1053 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9042  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1051 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9043  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1047 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9044  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1044 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9045  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1042 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9046  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1040 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9047  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1037 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9048  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1034 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9051  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1020 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1019 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9052  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1015 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9054  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1013 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9055  (
+	.A(n_67470),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1012 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9056  (
+	.A(n_67446),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1713 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1011 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9057  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1010 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9059  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1056 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9060  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1054 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9061  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1052 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9062  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9063  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_970 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1049 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9064  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_969 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1048 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9065  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_995 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1046 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9066  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9067  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_966 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1043 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9068  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1041 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9069  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1039 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9070  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9071  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1036 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9072  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1035 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9073  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1033 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9075  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9076  (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9077  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1029 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9078  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1028 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9079  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1027 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9080  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1026 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9081  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9082  (
+	.A0(n_73116),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9083  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1021 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9084  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1000 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1020 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9085  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_638 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9086  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1017 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9087  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_625 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9088  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1006 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9089  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1005 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9090  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1004 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9091  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_832 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1003 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9092  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1002 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9093  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1001 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9094  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1000 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9095  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_999 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9096  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_998 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9097  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_997 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9098  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_996 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9099  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_995 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9100  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_994 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9101  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_993 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9102  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_992 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9103  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_825 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_991 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9104  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_990 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9105  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_857 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_989 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9106  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_846 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_988 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9107  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_987 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9109  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_985 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9110  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_984 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9112  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_982 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9113  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_831 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_981 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9114  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_980 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9115  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_979 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9116  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_854 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_978 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9117  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_977 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9118  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_857 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_976 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9119  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_975 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9120  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_825 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_974 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9121  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_854 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_973 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9122  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_972 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9123  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_971 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9124  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_970 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9125  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_969 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9126  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_968 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9127  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_846 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_967 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9128  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_966 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9129  (
+	.A(n_73116),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_965 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9130  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_964 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9131  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_963 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9132  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1008 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9133  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_825 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1007 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9136  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_959 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9137  (
+	.A(n_67457),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_951 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9138  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_942 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9140  (
+	.A(n_67467),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_938 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9141  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9142  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1756 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_933 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9143  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_931 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9144  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9146  (
+	.A(n_67470),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9147  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_924 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9148  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_923 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9149  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_922 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9151  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9152  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9153  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_832 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_918 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9154  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_831 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9155  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_916 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9156  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9157  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_914 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9158  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_913 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9160  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_911 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9161  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ),
+	.A2(n_66274),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ),
+	.B2(n_67010),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_910 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9163  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ),
+	.B2(n_66274),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_908 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9165  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9166  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_905 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9167  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_896 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_960 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9168  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_958 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9169  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_817 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_957 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9170  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_956 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9171  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_884 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_955 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9172  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_954 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9173  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_872 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_953 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9174  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_871 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_952 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9176  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_949 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9177  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_868 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_948 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9178  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_947 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9179  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_886 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9180  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9181  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_821 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_944 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9182  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_943 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9183  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9184  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_900 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_862 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9188  (
+	.A1(n_26985),
+	.A2(n_67010),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_934 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9190  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_930 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9191  (
+	.A1(n_26985),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_877 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9194  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_902 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9195  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_901 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9196  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_745 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_900 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9197  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_745 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_899 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9199  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_897 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9200  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_896 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9202  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_894 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9203  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_893 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9204  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_892 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9205  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_891 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9206  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_890 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9208  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_888 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9209  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9210  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_886 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9211  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_885 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9212  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_884 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9213  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_883 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9215  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_881 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9216  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_880 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9218  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_878 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9219  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1859 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_877 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9220  (
+	.A(n_67531),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_876 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9221  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_875 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9222  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_874 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9223  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_873 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9224  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_872 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9225  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9226  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_870 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9227  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_869 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9228  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_868 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9229  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_867 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9230  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_866 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9231  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_865 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9233  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_863 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9234  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_862 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9241  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_824 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9242  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1859 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_823 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9244  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_821 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9245  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ),
+	.Y(n_26983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9246  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_819 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9248  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1496 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_817 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9250  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_440 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_815 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9253  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9254  (
+	.A1(n_26985),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_634 ),
+	.D1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_858 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9255  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_809 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_857 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9256  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ),
+	.B(n_67521),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_856 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9257  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_807 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_855 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9258  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_854 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9259  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_788 ),
+	.B(n_64686),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_853 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9260  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_852 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9261  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_802 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9262  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_801 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_850 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9263  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_849 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9264  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_848 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9265  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_808 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_847 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9266  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_846 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9267  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_845 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9268  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_844 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9269  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9271  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_841 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9272  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_840 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9273  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_839 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9274  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_838 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9275  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_837 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9276  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9277  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_835 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9278  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_834 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9279  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_833 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9280  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_832 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9281  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_777 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_831 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9282  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_682 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9283  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_805 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_825 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9284  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_647 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_812 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9285  (
+	.A(n_64695),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_811 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9286  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_810 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9287  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_809 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9288  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_808 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9289  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1871 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_807 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9290  (
+	.A(n_64698),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_806 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9291  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_805 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9292  (
+	.A(n_64694),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_804 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9293  (
+	.A(n_64695),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_803 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9294  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_802 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9295  (
+	.A(n_64696),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_801 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9296  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1935 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_800 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9297  (
+	.A(n_64698),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_799 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9298  (
+	.A(n_64693),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_798 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9299  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_797 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9300  (
+	.A(n_64696),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_796 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9301  (
+	.A(n_64700),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_795 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9302  (
+	.A(n_64693),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_794 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9303  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1871 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_793 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9306  (
+	.A(n_64694),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_790 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9307  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1501 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_789 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9308  (
+	.A(n_64690),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_788 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9309  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1500 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_787 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9310  (
+	.A(n_64699),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_786 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9311  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_785 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9312  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_784 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9313  (
+	.A(n_64685),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_783 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9314  (
+	.A(n_64689),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_782 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9315  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1501 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_781 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9317  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1500 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_779 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9318  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1935 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_778 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9319  (
+	.A(n_64699),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_777 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9320  (
+	.A(n_64685),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_776 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9321  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_775 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9322  (
+	.A(n_64700),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_774 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9323  (
+	.A(n_64690),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_773 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9324  (
+	.A(FE_DBTN14_n_66542),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_772 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9326  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_770 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9328  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_640 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_768 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9329  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_767 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9330  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_766 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9331  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_765 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9337  (
+	.A(n_67531),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_737 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9339  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_734 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9342  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_636 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_728 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9343  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_639 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_727 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9344  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_726 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9345  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_639 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_725 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9346  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_724 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9347  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_723 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9348  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_647 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_722 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9349  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_721 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9350  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_720 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9351  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_719 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9352  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_718 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9353  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_717 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9354  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_716 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9355  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_640 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_715 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9356  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_560 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_714 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9358  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_712 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9360  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ),
+	.A2(n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_699 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_710 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9361  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_620 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_763 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9363  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_555 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_561 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_761 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9365  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_616 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_617 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_759 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9366  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9367  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9368  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9369  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_613 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_755 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9370  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_754 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9371  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_753 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9372  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_610 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_619 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_752 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9373  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_611 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_751 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9374  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_558 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_750 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9375  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_749 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9376  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_622 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_748 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9377  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9379  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_683 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_745 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9380  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9382  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_674 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9383  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9384  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_693 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9386  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_686 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9389  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_635 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_733 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9390  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_636 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_730 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9391  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_633 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9394  (
+	.A(n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1915 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_699 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9399  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_694 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9400  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_693 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9403  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_690 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9404  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_570 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_689 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9405  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9406  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_687 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9407  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_686 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9408  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_685 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9409  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_626 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_684 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9410  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_683 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9411  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_623 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_682 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9412  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9413  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_680 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9414  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_679 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9415  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_678 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9419  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ),
+	.B(FE_DBTN75_n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_674 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9420  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_673 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9421  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9425  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_623 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9426  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9427  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ),
+	.B(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9430  (
+	.A(n_64684),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9433  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_636 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_638 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9435  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_427 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_465 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_635 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9436  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_447 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_460 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_634 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9437  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_547 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_442 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_633 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9440  (
+	.A1(n_29843),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1504 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_666 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9445  (
+	.A1(n_27009),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_661 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9447  (
+	.A1(n_29860),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(n_66698),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_659 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9451  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1477 ),
+	.B(n_64688),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_655 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9458  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_649 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9459  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_562 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_551 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_648 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9460  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_647 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9461  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_592 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_614 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_646 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9462  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_550 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_645 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9463  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_615 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_644 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9464  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_643 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9465  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_612 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_642 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9466  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_640 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9467  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_639 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9468  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_563 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_636 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9470  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_623 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_625 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9472  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_622 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9473  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_460 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_430 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_621 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9474  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29843),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_620 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9475  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29847),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_619 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9476  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27018),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_618 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9477  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27019),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_617 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9478  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27018),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_616 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9479  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_26994),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_615 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9480  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_26997),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_614 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9481  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29847),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_613 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9482  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_29840),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_612 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9483  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27008),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_611 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9484  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29843),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_610 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9485  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_29863),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_609 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9486  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_608 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9487  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_29870),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_607 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9488  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29860),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_606 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9489  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29860),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_605 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9490  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_29871),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_604 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9491  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27006),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_603 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9492  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_29840),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_602 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9493  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_26998),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_601 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9494  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_26999),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_600 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9495  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27010),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_599 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9496  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_27021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_598 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9497  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_29871),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_597 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9498  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_26993),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_596 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9499  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_26999),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_595 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9500  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27010),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_594 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9501  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_26998),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_593 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9502  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_29870),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_592 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9503  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_26997),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9504  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_29850),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_590 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9505  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27015),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_589 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9506  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_26993),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_588 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9507  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29841),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_587 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9508  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27006),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_586 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9509  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_27000),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_585 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9510  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29849),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9511  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_465 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_626 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9512  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_433 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_623 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9513  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_566 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9514  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_564 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9515  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_27020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_563 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9516  (
+	.A(FE_DBTN0_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_486),
+	.B(n_29863),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_562 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9517  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27008),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_561 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9518  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29851),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_560 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9519  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29849),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_559 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9520  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_29851),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_558 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9521  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27007),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_557 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9522  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_534 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_556 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9523  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27007),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_555 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9524  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_29841),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_554 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9525  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27009),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_553 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9526  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27009),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_552 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9527  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_29850),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_551 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9528  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_26994),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_550 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9529  (
+	.A(FE_DBTN1_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_480),
+	.B(n_14000),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_549 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9530  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ),
+	.B(n_27017),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_548 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9531  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_547 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9538  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_443 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9539  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9540  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_455 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9541  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_445 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_580 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9542  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_579 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9543  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9544  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9545  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_453 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_576 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9547  (
+	.A(FE_DBTN2_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_3_i_opgroup_block_gen_merged_slice_i_multifmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fpnew_cast_multi_srl_468_43_n_465),
+	.B(n_27015),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_574 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9548  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_573 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9549  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_456 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9550  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9551  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_570 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9552  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_569 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9553  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9554  (
+	.A(n_26986),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_567 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9555  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.B(n_26986),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_565 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9561  (
+	.A(n_64682),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9562  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_420 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_534 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9563  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_533 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9567  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9570  (
+	.A(n_26997),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_526 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9574  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9578  (
+	.A(n_27000),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_518 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9579  (
+	.A(n_27004),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_517 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9580  (
+	.A(n_26984),
+	.B_N(n_14000),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9581  (
+	.A(FE_DBTN75_n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9583  (
+	.A(n_27002),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_513 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9584  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9585  (
+	.A(n_29870),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_511 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9586  (
+	.A(n_64679),
+	.B(n_14000),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_510 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9587  (
+	.A(n_26993),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_509 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9588  (
+	.A(n_26998),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_508 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9589  (
+	.A(n_29840),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_507 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9596  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_497 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_498 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9600  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9610  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9618  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_468 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9626  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_460 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9627  (
+	.A(FE_DBTN75_n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9628  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9629  (
+	.A(n_26999),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_456 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9630  (
+	.A(n_26994),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_455 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9631  (
+	.A(FE_DBTN75_n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_420 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_454 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9632  (
+	.A(n_27001),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_453 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9634  (
+	.A(FE_DBTN75_n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9635  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_451 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9638  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_430 ),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_448 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9639  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B(n_29851),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_447 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9641  (
+	.A(n_29869),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_445 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9642  (
+	.A(FE_DBTN75_n_26984),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_444 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9643  (
+	.A(n_29871),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_443 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9644  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_433 ),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_442 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9645  (
+	.A(n_26985),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_441 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9646  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_440 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9647  (
+	.A(FE_DBTN14_n_66542),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9649  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_497 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9650  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9651  (
+	.A(FE_DBTN14_n_66542),
+	.B(n_67894),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_491 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9652  (
+	.A(FE_DBTN75_n_26984),
+	.B(n_64680),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9653  (
+	.A(n_26984),
+	.B(n_64680),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_480 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9654  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9655  (
+	.A(n_66542),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9656  (
+	.A(FE_DBTN75_n_26984),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_465 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9657  (
+	.A(n_26984),
+	.B(n_64679),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9663  (
+	.A(n_27021),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_433 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9666  (
+	.A(n_27020),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_430 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9669  (
+	.A(n_27019),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_427 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9677  (
+	.A(n_27018),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_420 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9871  (
+	.A(n_67894),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9958  (
+	.A(n_26985),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9959  (
+	.A(n_27004),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9966  (
+	.A(n_27010),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_130 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9968  (
+	.A(n_27015),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_128 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9975  (
+	.A(n_29849),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_121 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9980  (
+	.A(n_27017),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_116 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g9982  (
+	.A(n_29851),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_114 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_12 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10096  (
+	.A(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10099  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1205 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.X(n_26976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10106  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1537 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1101 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10112  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1537 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1218 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1424 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10139  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1016 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.X(n_26980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10140  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1018 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.X(n_26979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10141  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1017 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.X(n_26977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10142  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1020 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.X(n_26978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10145  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ),
+	.A2(n_67562),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ),
+	.B2(n_67871),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1457 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10146  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_700 ),
+	.A2(n_67562),
+	.B1(n_67522),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_701 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1458 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10147  (
+	.A1(n_26985),
+	.A2(n_67872),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_887 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1459 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10148  (
+	.A1(n_26985),
+	.A2(n_67871),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B2(n_67872),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1460 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10165  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_427 ),
+	.B(n_26984),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1477 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10174  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B(FE_DBTN14_n_66542),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1486 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10181  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1022 ),
+	.B(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1493 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10183  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_640 ),
+	.B(n_26986),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1495 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10184  (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_626 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_618 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1496 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10188  (
+	.A(n_64692),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_457 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1500 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10189  (
+	.A(n_74436),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_448 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1501 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10190  (
+	.A_N(n_29869),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1502 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10191  (
+	.A_N(n_29860),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1503 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10192  (
+	.A_N(n_29847),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1504 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10194  (
+	.A_N(n_27006),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1506 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10200  (
+	.A_N(FE_DBTN14_n_66542),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1031 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1512 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10204  (
+	.A_N(n_27002),
+	.B(n_26984),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10207  (
+	.A_N(n_64680),
+	.B(n_29850),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1519 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10209  (
+	.A_N(n_26986),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_906 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1521 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10217  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1116 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1126 ),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1529 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10218  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1112 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10225  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ),
+	.A2(n_26986),
+	.B1(n_67468),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1537 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10239  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_66266),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1122 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1210 ),
+	.Y(n_26897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10241  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1271 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1118 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1208 ),
+	.Y(n_26895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10251  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1197 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1155 ),
+	.X(n_26964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10256  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_67009),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1115 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1220 ),
+	.Y(n_26892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10329  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ),
+	.A2(n_26986),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_941 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1641 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10401  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_497 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_729 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_441 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_639 ),
+	.C1(FE_DBTN14_n_66542),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1713 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10444  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ),
+	.B2(n_26985),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1756 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10546  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_572 ),
+	.A2(n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_577 ),
+	.B2(FE_DBTN75_n_26984),
+	.X(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1859 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10558  (
+	.A1(FE_DBTN75_n_26984),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_137 ),
+	.B1(n_64697),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1871 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10602  (
+	.A1(n_29863),
+	.A2(n_64679),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_535 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1915 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10604  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1120 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1107 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1917 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10606  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1113 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1132 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_478 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1919 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10607  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1128 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(n_67432),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1920 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10612  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1129 ),
+	.A2(n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1121 ),
+	.B2(FE_DBTN14_n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1925 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10613  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1119 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1128 ),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1926 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10615  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1114 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1928 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10616  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1038 ),
+	.B2(n_66542),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1929 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g10622  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_420 ),
+	.A2(n_26984),
+	.B1(n_64691),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1935 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1195 (
+	.A(soc_top_u_top_u_core_pc_if[28]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_62),
+	.X(n_25634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1196 (
+	.A(soc_top_u_top_u_core_pc_if[24]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60),
+	.X(n_25630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1197 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56),
+	.B(soc_top_u_top_u_core_pc_if[20]),
+	.X(n_25626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1198 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
+	.B(soc_top_u_top_u_core_pc_if[16]),
+	.X(n_25622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1199 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_47),
+	.B(soc_top_u_top_u_core_pc_if[27]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_62),
+	.SUM(n_25633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1200 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_45),
+	.B(soc_top_u_top_u_core_pc_if[23]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_60),
+	.SUM(n_25629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1201 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
+	.B(soc_top_u_top_u_core_pc_if[12]),
+	.X(n_25618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1202 (
+	.A(soc_top_u_top_u_core_pc_if[30]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
+	.X(n_25636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1203 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_43),
+	.B(soc_top_u_top_u_core_pc_if[19]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_56),
+	.SUM(n_25625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1204 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_41),
+	.B(soc_top_u_top_u_core_pc_if[15]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_54),
+	.SUM(n_25621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1205 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37),
+	.B(soc_top_u_top_u_core_pc_if[11]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_52),
+	.SUM(n_25617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1206 (
+	.A(soc_top_u_top_u_core_pc_if[29]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_50),
+	.SUM(n_25635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1207 (
+	.A(soc_top_u_top_u_core_pc_if[31]),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40),
+	.Y(n_25637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1208 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_33),
+	.B(soc_top_u_top_u_core_pc_if[26]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_47),
+	.SUM(n_25632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1209 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30),
+	.B(soc_top_u_top_u_core_pc_if[22]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_45),
+	.SUM(n_25628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1210 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28),
+	.B(soc_top_u_top_u_core_pc_if[18]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_43),
+	.SUM(n_25624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1211 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
+	.B(soc_top_u_top_u_core_pc_if[14]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_41),
+	.SUM(n_25620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1212 (
+	.A(soc_top_u_top_u_core_pc_if[30]),
+	.B(soc_top_u_top_u_core_pc_if[29]),
+	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1213 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34),
+	.B(soc_top_u_top_u_core_pc_if[8]),
+	.X(n_25614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1214 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24),
+	.B(soc_top_u_top_u_core_pc_if[10]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_37),
+	.SUM(n_25616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1215 (
+	.A(soc_top_u_top_u_core_pc_if[26]),
+	.B(soc_top_u_top_u_core_pc_if[28]),
+	.C(soc_top_u_top_u_core_pc_if[27]),
+	.D(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_33),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1216 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
+	.B(soc_top_u_top_u_core_pc_if[7]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_34),
+	.SUM(n_25613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1217 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20),
+	.B(soc_top_u_top_u_core_pc_if[25]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_33),
+	.SUM(n_25631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1218 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21),
+	.B(soc_top_u_top_u_core_pc_if[21]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_30),
+	.SUM(n_25627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1219 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
+	.B(soc_top_u_top_u_core_pc_if[17]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_28),
+	.SUM(n_25623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1220 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_19),
+	.B(soc_top_u_top_u_core_pc_if[13]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_26),
+	.SUM(n_25619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1221 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17),
+	.B(soc_top_u_top_u_core_pc_if[9]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_24),
+	.SUM(n_25615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1222 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.B(soc_top_u_top_u_core_pc_if[6]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_22),
+	.SUM(n_25612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1223 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3),
+	.B_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1224 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3),
+	.C_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_20), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1225 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_19), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1226 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10),
+	.B(soc_top_u_top_u_core_pc_if[13]),
+	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1227 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1228 (
+	.A(soc_top_u_top_u_core_pc_if[6]),
+	.B(soc_top_u_top_u_core_pc_if[8]),
+	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.D(soc_top_u_top_u_core_pc_if[7]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1229 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_11),
+	.B(soc_top_u_top_u_core_pc_if[4]),
+	.X(n_25610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1230 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9),
+	.B(soc_top_u_top_u_core_pc_if[5]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_14),
+	.SUM(n_25611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1231 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7),
+	.B(soc_top_u_top_u_core_pc_if[3]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_11),
+	.SUM(n_25609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1232 (
+	.A_N(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4),
+	.B(soc_top_u_top_u_core_pc_if[14]),
+	.C(soc_top_u_top_u_core_pc_if[15]),
+	.D(soc_top_u_top_u_core_pc_if[16]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1233 (
+	.A(soc_top_u_top_u_core_pc_if[4]),
+	.B(soc_top_u_top_u_core_pc_if[3]),
+	.C(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1234 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1),
+	.Y(n_25608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1235 (
+	.A1(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0),
+	.A2(soc_top_u_top_u_core_pc_if[1]),
+	.B1(soc_top_u_top_u_core_pc_if[2]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_7), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1236 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.B(soc_top_u_top_u_core_pc_if[1]),
+	.COUT(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_5),
+	.SUM(n_25607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1237 (
+	.A(soc_top_u_top_u_core_pc_if[10]),
+	.B(soc_top_u_top_u_core_pc_if[9]),
+	.C(soc_top_u_top_u_core_pc_if[11]),
+	.D(soc_top_u_top_u_core_pc_if[12]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1238 (
+	.A(soc_top_u_top_u_core_pc_if[17]),
+	.B(soc_top_u_top_u_core_pc_if[19]),
+	.C(soc_top_u_top_u_core_pc_if[18]),
+	.D(soc_top_u_top_u_core_pc_if[20]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1239 (
+	.A(soc_top_u_top_u_core_pc_if[22]),
+	.B(soc_top_u_top_u_core_pc_if[21]),
+	.C(soc_top_u_top_u_core_pc_if[23]),
+	.D(soc_top_u_top_u_core_pc_if[24]),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1240 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.B(soc_top_u_top_u_core_pc_if[2]),
+	.X(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_g1241 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_addr_incr_two),
+	.Y(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_add_142_48_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g706 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_76),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g707 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_76), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g708 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_75), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g709 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g710 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_73), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g711 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_72), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g712 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_71), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g713 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_70), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g714 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_69), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g715 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_68), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g716 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_67), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g717 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[20]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_66), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g718 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[19]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_65), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g719 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[18]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_64), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g720 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_63), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g721 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g722 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_61), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g723 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g724 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[13]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_59), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g725 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_58), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g726 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_57), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g727 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_56), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g728 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_55), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g729 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_54), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g730 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_53), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g731 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[6]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51),
+	.C(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_52), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g732 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g733 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_50), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g734 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_49), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g735 (
+	.A1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30),
+	.A2(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47),
+	.B1(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.B2(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_48), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g736 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[1]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_47), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g737 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_46), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g738 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[3]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_45), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g739 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[10]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_44), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g740 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[9]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_43), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g741 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[8]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_42), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g742 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[10]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_41), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g743 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[7]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_40), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g744 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[14]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g745 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_38), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g746 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[4]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_37), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g747 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[0]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_36), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g748 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[17]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_35), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g749 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_34), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g750 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[15]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_33), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g751 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[3]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_32), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g752 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[5]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_31), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g753 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[2]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g754 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[16]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g755 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g756 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[17]),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_27), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g757 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_26), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g758 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[22]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_25), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 soc_top_u_uart_u_uart_core_rx_time_gte_47_24_g759 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[11]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_24), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g485 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g486 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_66),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g487 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g488 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[31]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g489 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[30]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_62),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g490 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_60),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g491 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g492 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g493 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g494 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_55),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g495 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g496 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g497 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_51),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g498 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_49),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g499 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_47),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g500 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g501 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_44),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g502 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_42),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g503 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_40),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g504 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[28]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[29]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_39), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g505 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g506 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_36),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g507 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_34),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g508 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g509 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_31),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g510 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_30), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g511 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_29), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g512 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[26]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[27]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_28), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g513 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g514 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_25),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g515 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_23),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g516 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_22), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g517 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[23]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_21), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g518 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.X(soc_top_u_uart_u_uart_core_rx_time_n_604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g519 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_18),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g520 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_17), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g521 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[15]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_16), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g522 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_14),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g523 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_13), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g524 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.B_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_12), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g525 (
+	.A_N(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_11), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g526 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_10), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g527 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0),
+	.B(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_9), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g528 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[3]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.X(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_8), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g529 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[0]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.COUT(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_7),
+	.SUM(soc_top_u_uart_u_uart_core_rx_time_n_606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g530 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[17]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_5), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g531 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[8]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.C(soc_top_u_uart_u_uart_core_rx_time_rx_time[10]),
+	.D(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_4), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g532 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[25]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[24]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_3), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g533 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[5]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_2), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g534 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_1), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_g535 (
+	.A(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.B(soc_top_u_uart_u_uart_core_rx_time_rx_time[12]),
+	.Y(soc_top_u_uart_u_uart_core_rx_time_inc_add_40_24_n_0), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g797271 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.Y(addinc_ADD_UNS_OP_2_n_603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797484 (
+	.A(n_32832),
+	.Y(n_32831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt12 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.Y(n_32877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797527 (
+	.A(n_73669),
+	.Y(n_32900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt797528 (
+	.A(n_18428),
+	.Y(n_32902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g797540  (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_242 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_528 ),
+	.COUT(UNCONNECTED316),
+	.SUM(n_25793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g797575 (
+	.A0(n_66534),
+	.A1(n_16559),
+	.S(n_66707),
+	.Y(n_32953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797590 (
+	.A(n_15090),
+	.B(n_27088),
+	.Y(n_32968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797593 (
+	.A(n_14679),
+	.B(n_29854),
+	.Y(n_32971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g797597 (
+	.A(n_56857),
+	.B(n_55883),
+	.X(n_32974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797601 (
+	.A(n_13735),
+	.B(n_64222),
+	.Y(n_32979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797604 (
+	.A(n_13308),
+	.B(n_44522),
+	.Y(n_32982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797608 (
+	.A(n_61826),
+	.B(n_33174),
+	.Y(n_32986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797609 (
+	.A(n_61826),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_rounded_abs [24]),
+	.Y(n_32987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797616 (
+	.A(n_61826),
+	.B(n_33167),
+	.Y(n_32994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797617 (
+	.A(n_61826),
+	.B(n_26856),
+	.Y(n_32995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797620 (
+	.A(n_61826),
+	.B(n_33157),
+	.Y(n_32998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g797634 (
+	.A(n_61826),
+	.B(n_67257),
+	.C(n_67309),
+	.X(n_33012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g797635 (
+	.A0(n_65193),
+	.A1(n_61826),
+	.S(n_26865),
+	.X(n_33013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g797648 (
+	.A0(n_65193),
+	.A1(n_61826),
+	.S(n_26868),
+	.X(n_33026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797649 (
+	.A(n_61826),
+	.B(n_67300),
+	.Y(n_33027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797650 (
+	.A(n_61826),
+	.B(n_67298),
+	.Y(n_33028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797651 (
+	.A(n_61826),
+	.B(n_33166),
+	.Y(n_33029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797659 (
+	.A(n_61826),
+	.B(n_26876),
+	.Y(n_33037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g797662 (
+	.A1(n_53130),
+	.A2(n_52469),
+	.B1(n_58959),
+	.X(n_33040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g797665 (
+	.A1(n_6701),
+	.A2(n_1044),
+	.B1(n_6687),
+	.B2(n_29692),
+	.X(n_33043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g797666 (
+	.A(n_4997),
+	.B_N(n_27235),
+	.Y(n_33044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g797667 (
+	.A(\soc_top_xbar_to_timer[a_data] [0]),
+	.B(n_4981),
+	.Y(n_33045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g797668 (
+	.A(soc_top_dccm_adapter_data_mem_rspfifo_wvalid),
+	.B(n_1890),
+	.X(n_33046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g797669 (
+	.A(n_1337),
+	.B(n_679),
+	.C(n_183),
+	.X(n_33047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g797670 (
+	.A(n_25107),
+	.B(n_25214),
+	.Y(n_33048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g797671 (
+	.A(n_25297),
+	.B_N(n_25285),
+	.Y(n_33049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g797672 (
+	.A(soc_top_dccm_adapter_data_mem_rspfifo_wvalid),
+	.B(n_27376),
+	.Y(n_33050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g797675 (
+	.A0(n_401),
+	.A1(n_25083),
+	.S(n_32995),
+	.Y(n_33053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797676 (
+	.A(n_18858),
+	.B(soc_top_u_top_u_core_rf_waddr_wb[1]),
+	.Y(n_33054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g797678 (
+	.A_N(n_13550),
+	.B(n_56254),
+	.Y(n_33055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797695 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.B(addinc_ADD_UNS_OP_2_n_47),
+	.X(n_33073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797701 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.B(n_44846),
+	.X(n_33079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g797702 (
+	.A1(n_33080),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_170 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_241 ),
+	.Y(n_33081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g797703 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_235 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[0].iteration_div_sqrt_add_59_42_n_357 ),
+	.Y(n_33080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g797704 (
+	.A1(n_33082),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_100 ),
+	.Y(n_33083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g797705 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_487 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_405 ),
+	.Y(n_33082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797706 (
+	.A(n_66221),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_640 ),
+	.Y(n_33084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797707 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_207 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_611 ),
+	.Y(n_33085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797709 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_548 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_794 ),
+	.Y(n_33087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797710 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_783 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_538 ),
+	.Y(n_33088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797721 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1985 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1962 ),
+	.Y(n_33099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797723 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_74203),
+	.Y(n_33101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_2 g797726 (
+	.A0(n_26748),
+	.A1(n_53331),
+	.S(n_29518),
+	.Y(n_33103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g797728 (
+	.A(n_33106),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_445 ),
+	.Y(n_33107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g797729 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.A1(FE_DBTN101_csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_add_365_53_n_3050),
+	.S(n_29509),
+	.X(n_33106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797737 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_66445),
+	.Y(n_33115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797738 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B(n_29787),
+	.X(n_33116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797739 (
+	.A(n_53331),
+	.B(n_29557),
+	.Y(n_33117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797740 (
+	.A(n_45468),
+	.B(n_45093),
+	.Y(n_33118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797743 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_55 ),
+	.B(FE_DBTN47_n_66052),
+	.X(n_33121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797745 (
+	.A(n_44549),
+	.B(n_33473),
+	.Y(n_33123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797746 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.B(n_46400),
+	.X(n_33124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797748 (
+	.A(n_46648),
+	.B(n_33473),
+	.Y(n_33126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797749 (
+	.A(n_55101),
+	.B(n_45462),
+	.Y(n_33127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797750 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ),
+	.B(FE_DBTN47_n_66052),
+	.X(n_33128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797751 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_56 ),
+	.B(n_46400),
+	.X(n_33129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797752 (
+	.A(n_44549),
+	.B(n_44282),
+	.Y(n_33130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797753 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_59 ),
+	.B(FE_DBTN47_n_66052),
+	.X(n_33131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797754 (
+	.A(n_44549),
+	.B(n_46641),
+	.Y(n_33132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797755 (
+	.A(n_44549),
+	.B(n_45093),
+	.Y(n_33133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797756 (
+	.A(n_53331),
+	.B(n_66460),
+	.Y(n_33134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797757 (
+	.A(n_46648),
+	.B(n_29787),
+	.Y(n_33135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797758 (
+	.A(n_46414),
+	.B(n_48016),
+	.Y(n_33136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797759 (
+	.A(n_55101),
+	.B(n_64929),
+	.Y(n_33137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797761 (
+	.A(n_45468),
+	.B(n_44282),
+	.Y(n_33139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797762 (
+	.A(n_55101),
+	.B(n_45443),
+	.Y(n_33140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797764 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.B(n_66460),
+	.X(n_33142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797767 (
+	.A(n_55101),
+	.B(n_51647),
+	.Y(n_33145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g797768 (
+	.A0(n_48019),
+	.A1(n_48016),
+	.S(n_29509),
+	.X(n_33146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797769 (
+	.A(n_70083),
+	.B(n_74793),
+	.Y(n_33147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797771 (
+	.A(n_70080),
+	.B(n_74798),
+	.Y(n_33149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797777 (
+	.A(n_33155),
+	.B(n_30526),
+	.Y(n_33156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g797778 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_160 ),
+	.B(n_26879),
+	.Y(n_33155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797779 (
+	.A(n_26948),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_216 ),
+	.Y(n_33157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797780 (
+	.A(n_30527),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_199 ),
+	.Y(n_33158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797781 (
+	.A(n_26943),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_197 ),
+	.Y(n_33159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797788 (
+	.A(n_67129),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_204 ),
+	.Y(n_33166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797789 (
+	.A(n_30519),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_205 ),
+	.Y(n_33167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797796 (
+	.A(n_30553),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_198 ),
+	.Y(n_33174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797813 (
+	.A(n_33191),
+	.B(n_67121),
+	.Y(n_33192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g797814 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_115 ),
+	.B(n_67144),
+	.Y(n_33191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797820 (
+	.A(n_26924),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_124 ),
+	.Y(n_33198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797821 (
+	.A(n_67144),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_114 ),
+	.Y(n_33199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g797822 (
+	.A(n_26879),
+	.B(n_26920),
+	.X(n_33200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797823 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_106),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_256),
+	.Y(n_33201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797824 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_134),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_249),
+	.Y(n_33202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g797825 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_126),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_237),
+	.Y(n_33203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g797831 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_166 ),
+	.X(n_33208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797832 (
+	.A(FE_DBTN92_n_49646),
+	.B(n_73017),
+	.Y(n_33210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797833 (
+	.A(n_68926),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(n_33211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g797834 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_68 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.Y(n_33212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g797840 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1024 ),
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1125 ),
+	.S(FE_DBTN14_n_66542),
+	.Y(n_33218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g797913  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1172 ),
+	.B(n_33303),
+	.Y(n_33304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g797915  (
+	.A1(n_33303),
+	.A2(n_36429),
+	.B1(n_68389),
+	.Y(n_33305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g797921 (
+	.A(n_33312),
+	.Y(n_33311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g797935 (
+	.A(n_52369),
+	.B(n_62746),
+	.Y(n_33326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g798027 (
+	.A1(n_74852),
+	.A2(n_33418),
+	.B1(n_37025),
+	.Y(n_33420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g798029 (
+	.A(n_49949),
+	.Y(n_33418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g798069 (
+	.A(n_20755),
+	.B(n_19289),
+	.C(n_19258),
+	.X(n_33457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798070 (
+	.A(n_23682),
+	.B(n_66462),
+	.Y(n_33461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798072 (
+	.A(n_47028),
+	.B(n_66462),
+	.Y(n_33462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g798077 (
+	.A1(n_69692),
+	.A2(n_49610),
+	.B1_N(n_33466),
+	.X(n_33467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g798078 (
+	.A1(n_27499),
+	.A2(n_18842),
+	.B1(n_23671),
+	.B2(n_23316),
+	.Y(n_33466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g798079  (
+	.A1(n_33467),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_350 ),
+	.B1(n_33469),
+	.Y(n_33470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g798080  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_212 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.C(n_33467),
+	.Y(n_33469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g798082  (
+	.A(n_33467),
+	.B(n_51645),
+	.Y(n_33472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g798083 (
+	.A(n_36768),
+	.B(n_33467),
+	.X(n_33473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g798084 (
+	.A1(n_33467),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_16826),
+	.Y(n_33475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g798112 (
+	.A(n_56699),
+	.B_N(n_13607),
+	.Y(n_33502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798115 (
+	.A(n_54747),
+	.B(n_56699),
+	.Y(n_33505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798116 (
+	.A(n_12939),
+	.B(n_56699),
+	.Y(n_33506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798119 (
+	.A(n_49301),
+	.B(n_56699),
+	.Y(n_33509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798120 (
+	.A(n_12837),
+	.B(n_56699),
+	.Y(n_33510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798121 (
+	.A(n_12949),
+	.B(n_56699),
+	.Y(n_33511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798125 (
+	.A(n_53064),
+	.B(n_56699),
+	.Y(n_33515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798126 (
+	.A(n_12831),
+	.B(n_56699),
+	.Y(n_33516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g798127 (
+	.A(n_35280),
+	.B(n_56699),
+	.Y(n_33517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798129 (
+	.A(n_54742),
+	.B(n_56699),
+	.Y(n_33519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798130 (
+	.A(n_12832),
+	.B(n_56699),
+	.Y(n_33520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798134 (
+	.A(n_53120),
+	.B(n_56699),
+	.Y(n_33524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g798135 (
+	.A(n_12950),
+	.B(n_56699),
+	.Y(n_33525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g798138 (
+	.A(n_56714),
+	.B(n_56699),
+	.Y(n_33528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 WALLACE_CSA_DUMMY_OP_groupi_g798143 (
+	.A1(n_33535),
+	.A2(n_46109),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_610),
+	.X(n_33534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g798145 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1047),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_586),
+	.B1_N(n_33535),
+	.X(n_33536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g798147 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_586),
+	.B(n_33535),
+	.X(n_33537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g798164 (
+	.A(n_33554),
+	.B(n_33955),
+	.Y(n_33556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g798165 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_50885),
+	.Y(n_33554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798167  (
+	.A(n_39061),
+	.B(n_33556),
+	.Y(n_33558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798200 (
+	.A(n_70608),
+	.B(FE_DBTN50_n_74579),
+	.Y(n_33590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798212  (
+	.A(n_35500),
+	.B(n_34163),
+	.Y(n_33603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g798241 (
+	.A1(n_67947),
+	.A2(n_74852),
+	.B1(n_37023),
+	.Y(n_33634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g798278 (
+	.A(n_56310),
+	.B(n_33667),
+	.Y(n_33668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g798279 (
+	.A(n_23774),
+	.B(n_23706),
+	.Y(n_33667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g798283 (
+	.A1(n_33668),
+	.A2(n_56674),
+	.B1(n_20891),
+	.Y(n_33673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g798284 (
+	.A(n_33668),
+	.B(n_38277),
+	.Y(n_33674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798287  (
+	.A_N(n_71022),
+	.B(n_73825),
+	.X(n_33678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798289  (
+	.A(n_73825),
+	.B(n_43937),
+	.Y(n_33680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798302  (
+	.A(n_35082),
+	.B(n_75245),
+	.Y(n_33693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798316  (
+	.A(n_65405),
+	.B(n_30594),
+	.Y(n_33706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798320  (
+	.A(n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(n_33710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798334  (
+	.A(n_35086),
+	.B(n_35257),
+	.Y(n_33727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798371 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26506),
+	.Y(n_33762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g798384 (
+	.A(n_33921),
+	.B(n_41883),
+	.Y(n_33775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798419 (
+	.A(n_17758),
+	.B(n_17548),
+	.Y(n_33806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g798456 (
+	.A1(n_72055),
+	.A2(n_66409),
+	.B1_N(n_48705),
+	.X(n_33847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798476 (
+	.A(n_49467),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1699),
+	.Y(n_33866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798496 (
+	.A(n_68877),
+	.B(n_68876),
+	.Y(n_33887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798512 (
+	.A(n_51719),
+	.B(n_70562),
+	.Y(n_33902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g798522 (
+	.A(n_51742),
+	.B(n_54771),
+	.Y(n_33911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g798523 (
+	.A(n_56978),
+	.B(n_33911),
+	.Y(n_33914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g798525 (
+	.A(n_33911),
+	.Y(n_33915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798530 (
+	.A(n_45356),
+	.B(n_34418),
+	.Y(n_33921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g798553 (
+	.A(n_49871),
+	.Y(n_33941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798565 (
+	.A(n_49102),
+	.B(n_74852),
+	.Y(n_33955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798590  (
+	.A(n_55284),
+	.B(n_34165),
+	.Y(n_33980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798592  (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
+	.Y(n_33981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798594  (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(n_33983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798595  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_229 ),
+	.A2(n_65952),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_621 ),
+	.Y(n_33984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g798766  (
+	.A(n_70067),
+	.B(n_70084),
+	.Y(n_34146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g798768 (
+	.A1_N(n_13597),
+	.A2_N(n_52923),
+	.B1(n_64744),
+	.B2(n_69323),
+	.Y(n_34140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g2 (
+	.A(n_67873),
+	.B(FE_DBTN63_n_56699),
+	.Y(n_34144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g798783 (
+	.A(n_50688),
+	.B(n_41564),
+	.Y(n_34157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g798790 (
+	.A1(n_26561),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_29899),
+	.X(n_34163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g798792 (
+	.A0(n_26636),
+	.A1(n_26560),
+	.S(FE_DBTN93_n_74852),
+	.X(n_34165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798796 (
+	.A(n_65405),
+	.B(n_34165),
+	.Y(n_34167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g798797  (
+	.A(n_36322),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_34170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g798801 (
+	.A1_N(n_48938),
+	.A2_N(n_48934),
+	.B1(n_48938),
+	.B2(n_48934),
+	.Y(n_34173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g798802 (
+	.A(n_34175),
+	.Y(n_34176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g798803 (
+	.A(n_68374),
+	.B(n_34174),
+	.Y(n_34175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g798804 (
+	.A(n_50085),
+	.B(n_34173),
+	.X(n_34174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g798899 (
+	.A1(n_49893),
+	.A2(n_53370),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ),
+	.X(n_34268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798900  (
+	.A(n_34270),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1037 ),
+	.Y(n_34271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798901  (
+	.A(n_49893),
+	.B(n_53370),
+	.Y(n_34270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798918 (
+	.A(n_62256),
+	.B(n_57826),
+	.Y(n_34288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g798928 (
+	.A(addinc_ADD_UNS_OP_2_n_620),
+	.B(addinc_ADD_UNS_OP_2_n_1384),
+	.Y(n_34296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 WALLACE_CSA_DUMMY_OP_groupi_g798929 (
+	.A1(n_46664),
+	.A2(n_46667),
+	.B1(n_46662),
+	.X(n_34302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_fopt798931 (
+	.A(n_32098),
+	.Y(n_34299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g798934 (
+	.A(n_46662),
+	.B(n_70577),
+	.Y(n_34304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g798935 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_801),
+	.B(n_69125),
+	.X(n_34308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g798939 (
+	.A(n_69125),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1638),
+	.Y(n_34309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt798955 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ),
+	.Y(n_34327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g798960 (
+	.A(n_32974),
+	.B(addinc_ADD_UNS_OP_2_n_1336),
+	.Y(n_34333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798977  (
+	.A(n_45450),
+	.B(n_29547),
+	.Y(n_34351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798979  (
+	.A(n_55815),
+	.Y(n_34352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798980  (
+	.A(n_45450),
+	.B(n_29546),
+	.Y(n_34354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798981  (
+	.A(n_34355),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ),
+	.X(n_34357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798983  (
+	.A1(n_34351),
+	.A2(n_69464),
+	.B1(n_34352),
+	.B2(n_34354),
+	.Y(n_34355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g798984  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_913 ),
+	.B(n_34355),
+	.X(n_34358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799012  (
+	.A(n_68601),
+	.B(n_43937),
+	.Y(n_34387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799054 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_49727),
+	.Y(n_34427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 WALLACE_CSA_DUMMY_OP_groupi_g799061 (
+	.A1(n_34435),
+	.A2(n_45146),
+	.B1(n_49789),
+	.Y(n_34436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g799093 (
+	.A(n_48781),
+	.B(n_57967),
+	.Y(n_34467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g799096 (
+	.A(n_48781),
+	.B(n_57963),
+	.Y(n_34470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799105  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_116 ),
+	.Y(n_34480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g799120 (
+	.A(addinc_ADD_UNS_OP_2_n_567),
+	.B(n_48868),
+	.Y(n_34496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799154  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_115 ),
+	.Y(n_34529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799167  (
+	.A(n_34576),
+	.B(n_70083),
+	.Y(n_34542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799186  (
+	.A(n_68343),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_34561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799201  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_146 ),
+	.Y(n_34577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_fopt799202  (
+	.A(n_74793),
+	.Y(n_34576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799205  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_148 ),
+	.Y(n_34579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799206  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_119 ),
+	.Y(n_34580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799210  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_137 ),
+	.Y(n_34584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799213  (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_104 ),
+	.Y(n_34588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799229  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_825 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_34604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g799238 (
+	.A(n_66953),
+	.B(n_59187),
+	.Y(n_34609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g799240 (
+	.A(n_70075),
+	.B(n_70057),
+	.X(n_34614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g799245 (
+	.A(n_33515),
+	.B(n_59197),
+	.Y(n_34616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g799249 (
+	.A(n_14403),
+	.B(n_43810),
+	.X(n_34625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g799252 (
+	.A1_N(n_29578),
+	.A2_N(n_63317),
+	.B1(n_14453),
+	.B2(n_43810),
+	.Y(n_34627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g799269  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_138 ),
+	.B(n_34576),
+	.Y(n_34641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g799305 (
+	.A(n_34680),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1037),
+	.Y(n_34681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 WALLACE_CSA_DUMMY_OP_groupi_g799306 (
+	.A_N(n_46661),
+	.B(n_44767),
+	.Y(n_34680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g799308 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1705),
+	.B(n_34680),
+	.Y(n_34683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g799310 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_789),
+	.B(n_34680),
+	.Y(n_34684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799312  (
+	.A1(n_41516),
+	.A2(n_65405),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_749 ),
+	.B2(FE_DBTN69_n_65405),
+	.X(n_34689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799326  (
+	.A(n_50942),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_937 ),
+	.Y(n_34700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799329  (
+	.A1(n_75245),
+	.A2(n_70598),
+	.B1(FE_DBTN6_n_75245),
+	.B2(n_34700),
+	.Y(n_34702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799331  (
+	.A(n_34702),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_34705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g799380 (
+	.A1(n_10051),
+	.A2(n_73607),
+	.B1(n_12272),
+	.X(n_34755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g799424 (
+	.A(n_65110),
+	.B(n_73607),
+	.Y(n_34798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799430  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_487 ),
+	.A2(n_55284),
+	.B1(n_35843),
+	.Y(n_34805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799435  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_30602),
+	.Y(n_34810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799438  (
+	.A(FE_DBTN15_n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_34812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799439  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_40079),
+	.Y(n_34813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799441  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_74861),
+	.Y(n_34816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799443  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_71352),
+	.Y(n_34817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799444  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_38299),
+	.Y(n_34818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799445  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_25025),
+	.Y(n_34819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799447  (
+	.A(FE_DBTN15_n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_34821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799448  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_30598),
+	.Y(n_34822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799449  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_74787),
+	.Y(n_34823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799450  (
+	.A(FE_DBTN15_n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.Y(n_34824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g799479 (
+	.A(n_54318),
+	.B(n_54320),
+	.Y(n_34853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g799607 (
+	.A(n_45354),
+	.Y(n_34971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g799665 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ),
+	.A2(FE_DBTN53_n_49347),
+	.B1(n_35029),
+	.Y(n_35030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g799666 (
+	.A1(n_34705),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1560 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_35029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799667  (
+	.A(n_34705),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1560 ),
+	.Y(n_35031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g799677 (
+	.A0(n_26650),
+	.A1(n_26574),
+	.S(FE_DBTN93_n_74852),
+	.X(n_35041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799678  (
+	.A(n_35043),
+	.B(FE_DBTN41_n_55284),
+	.Y(n_35044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799688  (
+	.A(n_66300),
+	.B(n_52455),
+	.Y(n_35053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799704  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_794 ),
+	.B(n_65952),
+	.Y(n_35068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799718  (
+	.A(n_52493),
+	.Y(n_35082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799721  (
+	.A(n_35082),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_35084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799722  (
+	.A(FE_DBTN41_n_55284),
+	.B(n_35084),
+	.Y(n_35086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799730  (
+	.A(n_35097),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_35094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799731  (
+	.A(n_35097),
+	.B(n_25029),
+	.Y(n_35095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799732  (
+	.A(n_35097),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.Y(n_35096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799737  (
+	.A1(n_46174),
+	.A2(FE_DBTN6_n_75245),
+	.B1(n_37607),
+	.B2(n_75245),
+	.X(n_35105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799750  (
+	.A(n_35116),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_35118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799752  (
+	.A(n_35114),
+	.B(n_35115),
+	.Y(n_35116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799753  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1360 ),
+	.B(n_52455),
+	.Y(n_35114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799754  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1359 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_35115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799755  (
+	.A(n_35116),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_35119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799774  (
+	.A(n_69422),
+	.B(n_75245),
+	.Y(n_35142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g799781 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26534),
+	.Y(n_35147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799793 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_26521),
+	.Y(n_35158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g799797 (
+	.A1(FE_DBTN68_n_74851),
+	.A2(n_14512),
+	.B1(n_35161),
+	.Y(n_35162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799798 (
+	.A(n_45808),
+	.B(FE_DBTN68_n_74851),
+	.Y(n_35161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799800 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_26512),
+	.Y(n_35164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799801 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_26520),
+	.Y(n_35165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g799803 (
+	.A1(n_66148),
+	.A2(FE_DBTN68_n_74851),
+	.B1(n_14733),
+	.Y(n_35167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g799885 (
+	.A(n_13645),
+	.B(n_29615),
+	.COUT(n_35241),
+	.SUM(n_35245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g799898 (
+	.A0(n_26648),
+	.A1(n_26572),
+	.S(FE_DBTN93_n_74852),
+	.X(n_35254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g799900 (
+	.A(n_35255),
+	.B(n_35256),
+	.Y(n_35257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g799901  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_30603),
+	.Y(n_35255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799902 (
+	.A(n_65405),
+	.B(n_35254),
+	.Y(n_35256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g799912 (
+	.A(n_45590),
+	.Y(n_35270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g799914 (
+	.A(n_57272),
+	.B(n_50085),
+	.X(n_35266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g799915 (
+	.A(n_35267),
+	.B(FE_DBTN95_n_63318),
+	.Y(n_35268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799916 (
+	.A(n_51959),
+	.B(n_53420),
+	.Y(n_35267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g799917 (
+	.A_N(n_13706),
+	.B(n_45590),
+	.Y(n_35271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g799919 (
+	.A1(n_70757),
+	.A2(n_45590),
+	.B1(n_13713),
+	.Y(n_35273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g799927 (
+	.A(n_52047),
+	.Y(n_35280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g799935 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_14113),
+	.Y(n_35286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g799937 (
+	.A(n_66045),
+	.Y(n_35290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g799943 (
+	.A(n_13802),
+	.B(n_35295),
+	.Y(n_35296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g799944 (
+	.A(n_74627),
+	.B(n_35294),
+	.Y(n_35295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g799946 (
+	.A(n_41564),
+	.B(n_50085),
+	.X(n_35294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g799950 (
+	.A0(n_56061),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[23]),
+	.S(n_1254),
+	.X(n_35302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799962 (
+	.A(n_49019),
+	.B(n_66462),
+	.Y(n_35308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799963 (
+	.A(n_19179),
+	.B(n_67574),
+	.Y(n_35311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g799968 (
+	.A(n_19051),
+	.B(n_18917),
+	.Y(n_35312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g799977 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.Y(n_35323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g799987 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.Y(n_35332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g799992 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[29]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_35337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g800014 (
+	.A1(n_35359),
+	.A2(FE_DBTN49_n_72075),
+	.B1(n_18249),
+	.Y(n_35360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800015 (
+	.A(n_51201),
+	.B(n_35358),
+	.Y(n_35359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800017 (
+	.A(n_52069),
+	.B(n_54214),
+	.Y(n_35358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g800030 (
+	.A(n_55293),
+	.B(n_74897),
+	.Y(n_35367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g800050 (
+	.A(n_19051),
+	.B(n_18906),
+	.X(n_35387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g800053 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_521 ),
+	.A2(n_43247),
+	.B1(n_66658),
+	.Y(n_35392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g800057 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_329 ),
+	.B(n_35395),
+	.Y(n_35396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g800058 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_319 ),
+	.B_N(n_34576),
+	.Y(n_35395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g800059 (
+	.A(n_39048),
+	.B(n_35397),
+	.Y(n_35398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g800060 (
+	.A(n_41548),
+	.B_N(n_34576),
+	.Y(n_35397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800084  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1673 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1844 ),
+	.C(n_53129),
+	.Y(n_35412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g800094 (
+	.A_N(n_64223),
+	.B(n_35424),
+	.Y(n_35425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g800095 (
+	.A(n_13713),
+	.B(n_45596),
+	.Y(n_35424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt800116 (
+	.A(n_43810),
+	.Y(n_35452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g800131  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_96 ),
+	.B(n_70058),
+	.Y(n_35472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g800139 (
+	.A1(n_19154),
+	.A2(n_48352),
+	.B1(n_53646),
+	.X(n_35480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g800140 (
+	.A0(n_35482),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[24]),
+	.S(n_1254),
+	.X(n_35483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g800149 (
+	.A(n_46017),
+	.B(n_51225),
+	.Y(n_35490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800154  (
+	.A1(n_35500),
+	.A2(n_30595),
+	.B1(FE_DBTN17_n_70953),
+	.B2(n_30593),
+	.Y(n_35495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800158  (
+	.A_N(FE_DBTN124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39),
+	.B(n_35500),
+	.Y(n_35499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800161  (
+	.A(n_35500),
+	.B(n_71352),
+	.Y(n_35502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800162  (
+	.A(n_35500),
+	.B(n_41156),
+	.Y(n_35503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800163  (
+	.A(n_35500),
+	.B(n_30602),
+	.Y(n_35504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800164  (
+	.A(n_35500),
+	.B(n_41551),
+	.Y(n_35506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g800174 (
+	.A1(n_35517),
+	.A2(n_54666),
+	.B1(n_17701),
+	.B2(n_51822),
+	.Y(n_35516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800182  (
+	.A(n_68601),
+	.B(n_68980),
+	.Y(n_35523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800183  (
+	.A(n_73825),
+	.B(n_68980),
+	.Y(n_35524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800187  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1655 ),
+	.B(n_68980),
+	.Y(n_35528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800188  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1271 ),
+	.B(n_68980),
+	.Y(n_35529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800195  (
+	.A(n_44346),
+	.B(n_68980),
+	.Y(n_35536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800197  (
+	.A(n_72898),
+	.B(n_68980),
+	.Y(n_35538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800248  (
+	.A(n_68611),
+	.B(n_68980),
+	.Y(n_35587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g800275  (
+	.A(n_72834),
+	.B(n_40517),
+	.Y(n_35615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g800277  (
+	.A(n_35615),
+	.Y(n_35618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g800291 (
+	.A(n_35635),
+	.B(n_57493),
+	.Y(n_35636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 addinc_ADD_UNS_OP_2_g800293 (
+	.A1(addinc_ADD_UNS_OP_2_n_671),
+	.A2(n_35635),
+	.B1(addinc_ADD_UNS_OP_2_n_945),
+	.B2(n_35637),
+	.C1(addinc_ADD_UNS_OP_2_n_447),
+	.Y(n_35638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g800294 (
+	.A(n_66465),
+	.B(n_35635),
+	.X(n_35637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g800316 (
+	.A(n_48642),
+	.B(n_67922),
+	.Y(n_35660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g800319 (
+	.A(n_35661),
+	.B(n_35660),
+	.Y(n_35662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g800320 (
+	.A_N(n_47375),
+	.B(n_66096),
+	.Y(n_35661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g800324  (
+	.A_N(n_69701),
+	.B(n_64213),
+	.Y(n_35669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g800326  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_64213),
+	.Y(n_35671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g800328  (
+	.A1_N(n_13481),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_12 ),
+	.B1(n_64213),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.Y(n_35672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g800329  (
+	.A1(n_51483),
+	.A2(n_66569),
+	.B1(n_64213),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(n_35673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g800331 (
+	.A1(n_11717),
+	.A2(n_5003),
+	.B1(n_9642),
+	.B2(n_64213),
+	.C1(n_12287),
+	.X(n_35675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g800332 (
+	.A(n_69701),
+	.B(n_64213),
+	.Y(n_35676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g800333 (
+	.A1(n_13157),
+	.A2(n_51483),
+	.B1(n_13160),
+	.B2(n_64213),
+	.X(n_35677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g800334 (
+	.A1(n_13159),
+	.A2(n_64213),
+	.B1(n_13160),
+	.B2(n_51483),
+	.X(n_35678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g800335 (
+	.A_N(n_64213),
+	.B(n_67582),
+	.Y(n_35679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g800336 (
+	.A1(n_64213),
+	.A2(n_13157),
+	.B1(n_51483),
+	.B2(n_13158),
+	.C1(n_13159),
+	.C2(n_36918),
+	.Y(n_35680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g800337 (
+	.A1(n_51483),
+	.A2(n_13159),
+	.B1(n_64213),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(n_36918),
+	.Y(n_35681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800338  (
+	.A1(n_73048),
+	.A2(n_33137),
+	.B1(n_73051),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_777 ),
+	.Y(n_35685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800342  (
+	.A1(n_67615),
+	.A2(n_73051),
+	.B1(n_73048),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_571 ),
+	.X(n_35686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800343  (
+	.A1(n_73048),
+	.A2(n_33140),
+	.B1(n_33127),
+	.B2(n_73051),
+	.X(n_35687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800344  (
+	.A1(n_36706),
+	.A2(n_73048),
+	.B1(n_33145),
+	.B2(n_73051),
+	.X(n_35688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800346  (
+	.A1(n_33137),
+	.A2(n_73051),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1045 ),
+	.X(n_35690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800347  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2952 ),
+	.A2(n_73048),
+	.B1(n_73051),
+	.B2(n_45070),
+	.X(n_35692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800349  (
+	.A1(n_73049),
+	.A2(n_73051),
+	.B1(n_33145),
+	.B2(n_73048),
+	.X(n_35693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 WALLACE_CSA_DUMMY_OP_groupi_g800358 (
+	.A1(n_45803),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_715),
+	.B1(n_66783),
+	.X(n_35699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g800377 (
+	.A1(n_48945),
+	.A2(n_50892),
+	.B1_N(n_67729),
+	.X(n_35722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g800380 (
+	.A(n_67729),
+	.B(n_50892),
+	.Y(n_35725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g800383 (
+	.A(n_72081),
+	.Y(n_35728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g800388 (
+	.A(n_49448),
+	.B(n_72081),
+	.Y(n_35732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g800390 (
+	.A(FE_DBTN12_n_68911),
+	.B(FE_DBTN83_n_69234),
+	.C(n_66289),
+	.Y(n_35734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g800399 (
+	.A(n_53112),
+	.B(n_16876),
+	.Y(n_35742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800400 (
+	.A(n_53112),
+	.B(n_16864),
+	.Y(n_35744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800405 (
+	.A(n_53112),
+	.B(n_16919),
+	.Y(n_35749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g800406 (
+	.A(n_53112),
+	.B(n_16874),
+	.Y(n_35750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g800428 (
+	.A(n_33525),
+	.B(n_59204),
+	.Y(n_35769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800483 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_16918),
+	.Y(n_35827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800493  (
+	.A(n_74869),
+	.B(n_70171),
+	.Y(n_35837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800496  (
+	.A(n_35837),
+	.B(n_49578),
+	.Y(n_35840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800498  (
+	.A(n_65952),
+	.B(n_30586),
+	.Y(n_35843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800501  (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
+	.Y(n_35845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800503  (
+	.A(n_65952),
+	.B(n_44202),
+	.Y(n_35847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800508  (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.Y(n_35852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800511  (
+	.A(n_65952),
+	.B(n_30587),
+	.Y(n_35855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800523  (
+	.A(FE_DBTN9_n_69283),
+	.B(n_35254),
+	.Y(n_35867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800524  (
+	.A(FE_DBTN9_n_69283),
+	.B(n_30597),
+	.Y(n_35868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800526  (
+	.A(FE_DBTN9_n_69283),
+	.B(n_30593),
+	.Y(n_35870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800528  (
+	.A(FE_DBTN9_n_69283),
+	.B(n_30587),
+	.Y(n_35872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800529 (
+	.A(FE_DBTN9_n_69283),
+	.B(n_34163),
+	.Y(n_35874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800533  (
+	.A(n_35837),
+	.B(n_61720),
+	.Y(n_35878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800537  (
+	.A(n_74868),
+	.B(n_61720),
+	.Y(n_35881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800538  (
+	.A(n_61720),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_35882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800539  (
+	.A(n_61720),
+	.B(n_75245),
+	.Y(n_35883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800542  (
+	.A(n_39076),
+	.B(n_61720),
+	.Y(n_35886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800558 (
+	.A(FE_DBTN5_n_70976),
+	.B(n_73982),
+	.Y(n_35902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800559 (
+	.A(FE_DBTN5_n_70976),
+	.B(n_74076),
+	.Y(n_35903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800597  (
+	.A(n_65620),
+	.B(n_70257),
+	.Y(n_35940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800631  (
+	.A(n_35970),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_35971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800632  (
+	.A(n_35968),
+	.B(n_70324),
+	.Y(n_35970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800633  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_736 ),
+	.B(n_54651),
+	.Y(n_35968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800635  (
+	.A(n_35970),
+	.B(n_75245),
+	.Y(n_35972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g800694 (
+	.A(n_34173),
+	.Y(n_36026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g800775 (
+	.A(n_36104),
+	.Y(n_36103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g800778 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[11]),
+	.Y(n_36100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g800780 (
+	.A1(n_69521),
+	.A2(n_36104),
+	.B1(n_23865),
+	.Y(n_36105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800786 (
+	.A(n_74852),
+	.B(n_26587),
+	.Y(n_36111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800788 (
+	.A(n_74852),
+	.B(n_26588),
+	.Y(n_36112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800798  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1361 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_36122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800802  (
+	.A(FE_DBTN43_n_52455),
+	.B(n_68980),
+	.X(n_36126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g800808  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_36132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g800817 (
+	.A(n_48243),
+	.B(n_29557),
+	.Y(n_36142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800822  (
+	.A(n_48243),
+	.B(n_29513),
+	.Y(n_36146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800823  (
+	.A(n_29512),
+	.B(n_48243),
+	.Y(n_36147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800824  (
+	.A(n_48243),
+	.B(n_66445),
+	.Y(n_36148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800827  (
+	.A1(n_45070),
+	.A2(n_29513),
+	.B1(n_48243),
+	.X(n_36151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800828  (
+	.A1_N(n_48253),
+	.A2_N(n_53356),
+	.B1(n_48243),
+	.B2(n_49893),
+	.X(n_36152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g800829  (
+	.A1(n_48243),
+	.A2(n_44003),
+	.B1(n_49893),
+	.B2(n_53362),
+	.Y(n_36153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g800977 (
+	.A(n_25304),
+	.Y(n_36288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g800992 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_494 ),
+	.B(n_36302),
+	.Y(n_36303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g800993 (
+	.A_N(n_54651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(n_36302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g801004  (
+	.A(n_36309),
+	.B(n_70274),
+	.Y(n_36311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g801005  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_74877),
+	.Y(n_36309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g801013  (
+	.A(n_70318),
+	.B(n_70957),
+	.Y(n_36319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801016 (
+	.A(n_35874),
+	.B(n_34167),
+	.Y(n_36322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g801032 (
+	.A_N(n_37460),
+	.B(n_44448),
+	.Y(n_36338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g801033 (
+	.A(n_50688),
+	.B(n_54545),
+	.Y(n_36340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt801099 (
+	.A(n_33693),
+	.Y(n_36429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt801104 (
+	.A(n_36430),
+	.Y(n_36431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801206 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(n_13999),
+	.Y(n_36531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g801209 (
+	.A(n_36534),
+	.Y(n_36535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801210 (
+	.A(n_36531),
+	.B(n_63706),
+	.Y(n_36534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801300  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_789 ),
+	.B2(n_66714),
+	.X(n_36619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801303  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_783 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_801 ),
+	.B2(n_66714),
+	.X(n_36620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801304  (
+	.A1_N(n_66714),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2941 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_790 ),
+	.Y(n_36621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801305  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_809 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_795 ),
+	.B2(n_66714),
+	.X(n_36622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801306  (
+	.A1_N(n_26742),
+	.A2_N(n_66714),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.B2(n_33116),
+	.Y(n_36623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801307  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_802 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_781 ),
+	.B2(n_66714),
+	.X(n_36624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801308  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_806 ),
+	.A2(n_66714),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.Y(n_36625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801309  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_787 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_802 ),
+	.B2(n_66714),
+	.Y(n_36626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801310  (
+	.A(n_66714),
+	.Y(n_36627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801312  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2941 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2940 ),
+	.B2(n_66714),
+	.X(n_36629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g801314 (
+	.A(n_36630),
+	.Y(n_36631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801315 (
+	.A(n_18389),
+	.B(n_18490),
+	.Y(n_36630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801316  (
+	.A1(n_36625),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1069 ),
+	.Y(n_36632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g801317 (
+	.A(n_36632),
+	.Y(n_36633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g801318 (
+	.A(n_36636),
+	.B(addinc_ADD_UNS_OP_2_n_603),
+	.Y(n_33535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801319 (
+	.A(n_36634),
+	.B(n_36635),
+	.Y(n_36636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801320 (
+	.A(n_36631),
+	.B(n_36632),
+	.Y(n_36634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801321 (
+	.A(n_36630),
+	.B(n_36633),
+	.Y(n_36635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801330 (
+	.A(n_36644),
+	.B(n_66086),
+	.Y(n_36645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801349 (
+	.A(n_67578),
+	.B(FE_DBTN5_n_70976),
+	.Y(n_36668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g801351 (
+	.A1(n_43930),
+	.A2(n_23564),
+	.B1(n_21270),
+	.Y(n_36663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g801360 (
+	.A(n_19109),
+	.B(n_56668),
+	.Y(n_36671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g801363 (
+	.A1(n_69692),
+	.A2(n_43987),
+	.B1_N(n_23886),
+	.X(n_36679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g801365 (
+	.A(n_36675),
+	.Y(n_36676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g801366 (
+	.A(n_19051),
+	.B(n_18770),
+	.Y(n_36675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g801367 (
+	.A(n_25516),
+	.B(n_19051),
+	.Y(n_36677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g801368 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_36679),
+	.B1(n_47546),
+	.B2(n_43987),
+	.C1(n_16807),
+	.X(n_36680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g801375 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[15]),
+	.B(FE_DBTN79_n_19051),
+	.X(n_36683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801384 (
+	.A(n_36692),
+	.B(n_36694),
+	.Y(n_36695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g801385 (
+	.A(soc_top_data_rdata[9]),
+	.Y(n_36692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g801386 (
+	.A(n_36693),
+	.Y(n_36694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g801387 (
+	.A(n_23583),
+	.B(n_23261),
+	.C(n_19287),
+	.D(n_20747),
+	.Y(n_36693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801388 (
+	.A(n_36696),
+	.B(n_36694),
+	.Y(n_36698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g801395 (
+	.A(n_55101),
+	.B(n_66460),
+	.Y(n_36704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g801397 (
+	.A(n_55101),
+	.B(n_29557),
+	.Y(n_36706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g801408 (
+	.A(n_39687),
+	.B(n_47693),
+	.C(n_36715),
+	.Y(n_36717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g801414 (
+	.A(n_19051),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[9]),
+	.Y(n_36719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g801417 (
+	.A1(n_31260),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_47546),
+	.B2(n_44099),
+	.C1(n_16805),
+	.X(n_36725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801420  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.B2(n_44282),
+	.Y(n_36727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801422  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.A2(n_45072),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.B2(n_45093),
+	.Y(n_36729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801424  (
+	.A(n_67579),
+	.B(n_36629),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2978 ),
+	.X(n_36734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801428  (
+	.A(n_36629),
+	.B(n_67579),
+	.Y(n_36735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801429  (
+	.A(n_67579),
+	.B(n_36629),
+	.Y(n_36736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801438  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1253 ),
+	.B(n_38321),
+	.CI(n_71090),
+	.COUT(n_36747),
+	.SUM(n_36743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801442  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_357 ),
+	.Y(n_36744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g801443  (
+	.A1(n_58554),
+	.A2(n_53414),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_815 ),
+	.B2(n_33146),
+	.X(n_36745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g801447 (
+	.A(n_36744),
+	.B(n_36745),
+	.C(n_36747),
+	.X(n_36753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g801455 (
+	.A(n_69689),
+	.B(n_19237),
+	.Y(n_36765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g801463 (
+	.A_N(n_15648),
+	.B(n_15483),
+	.X(n_36768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g801480 (
+	.A1(n_44319),
+	.A2(n_69692),
+	.B1_N(n_23868),
+	.X(n_36786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801484 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[14]),
+	.B(FE_DBTN79_n_19051),
+	.Y(n_36784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g801516 (
+	.A_N(n_16424),
+	.B(n_15961),
+	.C(n_34198),
+	.Y(n_36815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g801534 (
+	.A(n_67880),
+	.B(n_68360),
+	.Y(n_36838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801536 (
+	.A(n_23631),
+	.B(n_43977),
+	.Y(n_36835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g801539 (
+	.A(n_38134),
+	.B(n_37033),
+	.Y(n_36842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt801570 (
+	.A(n_36879),
+	.Y(n_36877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt801588 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.Y(n_36893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801677 (
+	.A(n_34296),
+	.B(n_74852),
+	.Y(n_37021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801679 (
+	.A(n_74852),
+	.B(n_26609),
+	.Y(n_37023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801680 (
+	.A(n_26601),
+	.B(n_74852),
+	.Y(n_37025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801682 (
+	.A(n_74852),
+	.B(n_26607),
+	.Y(n_37026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g801683 (
+	.A(n_26604),
+	.B(n_74852),
+	.Y(n_37027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801684 (
+	.A(n_74852),
+	.B(n_26598),
+	.Y(n_37028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801685 (
+	.A(n_74852),
+	.B(n_47945),
+	.Y(n_37029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801688 (
+	.A(n_74852),
+	.B(n_26603),
+	.Y(n_37032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801689 (
+	.A(n_74852),
+	.B(n_46841),
+	.Y(n_37033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g801694 (
+	.A(n_74852),
+	.B(n_26600),
+	.Y(n_37038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g801908  (
+	.A(n_65745),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_37241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g802042 (
+	.A(n_54075),
+	.B(n_59272),
+	.Y(n_37359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g802051 (
+	.A(n_68548),
+	.B(addinc_ADD_UNS_OP_2_n_735),
+	.Y(n_37367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g802054 (
+	.A(n_66444),
+	.Y(n_37368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802059 (
+	.A(n_40818),
+	.B(n_37374),
+	.Y(n_37375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g802060 (
+	.A(n_68074),
+	.Y(n_37374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g802064 (
+	.A(n_69294),
+	.B(n_53313),
+	.Y(n_37382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g802068 (
+	.A(n_53313),
+	.B(n_69294),
+	.Y(n_37383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802084 (
+	.A(n_56036),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_37397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g802109 (
+	.A(addinc_ADD_UNS_OP_2_n_790),
+	.B(FE_DBTN54_n_72084),
+	.Y(n_37422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 addinc_ADD_UNS_OP_2_g7928_dup (
+	.A(n_37422),
+	.B_N(n_41134),
+	.Y(n_37426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g802118 (
+	.A(n_14387),
+	.B(n_44448),
+	.COUT(UNCONNECTED317),
+	.SUM(n_14453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt802132 (
+	.A(n_54405),
+	.Y(n_37444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt802141 (
+	.A(n_50688),
+	.Y(n_37458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt802180 (
+	.A(n_43984),
+	.Y(n_37502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g802199 (
+	.A1(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.A2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.B1(n_47546),
+	.B2(n_56300),
+	.C1(n_16811),
+	.X(n_37531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g802203 (
+	.A(n_56300),
+	.B(n_67805),
+	.Y(n_37532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802214  (
+	.A1(n_33693),
+	.A2(n_37546),
+	.B1(n_35044),
+	.B2(n_35084),
+	.C1(n_33727),
+	.Y(n_37545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802217  (
+	.A1(n_37546),
+	.A2(n_35882),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1150 ),
+	.B2(n_35883),
+	.X(n_37547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802222 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_24374),
+	.Y(n_37551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802223 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_30174),
+	.Y(n_37552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802224 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_24372),
+	.Y(n_37553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802225 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_24371),
+	.Y(n_37554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802226 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_24411),
+	.Y(n_37555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802227 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_35480),
+	.Y(n_35482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802244 (
+	.A(FE_DBTN88_n_16623),
+	.B(n_69511),
+	.Y(n_37574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a41oi_2 g802246 (
+	.A1(n_69490),
+	.A2(n_69511),
+	.A3(n_16706),
+	.A4(n_36875),
+	.B1(n_29477),
+	.Y(n_37575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g802247 (
+	.A(FE_DBTN88_n_16623),
+	.B(n_69511),
+	.C(n_16706),
+	.Y(n_37576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802250  (
+	.A(n_37581),
+	.B(n_75245),
+	.Y(n_37582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802251  (
+	.A(n_70955),
+	.B(n_37580),
+	.Y(n_37581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802253  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ),
+	.B(FE_DBTN9_n_69283),
+	.Y(n_37580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802254  (
+	.A(n_37581),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_37584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g802522 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_30151),
+	.B1(n_47546),
+	.B2(n_48678),
+	.C1(n_16808),
+	.X(n_37829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g802525 (
+	.A(n_57260),
+	.B(n_37829),
+	.X(n_37830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt802598 (
+	.A(n_69516),
+	.Y(n_37903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt802614 (
+	.A(n_68926),
+	.Y(n_37906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt802630 (
+	.A(n_37939),
+	.Y(n_37940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_4 g802638 (
+	.A1_N(n_50085),
+	.A2_N(n_69234),
+	.B1(n_14430),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ),
+	.Y(n_37948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g802657  (
+	.A(n_37965),
+	.B(n_54408),
+	.Y(n_37967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g802658  (
+	.A(n_68281),
+	.B(n_67586),
+	.Y(n_37965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g802661 (
+	.A(n_37967),
+	.Y(n_37970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g802675 (
+	.A(n_17637),
+	.Y(n_37981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802676 (
+	.A(n_53112),
+	.B(n_48237),
+	.Y(n_37982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802686  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_49807),
+	.Y(n_37995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802687  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_44202),
+	.Y(n_37996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802689  (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_37998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802690  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_74877),
+	.Y(n_37999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802691  (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(n_38000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802692  (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
+	.Y(n_38001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802695  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_53698),
+	.Y(n_38004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802696  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_36842),
+	.Y(n_38005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g802697  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_74856),
+	.Y(n_38006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802830 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26513),
+	.Y(n_38129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802835 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_45617),
+	.Y(n_38134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802837 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26522),
+	.Y(n_38135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g802895 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1731 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1692 ),
+	.Y(n_38188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g802898 (
+	.A(n_54792),
+	.Y(n_38189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802906 (
+	.A(soc_top_data_rdata[7]),
+	.B(n_39015),
+	.Y(n_38196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g802908 (
+	.A(n_19032),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_38199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802914 (
+	.A(n_38199),
+	.B(n_49025),
+	.Y(n_38203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g802915 (
+	.A_N(n_44074),
+	.B(FE_DBTN76_n_70132),
+	.Y(n_38206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g802917 (
+	.A(n_20807),
+	.B(n_25170),
+	.C(n_19007),
+	.X(n_38207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g802918 (
+	.A(n_38210),
+	.B(n_56310),
+	.Y(n_36879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802919 (
+	.A(n_38209),
+	.B(n_38208),
+	.Y(n_38210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g802920 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.B1(n_23167),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [23]),
+	.C1(n_23590),
+	.Y(n_38208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802921 (
+	.A(soc_top_data_rdata[22]),
+	.B(n_38207),
+	.Y(n_38209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802927 (
+	.A(n_36668),
+	.B(n_19051),
+	.Y(n_38214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g802933  (
+	.A(n_71076),
+	.B(n_73821),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2890 ),
+	.COUT(n_38221),
+	.SUM(n_38224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g802934  (
+	.A(n_35392),
+	.B(n_38307),
+	.CI(n_71091),
+	.COUT(n_38226),
+	.SUM(n_38222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g802936 (
+	.A(n_38225),
+	.B(n_38227),
+	.C(n_38228),
+	.Y(n_38229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802937 (
+	.A(n_67726),
+	.B(n_38224),
+	.Y(n_38225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802938 (
+	.A(n_67726),
+	.B(n_38226),
+	.Y(n_38227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g802939 (
+	.A(n_38224),
+	.B(n_38226),
+	.Y(n_38228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g802961 (
+	.A1(n_13380),
+	.A2(n_52923),
+	.B1(n_59190),
+	.Y(n_38251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g802962  (
+	.A(FE_DBTN16_n_74792),
+	.B(n_68261),
+	.Y(n_38254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g802963  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_140 ),
+	.B(n_68261),
+	.Y(n_38255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g802964  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_107 ),
+	.B(n_65381),
+	.Y(n_38257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g802965  (
+	.A(n_65381),
+	.Y(n_38258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g802971 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2710 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.C(n_38305),
+	.X(n_38269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g802983 (
+	.A(n_19165),
+	.B(n_19235),
+	.X(n_38277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g802984 (
+	.A(n_19107),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.X(n_38278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g802987 (
+	.A(n_18844),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_38279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g802999 (
+	.A(n_38297),
+	.B(n_38298),
+	.Y(n_38299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g803000 (
+	.A(n_45156),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_38297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g803001 (
+	.A(n_74851),
+	.B(n_26622),
+	.Y(n_38298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803005  (
+	.A1(n_33124),
+	.A2(n_46411),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2986 ),
+	.B2(n_68526),
+	.X(n_38305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803007  (
+	.A1(n_54454),
+	.A2(n_29511),
+	.B1(n_46400),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_226 ),
+	.X(n_38303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803008  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_511 ),
+	.A2_N(n_68520),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_570 ),
+	.B2(n_68526),
+	.Y(n_38306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803009  (
+	.A1(n_33124),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_500 ),
+	.B2(n_46411),
+	.Y(n_38307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803010  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2984 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_459 ),
+	.B2(n_46411),
+	.Y(n_38308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803011  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_459 ),
+	.A2(n_68526),
+	.B1(n_46411),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_654 ),
+	.Y(n_38309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803012  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_740 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_664 ),
+	.B2(n_46411),
+	.Y(n_38310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803014  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_587 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_577 ),
+	.B2(n_46411),
+	.X(n_38312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803015  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_748 ),
+	.A2(n_68526),
+	.B1(n_46411),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_599 ),
+	.Y(n_38313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803016  (
+	.A1(n_33129),
+	.A2(n_68526),
+	.B1(n_46411),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2984 ),
+	.Y(n_38314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803017  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_577 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_554 ),
+	.B2(n_46411),
+	.Y(n_38315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803018  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2723 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2986 ),
+	.B2(n_46411),
+	.Y(n_38316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803019  (
+	.A1(n_68076),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2723 ),
+	.B2(n_46411),
+	.Y(n_38317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803020  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_524 ),
+	.A2(n_68526),
+	.B1(n_46411),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_570 ),
+	.Y(n_38318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803021  (
+	.A1(n_68526),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_562 ),
+	.B1(n_54454),
+	.B2(n_46411),
+	.Y(n_38320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803023  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_560 ),
+	.A2(n_68526),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_524 ),
+	.B2(n_46411),
+	.Y(n_38321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g803047 (
+	.A(n_45341),
+	.Y(n_38344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g803053 (
+	.A(n_57719),
+	.B(n_58131),
+	.C(n_74888),
+	.Y(n_38352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g803058 (
+	.A(n_38352),
+	.B(n_54235),
+	.Y(n_38356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g803059 (
+	.A(n_38352),
+	.B(n_66395),
+	.Y(n_38357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803063 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_1730),
+	.B1_N(n_66709),
+	.X(n_38361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803065 (
+	.A1(n_48868),
+	.A2(n_41588),
+	.B1_N(n_66802),
+	.X(n_38363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803066 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_842),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1066),
+	.X(n_38364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803067 (
+	.A1(n_48868),
+	.A2(n_51585),
+	.B1_N(n_67887),
+	.X(n_38365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803068 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_382),
+	.B1_N(n_70577),
+	.X(n_38366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803069 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_980),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1125),
+	.X(n_38367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803070 (
+	.A1(n_48868),
+	.A2(n_51194),
+	.B1_N(n_67886),
+	.X(n_38369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803072 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_978),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1155),
+	.X(n_38370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803073 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_1740),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1839),
+	.X(n_38371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803074 (
+	.A1(n_48868),
+	.A2(n_66955),
+	.B1_N(n_74611),
+	.X(n_38372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803075 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_977),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1126),
+	.X(n_38373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g803076 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_983),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1122),
+	.X(n_38374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g803077 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_790),
+	.B1(n_45961),
+	.X(n_38375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g803088 (
+	.A(n_38189),
+	.B(n_38188),
+	.Y(n_38386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g803092 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1090),
+	.B(n_69443),
+	.Y(n_38390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g803093 (
+	.A(n_69443),
+	.B(n_53951),
+	.Y(n_38392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g803102 (
+	.A1(n_35273),
+	.A2(n_38400),
+	.B1(n_35270),
+	.B2(n_52923),
+	.X(n_38402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g803105 (
+	.A1(n_35425),
+	.A2(n_38400),
+	.B1(n_45596),
+	.B2(n_52923),
+	.X(n_38403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803114  (
+	.A(n_69637),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_38416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803119  (
+	.A(n_69637),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_38417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g803120 (
+	.A(n_33528),
+	.B(n_59207),
+	.Y(n_38418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g803122 (
+	.A_N(n_70067),
+	.B_N(n_70065),
+	.C(n_38258),
+	.D(n_34148),
+	.X(n_38422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g803128 (
+	.A(n_38426),
+	.B(n_13645),
+	.C(n_13609),
+	.X(n_38427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g803129 (
+	.A(n_70755),
+	.Y(n_38426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g803132 (
+	.A(n_38426),
+	.B(n_69317),
+	.Y(n_38430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g803137 (
+	.A(n_38437),
+	.B(n_44490),
+	.Y(n_38435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g803378  (
+	.A(n_38655),
+	.Y(n_38656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g803379 (
+	.A(n_15483),
+	.B(n_51483),
+	.X(n_38655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g803462 (
+	.A_N(n_29520),
+	.B(n_26742),
+	.Y(n_38736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g803490 (
+	.A(n_73047),
+	.Y(n_38753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g803501 (
+	.A(n_65113),
+	.B(n_59191),
+	.Y(n_38764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 WALLACE_CSA_DUMMY_OP_groupi_g803534 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1566),
+	.A2(n_38809),
+	.B1_N(n_53948),
+	.X(n_38808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g803535 (
+	.A(n_38809),
+	.B(n_53948),
+	.Y(n_38810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803543  (
+	.A1(n_35097),
+	.A2(n_74861),
+	.B1(n_73851),
+	.B2(n_36842),
+	.Y(n_38817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803545  (
+	.A(n_73851),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
+	.Y(n_38819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803548  (
+	.A(n_73851),
+	.B(n_49807),
+	.Y(n_38822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g803712 (
+	.A(n_25025),
+	.B(n_39061),
+	.Y(n_38974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g803754 (
+	.A_N(n_48346),
+	.B(n_23738),
+	.X(n_39015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_2 g803757 (
+	.A1(n_48346),
+	.A2(n_23529),
+	.B1(n_19265),
+	.C1(n_19264),
+	.D1(n_20733),
+	.Y(n_39016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g583760_dup (
+	.A1(soc_top_data_rdata[4]),
+	.A2(n_39015),
+	.B1(n_39016),
+	.Y(n_39020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803778  (
+	.A1(n_39039),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2363 ),
+	.B1(n_35084),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1060 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2194 ),
+	.X(n_39040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803781  (
+	.A(FE_DBTN9_n_69283),
+	.B(n_35041),
+	.Y(n_39036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g803788 (
+	.A_N(n_70079),
+	.B_N(n_70082),
+	.C(n_58958),
+	.D(n_73612),
+	.X(n_39046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g803789  (
+	.A(n_70079),
+	.Y(n_39048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803804  (
+	.A(n_68377),
+	.Y(n_39061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803807  (
+	.A(n_39061),
+	.B(n_74861),
+	.Y(n_39065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803809  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_481 ),
+	.A2_N(n_70942),
+	.B1(n_71352),
+	.B2(n_39061),
+	.Y(n_39068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803811  (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_233 ),
+	.A2_N(n_70942),
+	.B1(n_40079),
+	.B2(n_39061),
+	.Y(n_39069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803813  (
+	.A1(n_35500),
+	.A2(n_44189),
+	.B1(n_39061),
+	.B2(n_25029),
+	.Y(n_39071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803814  (
+	.A1(n_68748),
+	.A2(FE_DBTN6_n_75245),
+	.B1(n_66042),
+	.B2(n_75245),
+	.X(n_39076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g803817  (
+	.A(n_36319),
+	.B(n_55284),
+	.Y(n_39072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g804021 (
+	.A(n_69692),
+	.B(n_53181),
+	.Y(n_39262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g804078 (
+	.A1(n_53043),
+	.A2(n_35523),
+	.B1_N(FE_DBTN57_n_73965),
+	.Y(n_39318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g804079  (
+	.A(n_53043),
+	.B(n_35523),
+	.Y(n_39319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g804083  (
+	.A(n_69622),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(n_39324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g804100  (
+	.A(n_68769),
+	.Y(n_39340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g804121 (
+	.A(n_67805),
+	.Y(n_34198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g804123 (
+	.A(n_66776),
+	.Y(n_39365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g804126 (
+	.A1(n_25119),
+	.A2(n_15624),
+	.B1(n_27423),
+	.B2(n_15362),
+	.Y(n_39362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g804128 (
+	.A(n_51483),
+	.B(n_66776),
+	.Y(n_39367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g804134 (
+	.A(n_53308),
+	.B(addinc_ADD_UNS_OP_2_n_81),
+	.Y(n_39374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g804442 (
+	.A1(n_39662),
+	.A2(FE_DBTN85_n_53112),
+	.B1(n_17443),
+	.X(n_39663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g804443 (
+	.A1(n_57260),
+	.A2(n_66289),
+	.B1_N(n_15483),
+	.Y(n_39662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt804470 (
+	.A(n_53853),
+	.Y(n_39687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g804472 (
+	.A(n_39692),
+	.B(n_23321),
+	.Y(n_39691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g804474 (
+	.A1(n_3963),
+	.A2(n_39692),
+	.B1(n_3310),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.X(n_39693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g804480 (
+	.A(addinc_ADD_UNS_OP_2_n_327),
+	.B(n_39698),
+	.Y(n_39699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g804482 (
+	.A(n_39698),
+	.Y(n_39700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g804487 (
+	.A(n_19235),
+	.B(n_24035),
+	.Y(n_39705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g804488 (
+	.A1(n_24035),
+	.A2(n_56674),
+	.B1(n_20851),
+	.Y(n_39707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g804490 (
+	.A(n_24035),
+	.Y(n_39708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g804509 (
+	.A(n_18438),
+	.B(n_18459),
+	.C(n_69040),
+	.Y(n_36430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 addinc_ADD_UNS_OP_2_g804521 (
+	.A(n_66764),
+	.B(n_67709),
+	.X(n_39737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g804522 (
+	.A_N(n_39737),
+	.B(addinc_ADD_UNS_OP_2_n_332),
+	.Y(n_39740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g804523 (
+	.A1(addinc_ADD_UNS_OP_2_n_434),
+	.A2(n_39737),
+	.B1_N(addinc_ADD_UNS_OP_2_n_307),
+	.Y(n_39741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g804524 (
+	.A(n_68772),
+	.B(n_57412),
+	.Y(n_39745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 WALLACE_CSA_DUMMY_OP_groupi_g804531 (
+	.A(n_68772),
+	.B(n_57412),
+	.X(n_39749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_4 g804537 (
+	.A1(n_44111),
+	.A2(n_38277),
+	.B1(n_68361),
+	.B2(n_50274),
+	.C1(n_20992),
+	.X(n_39755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g804884 (
+	.A(n_40078),
+	.B(n_37021),
+	.Y(n_40079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g804885 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_43457),
+	.Y(n_40078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g804896 (
+	.A_N(n_69684),
+	.B(n_69683),
+	.Y(n_40087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g804912 (
+	.A(n_40108),
+	.Y(n_40109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g804913 (
+	.A(n_40105),
+	.B(n_40106),
+	.C(n_40107),
+	.Y(n_40108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g804914 (
+	.A_N(n_56674),
+	.B(n_537),
+	.Y(n_40105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g804915 (
+	.A(n_24116),
+	.B(n_38199),
+	.Y(n_40106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g804916 (
+	.A(n_56675),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_q[31]),
+	.Y(n_40107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt804928 (
+	.A(n_33668),
+	.Y(n_40133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g804953 (
+	.A(n_57948),
+	.Y(n_40177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt804962 (
+	.A(n_57473),
+	.Y(addinc_ADD_UNS_OP_2_n_1980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g804977 (
+	.A(n_55990),
+	.B(n_45645),
+	.Y(n_40200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g804980 (
+	.A(n_66120),
+	.B(n_55990),
+	.Y(n_40201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g804982 (
+	.A1(n_44099),
+	.A2(n_69692),
+	.B1_N(n_23863),
+	.X(n_31260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g804985 (
+	.A(n_36719),
+	.Y(n_40204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g805320  (
+	.A(n_73613),
+	.B(n_58955),
+	.Y(n_40517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805328  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_69674),
+	.Y(n_40525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805332  (
+	.A(n_40531),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_40532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805333  (
+	.A(n_59610),
+	.B(n_40530),
+	.Y(n_40531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805335  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1431 ),
+	.B(n_52455),
+	.Y(n_40530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805336  (
+	.A(n_40531),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_40534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805341  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_741 ),
+	.B(n_54651),
+	.Y(n_40535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g805362  (
+	.A(n_50600),
+	.B(n_30594),
+	.Y(n_40560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805374 (
+	.A(n_26444),
+	.B(n_64745),
+	.Y(n_40572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805376 (
+	.A(n_64745),
+	.B(n_56702),
+	.Y(n_40573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805379 (
+	.A(n_69462),
+	.B(n_64745),
+	.Y(n_40576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805380 (
+	.A(n_54723),
+	.B(n_64745),
+	.Y(n_40577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805387 (
+	.A(n_61588),
+	.B(n_64744),
+	.Y(n_40584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805388 (
+	.A(n_68321),
+	.B(n_64744),
+	.Y(n_40585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805389 (
+	.A(n_64744),
+	.B(n_67781),
+	.Y(n_40586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g805607 (
+	.A(n_17703),
+	.B(n_68919),
+	.Y(n_40784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g805610 (
+	.A(n_69997),
+	.B(n_40784),
+	.Y(n_40786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt805633 (
+	.A(n_73650),
+	.Y(n_40810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt805639 (
+	.A(n_57660),
+	.Y(n_40818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g805960 (
+	.A_N(n_74887),
+	.B(n_70508),
+	.Y(n_41130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g805964 (
+	.A(n_41136),
+	.B(n_41572),
+	.Y(n_41134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g7006_805966 (
+	.A(n_41136),
+	.B(n_41572),
+	.Y(n_41135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_g805967 (
+	.A(n_41136),
+	.Y(n_41137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g805986 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_26504),
+	.B1(n_74852),
+	.B2(n_47606),
+	.X(n_41155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g805987 (
+	.A1(n_66290),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_34427),
+	.Y(n_41156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805989 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26518),
+	.Y(n_41158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g805990 (
+	.A1(n_66163),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_41159),
+	.Y(n_41160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805991 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26509),
+	.Y(n_41159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g805993 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26517),
+	.Y(n_41163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g805996 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_26502),
+	.B1(n_74852),
+	.B2(n_33079),
+	.X(n_41165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g806002 (
+	.A(n_51057),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_41172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g806263 (
+	.A1(n_26570),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_74852),
+	.B2(n_26646),
+	.X(n_41413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806264  (
+	.A(n_41416),
+	.B(n_65952),
+	.Y(n_41417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g806265 (
+	.A(n_73105),
+	.B(n_41415),
+	.Y(n_41416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g806267 (
+	.A(n_65405),
+	.B(n_41413),
+	.Y(n_41415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806311  (
+	.A1(n_55305),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B1(n_53072),
+	.B2(FE_DBTN60_n_58959),
+	.Y(n_41460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806346  (
+	.A1_N(FE_DBTN123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29),
+	.A2_N(n_68377),
+	.B1(n_41156),
+	.B2(n_50600),
+	.Y(n_41491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806347  (
+	.A(n_50600),
+	.B(n_74877),
+	.Y(n_41492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806353  (
+	.A(n_50600),
+	.B(n_33634),
+	.Y(n_41498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806354  (
+	.A(n_50600),
+	.B(n_30592),
+	.Y(n_41500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806356  (
+	.A(n_50600),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_41501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806357  (
+	.A(n_50600),
+	.B(n_35162),
+	.Y(n_41502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806359  (
+	.A(n_50600),
+	.B(n_69674),
+	.Y(n_41504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806360  (
+	.A(n_50600),
+	.B(n_33420),
+	.Y(n_41505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806363  (
+	.A(n_50600),
+	.B(n_70226),
+	.Y(n_41508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806370  (
+	.A(n_65952),
+	.B(n_50993),
+	.Y(n_41515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806371  (
+	.A1(n_65952),
+	.A2(n_30589),
+	.B1(FE_DBTN15_n_65952),
+	.B2(n_30587),
+	.X(n_41516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806372  (
+	.A(n_36303),
+	.B(n_65952),
+	.Y(n_41517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806373  (
+	.A(n_73389),
+	.B(n_65952),
+	.Y(n_41518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806375  (
+	.A(n_41522),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_41524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806377  (
+	.A(n_41520),
+	.B(n_41521),
+	.Y(n_41522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806378  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1426 ),
+	.B(n_52455),
+	.Y(n_41520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806379  (
+	.A(n_54763),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_41521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g806380  (
+	.A(n_41522),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_41525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 addinc_ADD_UNS_OP_2_g806385 (
+	.A1(n_70049),
+	.A2(n_74804),
+	.B1(n_74743),
+	.Y(n_41531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt806393 (
+	.A(n_41538),
+	.Y(n_41539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g806402  (
+	.A(n_49830),
+	.Y(n_41548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g806404 (
+	.A(n_41550),
+	.B(n_41549),
+	.Y(n_41551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g806405 (
+	.A(n_74851),
+	.B(n_52097),
+	.Y(n_41549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g806406 (
+	.A(n_50150),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_41550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g806417 (
+	.A(n_70144),
+	.Y(n_41562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g806420 (
+	.A(n_73559),
+	.B(n_41562),
+	.Y(n_41564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g806425 (
+	.A(n_70049),
+	.Y(n_41571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 addinc_ADD_UNS_OP_2_g806427 (
+	.A_N(n_70049),
+	.B(n_73576),
+	.Y(n_41572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g806431 (
+	.A1(n_16715),
+	.A2(n_16556),
+	.B1(n_47546),
+	.B2(n_54573),
+	.X(n_41580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g806435 (
+	.A(n_36683),
+	.Y(n_41577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g806437 (
+	.A(n_69692),
+	.B(n_54573),
+	.Y(n_41582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 addinc_ADD_UNS_OP_2_g806442 (
+	.A(n_37422),
+	.B_N(n_51579),
+	.Y(n_41588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g806756 (
+	.A(n_41883),
+	.B(n_34971),
+	.Y(n_41881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g23 (
+	.A(n_75245),
+	.B(n_43962),
+	.Y(n_42162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g434 (
+	.A(n_42802),
+	.Y(n_42803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g435 (
+	.A(n_42799),
+	.B(n_42801),
+	.Y(n_42802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g439 (
+	.A_N(n_67910),
+	.B(n_67583),
+	.C(n_42798),
+	.Y(n_42799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g485 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.B(n_67923),
+	.Y(n_42791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g503 (
+	.A(n_49147),
+	.Y(n_42792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g469 (
+	.A1(n_42795),
+	.A2(n_42796),
+	.B1(n_42797),
+	.X(n_42798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g510 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ),
+	.Y(n_42795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g484 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1843 ),
+	.B(n_67689),
+	.Y(n_42796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g486 (
+	.A(n_67689),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1843 ),
+	.Y(n_42797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g438 (
+	.A(n_67583),
+	.B(n_67910),
+	.C(n_42800),
+	.Y(n_42801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g452 (
+	.A(n_42798),
+	.Y(n_42800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g429 (
+	.A1(n_42798),
+	.A2(n_67910),
+	.A3(n_67583),
+	.B1(n_42804),
+	.X(n_42805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g433 (
+	.A_N(n_67583),
+	.B(n_67910),
+	.C(n_42798),
+	.Y(n_42804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g430 (
+	.A(n_42831),
+	.B(n_42832),
+	.Y(n_42833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g432 (
+	.A1(n_68017),
+	.A2(n_42823),
+	.B1(n_42830),
+	.Y(n_42831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g456 (
+	.A(n_42820),
+	.B(n_66726),
+	.Y(n_42823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g457 (
+	.A(n_42792),
+	.B(n_67585),
+	.Y(n_42820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g453 (
+	.A(n_42827),
+	.B(n_42829),
+	.Y(n_42830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g459 (
+	.A(n_42826),
+	.B(n_49140),
+	.Y(n_42827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g478 (
+	.A(n_42824),
+	.B(n_42825),
+	.Y(n_42826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt517 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ),
+	.Y(n_42824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g497 (
+	.A(n_74549),
+	.Y(n_42825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g491 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ),
+	.B(n_74549),
+	.Y(n_42829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g441 (
+	.A(n_42823),
+	.B(n_68017),
+	.Y(n_42832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g437 (
+	.A1_N(n_42838),
+	.A2_N(n_42830),
+	.B1(n_42838),
+	.B2(n_42830),
+	.Y(n_42839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g449 (
+	.A(n_68017),
+	.Y(n_42838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g442 (
+	.A(n_67910),
+	.B(n_42800),
+	.Y(n_42847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g483 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1911 ),
+	.B(n_42825),
+	.Y(n_42850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g477 (
+	.A(n_42824),
+	.B(n_74549),
+	.Y(n_42851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1142 (
+	.A(n_50676),
+	.Y(n_42905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1249 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ),
+	.Y(n_42897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1236 (
+	.A(n_42898),
+	.B(n_42899),
+	.Y(n_42900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1274 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ),
+	.Y(n_42898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1263 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ),
+	.Y(n_42899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1194 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ),
+	.B(n_42897),
+	.C(n_42899),
+	.Y(n_42901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1193 (
+	.A(n_42898),
+	.B(n_42897),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ),
+	.Y(n_42902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1186 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ),
+	.Y(n_42903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1205 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1948 ),
+	.Y(n_42906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1185 (
+	.A(n_42913),
+	.B(n_42914),
+	.Y(n_42915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1190 (
+	.A(n_73977),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2109 ),
+	.Y(n_42913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1267 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ),
+	.Y(n_42911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1213 (
+	.A_N(n_42911),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.Y(n_42914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1124 (
+	.A(n_42918),
+	.B(n_42919),
+	.Y(n_42920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1154 (
+	.A(n_42915),
+	.Y(n_42918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1137 (
+	.A(n_67587),
+	.Y(n_42919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1119 (
+	.A(n_50676),
+	.B(n_42918),
+	.C(n_67587),
+	.Y(n_42921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g1118 (
+	.A_N(n_67587),
+	.B(n_50676),
+	.C(n_42915),
+	.Y(n_42924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1169 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2109 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1949 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.X(n_42927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1182 (
+	.A(n_67589),
+	.Y(n_42934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1215 (
+	.A(n_42928),
+	.B(n_66819),
+	.Y(n_42930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1208 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ),
+	.B(n_42936),
+	.Y(n_42937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1259 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2848 ),
+	.Y(n_42936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1152 (
+	.A(n_42927),
+	.Y(n_42942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1104 (
+	.A(n_68841),
+	.B(n_67850),
+	.C(n_45999),
+	.Y(n_42949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1123 (
+	.A(n_42905),
+	.B(n_67587),
+	.Y(n_42955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1121 (
+	.A(n_42957),
+	.B(n_66731),
+	.Y(n_42960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1126 (
+	.A(n_42934),
+	.B(n_74449),
+	.Y(n_42957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1170 (
+	.A(n_42976),
+	.B(n_42977),
+	.Y(n_42978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1192 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1980 ),
+	.Y(n_42976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1233 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2108 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1892 ),
+	.Y(n_42977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1204 (
+	.A(n_42980),
+	.B(n_42981),
+	.Y(n_42982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1206 (
+	.A(n_42936),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ),
+	.Y(n_42980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1216 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2848 ),
+	.Y(n_42981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1471 (
+	.A(n_43006),
+	.B(n_43010),
+	.Y(n_43011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1479 (
+	.A1_N(n_42998),
+	.A2_N(n_43005),
+	.B1(n_42998),
+	.B2(n_43005),
+	.Y(n_43006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1498 (
+	.A(n_67593),
+	.Y(n_42998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1595 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1737 ),
+	.B(n_42990),
+	.Y(n_42991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1641 (
+	.A(n_38221),
+	.Y(n_42990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1573 (
+	.A(n_42992),
+	.B(n_38221),
+	.Y(n_42993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1638 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1737 ),
+	.Y(n_42992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1568 (
+	.A(n_42991),
+	.B(n_42993),
+	.Y(n_42995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1543 (
+	.A(n_43002),
+	.B(n_73728),
+	.Y(n_43005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1556 (
+	.A(n_43001),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.Y(n_43002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1596 (
+	.A(n_55341),
+	.B(n_43000),
+	.Y(n_43001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1625 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2847 ),
+	.Y(n_43000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1495 (
+	.A(n_54829),
+	.Y(n_43010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1614 (
+	.A(n_68290),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ),
+	.Y(n_43008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g1468 (
+	.A1(n_67593),
+	.A2(n_43012),
+	.B1(n_54829),
+	.C1(n_43014),
+	.Y(n_43015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1542 (
+	.A(n_43005),
+	.Y(n_43012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1484 (
+	.A(n_43002),
+	.B(n_73728),
+	.C(n_67593),
+	.Y(n_43014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1516 (
+	.A(n_66733),
+	.B(n_43024),
+	.Y(n_43025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1567 (
+	.A1_N(n_43017),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.B1(n_43017),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.Y(n_43018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1667 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.Y(n_43017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1523 (
+	.A(n_66734),
+	.B(n_43023),
+	.C(n_73829),
+	.Y(n_43024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1599 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.B(n_43017),
+	.Y(n_43023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g1510 (
+	.A(n_38229),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1770 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1816 ),
+	.COUT(n_43045),
+	.SUM(n_43026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1485 (
+	.A(n_43026),
+	.B(n_43025),
+	.Y(n_43030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1426 (
+	.A(n_43056),
+	.B(n_67783),
+	.Y(n_43060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1441 (
+	.A(n_43053),
+	.B(n_52841),
+	.Y(n_43056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1443 (
+	.A(n_52842),
+	.B(n_74573),
+	.Y(n_43053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1469 (
+	.A(n_43037),
+	.B(n_43038),
+	.Y(n_43039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1480 (
+	.A1_N(n_43035),
+	.A2_N(n_43036),
+	.B1(n_43035),
+	.B2(n_43036),
+	.Y(n_43037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1512 (
+	.A(n_43033),
+	.B(n_43034),
+	.Y(n_43035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g1528 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.B1(n_73829),
+	.Y(n_43033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1617 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.Y(n_43034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1664 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.Y(n_43036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g1470 (
+	.A1(n_43035),
+	.A2(n_43036),
+	.B1(n_43040),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.C1(n_68467),
+	.Y(n_43042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1492 (
+	.A(n_43035),
+	.Y(n_43040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1509 (
+	.A(n_43045),
+	.Y(n_43046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1616 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1737 ),
+	.B(n_38221),
+	.Y(n_43049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1453 (
+	.A(n_74573),
+	.Y(n_43054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1432 (
+	.A(n_43056),
+	.Y(n_43061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1541 (
+	.A(n_43072),
+	.B(n_44490),
+	.Y(n_43077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1564 (
+	.A(n_43071),
+	.Y(n_43072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1565 (
+	.A(n_52284),
+	.B(n_59577),
+	.Y(n_43071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1536 (
+	.A(n_43071),
+	.B(n_43090),
+	.Y(n_43091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1474 (
+	.A(n_43115),
+	.B(n_43116),
+	.Y(n_43117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1477 (
+	.A1(n_43107),
+	.A2(n_69435),
+	.B1(n_43114),
+	.Y(n_43115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1535 (
+	.A(n_67895),
+	.B(n_43106),
+	.Y(n_43107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1602 (
+	.A(n_43099),
+	.B(n_67726),
+	.Y(n_43100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1651 (
+	.A(n_38224),
+	.Y(n_43099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1539 (
+	.A(n_43100),
+	.B(n_66736),
+	.C(n_38226),
+	.Y(n_43106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1615 (
+	.A(n_68021),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ),
+	.Y(n_43109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1544 (
+	.A(n_43111),
+	.B(n_66062),
+	.Y(n_43114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1590 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.B(n_38222),
+	.Y(n_43111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1632 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1755 ),
+	.Y(n_43112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1483 (
+	.A(n_69435),
+	.B(n_43107),
+	.Y(n_43116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1452 (
+	.A(n_74452),
+	.B(n_43140),
+	.Y(n_43141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g1481 (
+	.A1_N(n_43118),
+	.A2_N(n_43123),
+	.B1(n_43118),
+	.B2(n_43123),
+	.Y(n_43124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1499 (
+	.A(n_69435),
+	.Y(n_43118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1500 (
+	.A(n_66738),
+	.B(n_43122),
+	.Y(n_43123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1501 (
+	.A(n_43111),
+	.B(n_66062),
+	.C(n_43107),
+	.Y(n_43122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1486 (
+	.A(n_67702),
+	.B(n_73978),
+	.Y(n_43140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1634 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.Y(n_43128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1560 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.B(n_43000),
+	.C(n_55341),
+	.Y(n_43130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1559 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2847 ),
+	.B(n_43128),
+	.C(n_55341),
+	.Y(n_43131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1569 (
+	.A(n_43135),
+	.B(n_43137),
+	.Y(n_43138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1597 (
+	.A(n_68290),
+	.B(n_43134),
+	.Y(n_43135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1662 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ),
+	.Y(n_43134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1598 (
+	.A(n_68287),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1771 ),
+	.Y(n_43137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1449 (
+	.A1_N(n_43142),
+	.A2_N(n_68442),
+	.B1(n_43142),
+	.B2(n_68442),
+	.Y(n_43143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g1476 (
+	.A1_N(n_43025),
+	.A2_N(n_43026),
+	.B1(n_43025),
+	.B2(n_43026),
+	.Y(n_43142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1408 (
+	.A(n_43150),
+	.B(n_43152),
+	.Y(n_35437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1409 (
+	.A(n_43148),
+	.B(n_49270),
+	.Y(n_43150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1489 (
+	.A(n_54930),
+	.Y(n_43148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1410 (
+	.A(n_54930),
+	.B(n_43151),
+	.Y(n_43152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1413 (
+	.A(n_49270),
+	.Y(n_43151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1440 (
+	.A(n_66084),
+	.B(n_66740),
+	.Y(n_43157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1431 (
+	.A(n_66084),
+	.B(n_66740),
+	.C(n_43143),
+	.Y(n_43161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1423 (
+	.A(n_43061),
+	.B(n_43064),
+	.Y(n_43163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1561 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.B(n_43178),
+	.Y(n_43179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1575 (
+	.A(n_38222),
+	.B(n_43112),
+	.Y(n_43178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1517 (
+	.A(n_66744),
+	.B(n_43191),
+	.Y(n_43192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1570 (
+	.A(n_66743),
+	.B(n_43186),
+	.Y(n_43187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1574 (
+	.A(n_43185),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ),
+	.Y(n_43186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1650 (
+	.A(n_68021),
+	.Y(n_43185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1522 (
+	.A(n_66743),
+	.B(n_43186),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ),
+	.Y(n_43191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g1459 (
+	.A(n_43196),
+	.Y(n_43197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1482 (
+	.A1(n_43040),
+	.A2(n_43194),
+	.B1(n_43195),
+	.X(n_43196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1612 (
+	.A(n_68467),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.Y(n_43194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1613 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1890 ),
+	.B(n_68467),
+	.Y(n_43195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g718 (
+	.A(n_43250),
+	.B(n_43253),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1517 ),
+	.COUT(n_43309),
+	.SUM(n_43254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g731 (
+	.A(n_43243),
+	.B(n_43249),
+	.Y(n_43250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g741 (
+	.A(n_43235),
+	.B(n_43242),
+	.Y(n_43243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g755 (
+	.A1(FE_DBTN102_n_55797),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_585 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_687 ),
+	.B2(n_55865),
+	.Y(n_43235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g758 (
+	.A(n_66745),
+	.B(n_66746),
+	.Y(n_43242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g739 (
+	.A1(n_43242),
+	.A2(n_43235),
+	.B1(n_43248),
+	.Y(n_43249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g752 (
+	.A1_N(n_43245),
+	.A2_N(n_43246),
+	.B1(n_46648),
+	.B2(n_43247),
+	.Y(n_43248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g792 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_716 ),
+	.Y(n_43246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g775 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1296 ),
+	.B(n_67279),
+	.X(n_43253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g725 (
+	.A(n_43275),
+	.Y(n_43276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g726 (
+	.A1(n_43269),
+	.A2(n_43243),
+	.B1(n_43274),
+	.X(n_43275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g751 (
+	.A(n_43248),
+	.Y(n_43269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g727 (
+	.A(n_43270),
+	.B(n_43273),
+	.Y(n_43274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g740 (
+	.A(n_43242),
+	.B(n_43269),
+	.C(n_43235),
+	.Y(n_43270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g733 (
+	.A1(n_43271),
+	.A2(n_43248),
+	.A3(n_43235),
+	.B1(n_43272),
+	.Y(n_43273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g756 (
+	.A(n_43242),
+	.Y(n_43271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g738 (
+	.A(n_43235),
+	.B(n_43269),
+	.C(n_43271),
+	.Y(n_43272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g721 (
+	.A(n_43280),
+	.B(n_66749),
+	.Y(n_43283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g722 (
+	.A(n_70992),
+	.B(n_43279),
+	.Y(n_43280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g734 (
+	.A1_N(n_66060),
+	.A2_N(n_67595),
+	.B1(n_66060),
+	.B2(n_67595),
+	.Y(n_43279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g774 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1292 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_980 ),
+	.Y(n_43287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g761 (
+	.A(n_66657),
+	.B(n_43290),
+	.Y(n_43291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g767 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_686 ),
+	.B(n_55797),
+	.Y(n_43290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g719 (
+	.A1_N(n_43275),
+	.A2_N(n_68086),
+	.B1(n_43275),
+	.B2(n_68086),
+	.Y(n_43304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g764 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1292 ),
+	.Y(n_43311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g762 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1293 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_870 ),
+	.X(n_43312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g180 (
+	.A(n_67598),
+	.Y(n_43318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807639 (
+	.A(n_52374),
+	.B(n_43392),
+	.Y(n_43393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g535 (
+	.A(n_34579),
+	.Y(n_43353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g539 (
+	.A(n_70084),
+	.Y(n_34148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g525 (
+	.A(n_43358),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_35 ),
+	.Y(n_43360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g538 (
+	.A(n_34580),
+	.Y(n_43358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g524 (
+	.A(n_34580),
+	.B(n_70067),
+	.Y(n_43361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g807640 (
+	.A(n_43366),
+	.B(n_43372),
+	.Y(n_43373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807641 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
+	.B(n_66579),
+	.Y(n_43366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt546 (
+	.A(n_43371),
+	.Y(n_43372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g519 (
+	.A(n_43369),
+	.B(n_43370),
+	.Y(n_43371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g534 (
+	.A(n_43367),
+	.B(n_43368),
+	.Y(n_43369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g537 (
+	.A(n_34641),
+	.Y(n_43367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g542 (
+	.A(n_34798),
+	.Y(n_43368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g533 (
+	.A(n_34641),
+	.B(n_34798),
+	.Y(n_43370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g544 (
+	.A(n_34577),
+	.Y(n_43374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g545 (
+	.A(n_70058),
+	.Y(n_43375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g499 (
+	.A1(n_43384),
+	.A2(n_43385),
+	.B1(n_43386),
+	.C1(n_43388),
+	.D1(n_43391),
+	.X(n_43392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g532 (
+	.A(n_27101),
+	.B(soc_top_u_top_u_core_is_fp_instr),
+	.Y(n_43384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g807644 (
+	.A1(n_9638),
+	.A2(n_2602),
+	.B1(n_4007),
+	.Y(n_43385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g506 (
+	.A1(n_26909),
+	.A2(n_29884),
+	.A3(n_5619),
+	.B1(n_6704),
+	.Y(n_43386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g807645 (
+	.A1(n_8975),
+	.A2(\soc_top_u_top_u_core_cs_registers_i_fflags_q[NX] ),
+	.B1(n_66593),
+	.B2(n_10836),
+	.C1(n_43387),
+	.Y(n_43388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g512 (
+	.A1(n_2811),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_held_status_q[NX] ),
+	.B1(n_2812),
+	.B2(n_12279),
+	.X(n_43387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807646 (
+	.A(n_58695),
+	.B(n_66579),
+	.Y(n_43391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g536 (
+	.A(n_74780),
+	.Y(n_43389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g382 (
+	.A(n_43413),
+	.Y(n_43414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g383 (
+	.A(n_67708),
+	.B(n_66305),
+	.Y(n_43413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g416 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1888 ),
+	.Y(n_43402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g408 (
+	.A(n_43407),
+	.B(n_43408),
+	.Y(n_43409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g417 (
+	.A(n_48478),
+	.Y(n_43407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807648 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1903 ),
+	.Y(n_43408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g410 (
+	.A(n_43408),
+	.B(n_43407),
+	.Y(n_43410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g405 (
+	.A(n_43407),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1903 ),
+	.Y(n_43419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g404 (
+	.A(n_48478),
+	.B(n_43408),
+	.Y(n_43420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807649 (
+	.A(n_43454),
+	.B(n_55960),
+	.Y(n_43457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807650 (
+	.A(n_66753),
+	.B(n_69933),
+	.Y(n_43454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g550 (
+	.A(n_57964),
+	.B(n_57963),
+	.X(n_43432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g548 (
+	.A(n_48089),
+	.B(n_47171),
+	.Y(n_43441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1016 (
+	.A1(n_43497),
+	.A2(n_43517),
+	.B1(n_43518),
+	.X(n_43519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1036 (
+	.A(n_43463),
+	.B(n_43479),
+	.C(n_43598),
+	.X(n_43497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1100 (
+	.A(n_43461),
+	.B(n_43462),
+	.Y(n_43463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807658 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ),
+	.B(n_43460),
+	.Y(n_43461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1138 (
+	.A(n_43458),
+	.B(n_43459),
+	.Y(n_43460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807659 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ),
+	.Y(n_43458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807661 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1701 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1820 ),
+	.Y(n_43462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g1092 (
+	.A(n_43475),
+	.B(n_43476),
+	.C(n_43478),
+	.Y(n_43479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g807662 (
+	.A(n_43474),
+	.Y(n_43475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807663 (
+	.A(n_43467),
+	.B(n_43473),
+	.Y(n_43474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807664 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.B(n_43466),
+	.Y(n_43467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1143 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.Y(n_43466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807666 (
+	.A(n_43468),
+	.B(n_43472),
+	.Y(n_43473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807667 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.Y(n_43468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1140 (
+	.A(n_43471),
+	.Y(n_43472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807668 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.B(n_43470),
+	.Y(n_43471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807670 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ),
+	.Y(n_43470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g807671 (
+	.A_N(n_43468),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.Y(n_43476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807672 (
+	.A(n_43468),
+	.B(n_43477),
+	.Y(n_43478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1145 (
+	.A(n_43470),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.Y(n_43477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g807674 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1822 ),
+	.Y(n_43480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1203 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1893 ),
+	.Y(n_43482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1136 (
+	.A(n_43485),
+	.B(n_66061),
+	.Y(n_43488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1144 (
+	.A(n_43484),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.Y(n_43485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807677 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2867 ),
+	.Y(n_43484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807679 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.Y(n_43486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g1023 (
+	.A(n_43503),
+	.B(n_43516),
+	.Y(n_43517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1096 (
+	.A(n_74575),
+	.Y(n_43503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1201 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ),
+	.Y(n_43499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1060 (
+	.A(n_43514),
+	.B(n_43515),
+	.Y(n_43516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g1077 (
+	.A1(n_73853),
+	.A2(n_43511),
+	.B1_N(n_44239),
+	.Y(n_43514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807686 (
+	.A(n_67599),
+	.B(n_74025),
+	.Y(n_43511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1151 (
+	.A(n_43499),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1894 ),
+	.Y(n_43504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1078 (
+	.A(n_43511),
+	.B(n_73853),
+	.Y(n_43515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1024 (
+	.A(n_43516),
+	.B(n_43503),
+	.Y(n_43518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1007 (
+	.A(n_43575),
+	.B(n_43577),
+	.Y(n_43578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1011 (
+	.A(n_43555),
+	.B(n_43574),
+	.Y(n_43575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1015 (
+	.A(n_43553),
+	.B(n_43554),
+	.Y(n_43555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1017 (
+	.A(n_43529),
+	.B(n_43552),
+	.Y(n_43553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1035 (
+	.A(n_43527),
+	.B(n_43528),
+	.Y(n_43529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1045 (
+	.A(n_43598),
+	.B(n_43526),
+	.Y(n_43527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1069 (
+	.A(n_43524),
+	.B(n_43476),
+	.C(n_43525),
+	.Y(n_43526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g807695 (
+	.A1(n_43471),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.B1(n_43478),
+	.C1(n_43467),
+	.X(n_43524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1090 (
+	.A(n_43463),
+	.Y(n_43525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1075 (
+	.A(n_43479),
+	.B(n_43463),
+	.Y(n_43528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1025 (
+	.A(n_73980),
+	.Y(n_43552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807698 (
+	.A(n_43484),
+	.B(n_43486),
+	.Y(n_43530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1061 (
+	.A(n_43542),
+	.B(n_66757),
+	.Y(n_43547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807700 (
+	.A(n_43608),
+	.Y(n_43542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1134 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_281 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2871 ),
+	.Y(n_43543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1070 (
+	.A(n_43608),
+	.B(n_43548),
+	.Y(n_43549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1079 (
+	.A(n_66757),
+	.Y(n_43548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1022 (
+	.A(n_43527),
+	.B(n_43528),
+	.C(n_73980),
+	.Y(n_43554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1037 (
+	.A(n_66759),
+	.B(n_43572),
+	.Y(n_43573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1058 (
+	.A(n_66758),
+	.B(n_66079),
+	.Y(n_43564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g807712 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1631 ),
+	.Y(n_43557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g807713 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1821 ),
+	.X(n_43559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807714 (
+	.A(n_67601),
+	.Y(n_43562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1042 (
+	.A(n_66079),
+	.B(n_66758),
+	.C(n_67602),
+	.Y(n_43572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g1008 (
+	.A_N(n_43555),
+	.B(n_43573),
+	.Y(n_43577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g1012 (
+	.A(n_74454),
+	.B(n_43583),
+	.Y(n_43584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1027 (
+	.A(n_43573),
+	.Y(n_43574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1018 (
+	.A(n_43573),
+	.B(n_73980),
+	.Y(n_43583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt1217 (
+	.A(n_43519),
+	.Y(n_43588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g1014 (
+	.A(n_43503),
+	.B(n_43589),
+	.C(n_43593),
+	.X(n_43594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g1049 (
+	.A(n_43598),
+	.Y(n_43589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1055 (
+	.A(n_66760),
+	.B(n_43592),
+	.Y(n_43593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1072 (
+	.A(n_43479),
+	.B(n_43525),
+	.Y(n_43592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1032 (
+	.A(n_43516),
+	.Y(n_43595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g1021 (
+	.A(n_66761),
+	.B(n_43600),
+	.C(n_43503),
+	.Y(n_43601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1040 (
+	.A(n_43593),
+	.B(n_43589),
+	.Y(n_43600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1020 (
+	.A(n_43602),
+	.B(n_74575),
+	.Y(n_43604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1030 (
+	.A(n_43497),
+	.Y(n_43602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1043 (
+	.A1(n_66757),
+	.A2(n_43608),
+	.B1(n_67600),
+	.Y(n_43609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1034 (
+	.A(n_43611),
+	.B(n_43612),
+	.Y(n_43613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g1044 (
+	.A1(n_43559),
+	.A2(n_67601),
+	.B1(n_67602),
+	.Y(n_43611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g1074 (
+	.A(n_67601),
+	.B(n_43559),
+	.Y(n_43612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g1097 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1313 ),
+	.A2(n_43625),
+	.B1(n_43626),
+	.X(n_43627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g1146 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_281 ),
+	.B(n_43624),
+	.Y(n_43625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g807722 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2871 ),
+	.Y(n_43624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g807723 (
+	.A(n_43624),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_281 ),
+	.Y(n_43626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g206 (
+	.A(n_50827),
+	.B(n_66306),
+	.Y(n_43764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g219 (
+	.A(n_43745),
+	.B(n_43746),
+	.Y(n_43747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g228 (
+	.A(n_52760),
+	.B(n_50013),
+	.Y(n_43745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g230 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_86),
+	.B(n_52756),
+	.Y(n_43746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g229 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_86),
+	.B(n_69294),
+	.Y(n_43748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt244 (
+	.A(n_69294),
+	.Y(n_43750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g216 (
+	.A(n_51899),
+	.B(n_43750),
+	.Y(n_43759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g215 (
+	.A(n_53313),
+	.B(n_69294),
+	.Y(n_43761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g217 (
+	.A(n_51899),
+	.B(n_43750),
+	.Y(n_43766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g133 (
+	.A(soc_top_data_rdata[15]),
+	.B(n_48272),
+	.Y(n_43768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g111 (
+	.A(n_66309),
+	.B(n_59202),
+	.Y(n_43794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g114 (
+	.A(n_67643),
+	.Y(n_43799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g73 (
+	.A(FE_DBTN95_n_63318),
+	.B(n_66933),
+	.Y(n_43800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g67 (
+	.A(n_43807),
+	.Y(n_43808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g68 (
+	.A(n_43805),
+	.B(n_73996),
+	.Y(n_43807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g69 (
+	.A(n_43801),
+	.B(n_69276),
+	.C(n_43804),
+	.Y(n_43805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g76 (
+	.A(n_68374),
+	.B(n_50085),
+	.X(n_43801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g70 (
+	.A(n_43803),
+	.Y(n_43804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_1 g71 (
+	.A(n_46493),
+	.B(n_55103),
+	.COUT(n_43811),
+	.SUM(n_43803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g72 (
+	.A(n_43801),
+	.B(n_69276),
+	.Y(n_43810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g92 (
+	.A(n_49980),
+	.B(n_49976),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_3),
+	.D(n_49977),
+	.Y(addinc_ADD_UNS_OP_2_n_332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g807783 (
+	.A(n_74716),
+	.B(n_70621),
+	.Y(n_43847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g807788 (
+	.A(n_70620),
+	.B(n_70627),
+	.C(n_43857),
+	.Y(n_43858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807790 (
+	.A(n_55753),
+	.B(n_43847),
+	.Y(n_43861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g28 (
+	.A(n_66767),
+	.B(n_43905),
+	.Y(n_33303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g29 (
+	.A(n_43904),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_43905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g32 (
+	.A(n_39036),
+	.B(n_61340),
+	.Y(n_43904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g31 (
+	.A(n_43904),
+	.Y(n_39039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g100 (
+	.A1(n_48346),
+	.A2(n_66311),
+	.B1(n_33457),
+	.Y(n_43910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807813 (
+	.A(n_25406),
+	.Y(n_43930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807814 (
+	.A(n_43927),
+	.B(n_66312),
+	.Y(n_25406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807815 (
+	.A(soc_top_data_rdata[26]),
+	.B(n_51697),
+	.Y(n_43927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt807820 (
+	.A(n_43938),
+	.Y(n_43937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g17 (
+	.A(n_43959),
+	.Y(n_43960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g18 (
+	.A(n_43957),
+	.B(n_66465),
+	.Y(n_43959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt807826 (
+	.A(n_43956),
+	.Y(n_43957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807827 (
+	.A(n_35635),
+	.B(n_74917),
+	.Y(n_43956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807830 (
+	.A(n_41517),
+	.B(n_34561),
+	.Y(n_43962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807831 (
+	.A(n_69653),
+	.B(n_43965),
+	.Y(n_43966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807832 (
+	.A(FE_DBTN15_n_65952),
+	.B(n_73389),
+	.Y(n_43965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807835 (
+	.A(n_43971),
+	.Y(n_43972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807836 (
+	.A(n_44142),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_43971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807839 (
+	.A(n_43976),
+	.Y(n_43977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g807840 (
+	.A1(soc_top_data_rdata[0]),
+	.A2(n_73719),
+	.B1(n_43975),
+	.Y(n_43976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g807842 (
+	.A1(n_48346),
+	.A2(n_66314),
+	.B1(n_22208),
+	.Y(n_43975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g16 (
+	.A(n_43984),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_43986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807846 (
+	.A(n_56337),
+	.B(n_23830),
+	.Y(n_43984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g49 (
+	.A(n_43987),
+	.B(n_67805),
+	.Y(n_43989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807848 (
+	.A(n_36677),
+	.B(n_36676),
+	.Y(n_43987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807852 (
+	.A(n_66768),
+	.B(n_43996),
+	.Y(n_43997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g807855 (
+	.A_N(n_53360),
+	.B(n_43995),
+	.Y(n_43996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807863 (
+	.A(n_67607),
+	.B(n_44006),
+	.Y(n_44007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g807866 (
+	.A1(n_19051),
+	.A2(n_36288),
+	.B1(n_69692),
+	.X(n_44006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g148 (
+	.A(n_34198),
+	.B_N(n_19051),
+	.Y(n_44008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g807873 (
+	.A(n_55108),
+	.Y(n_44021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807875 (
+	.A(n_44028),
+	.Y(n_44029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g807876 (
+	.A(n_24012),
+	.B(n_44023),
+	.C(n_44024),
+	.D(n_66769),
+	.Y(n_44028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807878 (
+	.A(n_23631),
+	.B(n_66462),
+	.Y(n_44024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g807880 (
+	.A(n_24041),
+	.Y(n_535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g807882 (
+	.A(n_44033),
+	.Y(n_44034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807883 (
+	.A(FE_DBTN35_n_54412),
+	.B(n_44031),
+	.Y(n_44033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g807884 (
+	.A(n_44030),
+	.Y(n_44031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807885 (
+	.A(n_51037),
+	.B(n_29390),
+	.Y(n_44030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g56 (
+	.A_N(n_34198),
+	.B(n_44035),
+	.Y(n_44036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g60 (
+	.A(n_38214),
+	.B(n_66297),
+	.Y(n_44035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt63 (
+	.A(n_44035),
+	.Y(n_44039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g807889 (
+	.A(n_44043),
+	.Y(n_44044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807890 (
+	.A(n_44042),
+	.B(n_46457),
+	.Y(n_44043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807891 (
+	.A(FE_DBTN62_n_50512),
+	.B(n_57342),
+	.Y(n_44042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g807896 (
+	.A(n_49398),
+	.Y(n_44049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807919 (
+	.A(n_67025),
+	.B(n_38203),
+	.Y(n_44074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807923 (
+	.A(soc_top_data_rdata[24]),
+	.B(n_51697),
+	.Y(n_44077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g807926 (
+	.A(n_50830),
+	.B(n_19051),
+	.Y(n_44085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g807934 (
+	.A1(n_48346),
+	.A2(n_66319),
+	.B1(n_22211),
+	.X(n_44090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g807939 (
+	.A(n_25152),
+	.Y(n_44098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807943 (
+	.A(n_44099),
+	.B(n_67805),
+	.Y(n_44101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807944 (
+	.A(n_51498),
+	.B(n_40204),
+	.Y(n_44099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g19 (
+	.A(n_44104),
+	.Y(n_44105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g807949 (
+	.A_N(n_66322),
+	.B(n_43989),
+	.Y(n_44104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g54 (
+	.A(n_59958),
+	.B_N(n_56310),
+	.Y(n_44108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g53 (
+	.A(n_23887),
+	.B(n_56310),
+	.Y(n_44111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807974 (
+	.A(n_58692),
+	.B(n_58691),
+	.Y(n_44137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807977 (
+	.A(n_53131),
+	.B(n_44141),
+	.Y(n_44142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807978 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1597 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_44141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g807981 (
+	.A(n_68374),
+	.B(n_46498),
+	.Y(n_44146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807984 (
+	.A(n_44147),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_44148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807985 (
+	.A(n_41518),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1061 ),
+	.Y(n_44147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g807996 (
+	.A(n_52907),
+	.B(n_52455),
+	.Y(n_44161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808005 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1710 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_44167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808009 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1741 ),
+	.B(n_35881),
+	.Y(n_44171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g808012 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.B(n_66045),
+	.Y(n_44177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808016 (
+	.A(n_65776),
+	.Y(n_44180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808024 (
+	.A(n_41163),
+	.B(n_44188),
+	.Y(n_44189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808025 (
+	.A(n_74852),
+	.B(n_26593),
+	.Y(n_44188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808029 (
+	.A(n_44195),
+	.Y(n_44196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808030 (
+	.A(n_53239),
+	.B(n_18146),
+	.Y(n_44195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808033 (
+	.A(n_15975),
+	.B(n_44199),
+	.Y(n_44200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808034 (
+	.A(n_69678),
+	.B(n_67805),
+	.Y(n_44199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808037 (
+	.A(n_44201),
+	.B(n_65947),
+	.Y(n_44202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808038 (
+	.A(n_74852),
+	.B(n_26595),
+	.Y(n_44201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808039 (
+	.A(n_44203),
+	.B(n_53403),
+	.Y(n_25025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808040 (
+	.A(n_74852),
+	.B(n_49241),
+	.Y(n_44203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808043 (
+	.A(n_18428),
+	.B(n_54235),
+	.Y(n_44205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g808055 (
+	.A(n_48939),
+	.B(n_37903),
+	.Y(n_44219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g141 (
+	.A(n_44227),
+	.B(n_44229),
+	.Y(n_44230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g808061 (
+	.A1(n_36288),
+	.A2(n_19051),
+	.B1(n_69692),
+	.X(n_44227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g808063 (
+	.A(FE_DBTN5_n_70976),
+	.B(n_35480),
+	.C(n_25304),
+	.D(n_24211),
+	.Y(n_44229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808065 (
+	.A(n_44236),
+	.B(n_73981),
+	.Y(n_44239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808066 (
+	.A(n_44235),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ),
+	.Y(n_44236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808067 (
+	.A(n_66771),
+	.B(n_44234),
+	.Y(n_44235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt808069 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1820 ),
+	.Y(n_43459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808071 (
+	.A(n_43458),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1820 ),
+	.Y(n_44234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g82 (
+	.A1(n_35337),
+	.A2(n_69545),
+	.A3(n_44270),
+	.B1(n_34198),
+	.X(n_44271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g86 (
+	.A(n_19051),
+	.B(n_70132),
+	.Y(n_44270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g84 (
+	.A(n_35337),
+	.B(n_69545),
+	.C(n_44270),
+	.X(n_44273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808113 (
+	.A(n_53199),
+	.B(n_17799),
+	.Y(n_44294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g808118 (
+	.A1(addinc_ADD_UNS_OP_2_n_572),
+	.A2(n_44304),
+	.B1(n_67581),
+	.Y(n_44305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt50 (
+	.A(n_44303),
+	.Y(n_44304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808119 (
+	.A(n_52173),
+	.B(n_52174),
+	.Y(n_44303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808127 (
+	.A(n_44313),
+	.B(n_65405),
+	.Y(n_44314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808128 (
+	.A(n_35845),
+	.B(n_44312),
+	.Y(n_44313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808129 (
+	.A(FE_DBTN41_n_55284),
+	.B(n_41156),
+	.Y(n_44312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808130 (
+	.A(n_36784),
+	.B(n_44318),
+	.Y(n_44319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g808131 (
+	.A1(n_73982),
+	.A2(FE_DBTN5_n_70976),
+	.B1(FE_DBTN79_n_19051),
+	.X(n_44318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808133 (
+	.A(n_67877),
+	.B(n_24131),
+	.Y(n_44315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808137 (
+	.A(soc_top_data_rdata[28]),
+	.B(n_51697),
+	.Y(n_44320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808144 (
+	.A(soc_top_data_rdata[23]),
+	.B(n_38207),
+	.Y(n_44327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808150 (
+	.A(n_70132),
+	.B(n_19051),
+	.Y(n_44332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808153 (
+	.A(n_70775),
+	.B(n_50816),
+	.Y(n_44338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808162 (
+	.A(n_44346),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_44347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808163 (
+	.A(n_35053),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1640 ),
+	.Y(n_44346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808164 (
+	.A(n_44347),
+	.B(n_35529),
+	.Y(n_44349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808177 (
+	.A(n_57270),
+	.B(n_53882),
+	.Y(n_44364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808179 (
+	.A(n_44294),
+	.B(n_44370),
+	.C(n_31374),
+	.Y(n_44371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g808180 (
+	.A(n_44369),
+	.Y(n_44370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808181 (
+	.A(n_44368),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_44369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g808182 (
+	.A(n_44367),
+	.Y(n_44368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808183 (
+	.A(n_50214),
+	.B(n_54159),
+	.Y(n_44367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g808199 (
+	.A(n_66885),
+	.B(n_44390),
+	.Y(n_44391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808201 (
+	.A(n_53869),
+	.B(n_17046),
+	.Y(n_44390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808205 (
+	.A(n_44390),
+	.Y(n_44393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808210 (
+	.A(n_44400),
+	.B(n_34268),
+	.Y(n_44401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808211 (
+	.A(n_66772),
+	.B(n_44399),
+	.Y(n_44400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808212 (
+	.A(n_35690),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ),
+	.Y(n_44399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808223 (
+	.A(FE_DBTN49_n_72075),
+	.B(n_57367),
+	.Y(n_44416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808251 (
+	.A(n_44200),
+	.B(n_44442),
+	.Y(n_44443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808252 (
+	.A(n_68795),
+	.B(FE_DBTN92_n_49646),
+	.Y(n_44442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g808257 (
+	.A(n_44393),
+	.B(n_66121),
+	.Y(n_44448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808269 (
+	.A(n_74844),
+	.Y(n_44462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808274 (
+	.A(n_44466),
+	.B(n_44467),
+	.Y(n_44468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt69 (
+	.A(n_17133),
+	.Y(n_44466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g808275 (
+	.A(n_45049),
+	.Y(n_44467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt808311 (
+	.A(n_54783),
+	.Y(n_44509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808316 (
+	.A(n_68621),
+	.B(n_44521),
+	.Y(n_44522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808319 (
+	.A(n_53141),
+	.B(n_13999),
+	.Y(n_44518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__bufinv_16 g808321 (
+	.A(n_46493),
+	.Y(n_13999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808322 (
+	.A(n_44520),
+	.B(n_68619),
+	.Y(n_44521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808323 (
+	.A(n_44518),
+	.B(n_68736),
+	.Y(n_44520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808328 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1085 ),
+	.B(n_44529),
+	.Y(n_44530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808329 (
+	.A(n_44528),
+	.B(n_54651),
+	.Y(n_44529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808330 (
+	.A(n_44527),
+	.B(n_70167),
+	.Y(n_44528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808331 (
+	.A(FE_DBTN15_n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
+	.Y(n_44527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808337 (
+	.A(n_47691),
+	.B(n_73725),
+	.C(n_66829),
+	.Y(n_44539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g808347 (
+	.A1(n_50523),
+	.A2(n_39362),
+	.B1_N(n_15483),
+	.Y(n_44548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g808349 (
+	.A(n_34198),
+	.B_N(n_44544),
+	.Y(n_44545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g808350 (
+	.A_N(n_19051),
+	.B(n_36100),
+	.Y(n_44544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808354 (
+	.A(n_44548),
+	.Y(n_44549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g36_0 (
+	.A1(n_15565),
+	.A2(n_67805),
+	.B1(n_44560),
+	.X(n_44561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808363 (
+	.A(n_15966),
+	.Y(n_44560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g808366 (
+	.A1(n_15565),
+	.A2(n_67805),
+	.B1(n_44560),
+	.X(n_44566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808367 (
+	.A(n_15565),
+	.B(n_67805),
+	.Y(n_44567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g348 (
+	.A(n_67611),
+	.B(n_66777),
+	.Y(n_44577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808374 (
+	.A(n_56059),
+	.B(n_50196),
+	.Y(n_44584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808409 (
+	.A(n_51905),
+	.B(n_44616),
+	.Y(n_44617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808410 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.B(n_65405),
+	.Y(n_44616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808414 (
+	.A(n_40535),
+	.B(n_44621),
+	.Y(n_40538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808415 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_748 ),
+	.B(FE_DBTN34_n_54651),
+	.Y(n_44621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808417 (
+	.A(n_18197),
+	.B(n_44631),
+	.Y(n_44632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g808418 (
+	.A(n_55315),
+	.B_N(n_44490),
+	.Y(n_44631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g808423 (
+	.A(n_53226),
+	.B(n_50575),
+	.C(n_17918),
+	.D(n_50505),
+	.Y(n_44633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g152 (
+	.A(n_52839),
+	.B(n_66083),
+	.C(n_67604),
+	.Y(n_44731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808473 (
+	.A(n_44734),
+	.B(n_44735),
+	.Y(n_44736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g161 (
+	.A(n_66083),
+	.B(n_52839),
+	.Y(n_44734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808475 (
+	.A(n_67604),
+	.Y(n_44735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808476 (
+	.A(n_48707),
+	.B(n_72056),
+	.Y(n_44740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808483 (
+	.A(n_68715),
+	.B(n_49467),
+	.Y(n_44767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g80 (
+	.A(n_34435),
+	.Y(n_34437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808510 (
+	.A(n_44793),
+	.B(n_44794),
+	.Y(n_44795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808511 (
+	.A(n_44791),
+	.B(n_67883),
+	.Y(n_44793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808512 (
+	.A(n_46102),
+	.B(n_33534),
+	.Y(n_44791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_4 g808514 (
+	.A1(n_54325),
+	.A2(n_53954),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1710),
+	.X(n_44794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808518 (
+	.A(n_44799),
+	.Y(n_44800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808519 (
+	.A(n_68233),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1751),
+	.C(n_66848),
+	.Y(n_44799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g808520 (
+	.A(n_51770),
+	.Y(n_44803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808552 (
+	.A(n_44838),
+	.Y(n_44839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808553 (
+	.A(addinc_ADD_UNS_OP_2_n_1697),
+	.B(addinc_ADD_UNS_OP_2_n_214),
+	.Y(n_44838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808569 (
+	.A(n_74834),
+	.B(addinc_ADD_UNS_OP_2_n_74),
+	.Y(n_44851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g808591 (
+	.A(n_44888),
+	.Y(n_44889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g808592 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2414 ),
+	.B(n_51301),
+	.C(n_50703),
+	.Y(n_44888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808594 (
+	.A(n_69379),
+	.B(n_44883),
+	.Y(n_44884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt808596 (
+	.A(n_18510),
+	.Y(n_44879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt140 (
+	.A(n_52548),
+	.Y(n_44881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808597 (
+	.A(n_66729),
+	.B(n_42847),
+	.Y(n_44883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808598 (
+	.A(n_69378),
+	.B(n_52548),
+	.Y(n_44886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808603 (
+	.A(n_66925),
+	.B(n_48463),
+	.Y(n_44898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808630 (
+	.A(n_47089),
+	.B(n_49922),
+	.Y(n_44925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g238 (
+	.A(n_73815),
+	.B(n_67896),
+	.C(n_67612),
+	.D(n_43179),
+	.Y(n_44937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g240 (
+	.A(n_67733),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2757 ),
+	.Y(n_44942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g232 (
+	.A(n_44930),
+	.B(n_68662),
+	.Y(n_44945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g250 (
+	.A(n_67733),
+	.Y(n_44930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808639 (
+	.A(n_68665),
+	.B(n_65516),
+	.Y(n_44952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g635 (
+	.A(n_44959),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1632),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_670),
+	.Y(n_44961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g638 (
+	.A1(n_44958),
+	.A2(n_73997),
+	.B1(n_66787),
+	.Y(n_44959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g641 (
+	.A(n_46657),
+	.Y(n_44958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g637 (
+	.A(n_44959),
+	.Y(n_44970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g808656 (
+	.A(n_44981),
+	.B(n_15653),
+	.Y(n_44982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808657 (
+	.A(n_51665),
+	.B(n_44980),
+	.Y(n_44981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g808658 (
+	.A(n_31465),
+	.B(n_30144),
+	.Y(n_44980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g808659 (
+	.A(n_58525),
+	.B(n_44990),
+	.C(n_44991),
+	.Y(n_44992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808660 (
+	.A(n_57266),
+	.B(n_57279),
+	.Y(n_44990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808665 (
+	.A(n_44990),
+	.Y(n_44993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808673 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_439),
+	.B(n_67690),
+	.Y(n_45002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt808676 (
+	.A(n_55955),
+	.Y(n_44999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808687 (
+	.A(addinc_ADD_UNS_OP_2_n_1237),
+	.Y(n_45015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808722 (
+	.A(n_45049),
+	.B(n_54406),
+	.Y(n_45050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808723 (
+	.A(n_56350),
+	.B(n_55111),
+	.Y(n_45049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808727 (
+	.A(n_56059),
+	.B(n_50196),
+	.Y(n_45057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808735 (
+	.A(n_47688),
+	.B(n_17046),
+	.Y(n_45060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g808736 (
+	.A(n_37460),
+	.B(n_74752),
+	.Y(n_45064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g808739 (
+	.A1(n_66331),
+	.A2(n_69190),
+	.B1(n_45084),
+	.Y(n_45085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g808742 (
+	.A(n_55101),
+	.Y(n_45070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808744 (
+	.A(n_30144),
+	.B(n_36768),
+	.Y(n_45072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808750 (
+	.A(n_71066),
+	.B(n_69190),
+	.C(n_67615),
+	.Y(n_45084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g808754 (
+	.A(n_36768),
+	.B(n_68926),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g808759 (
+	.A(n_45072),
+	.Y(n_45093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g808761 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.Y(n_44282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g224 (
+	.A(n_45153),
+	.B(n_45155),
+	.Y(n_45156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808802 (
+	.A(n_45147),
+	.B(n_45152),
+	.Y(n_45153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808803 (
+	.A(n_50060),
+	.B(n_45146),
+	.Y(n_45147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808805 (
+	.A(n_46801),
+	.Y(n_45146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808807 (
+	.A(n_70589),
+	.B(n_68645),
+	.Y(n_45143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808808 (
+	.A(n_58074),
+	.Y(n_45144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808809 (
+	.A(n_46797),
+	.B(n_54088),
+	.Y(n_45152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g808811 (
+	.A(n_46802),
+	.Y(n_45148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808814 (
+	.A(n_50060),
+	.B(n_45146),
+	.C(n_45154),
+	.Y(n_45155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g808815 (
+	.A(n_45152),
+	.Y(n_45154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808822 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1564),
+	.B(n_65856),
+	.Y(n_45162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt808830 (
+	.A(n_45180),
+	.Y(n_45181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g65_0 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_45179),
+	.Y(n_45180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g808834 (
+	.A(n_52361),
+	.B(n_66782),
+	.Y(n_45179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808867 (
+	.A(n_45231),
+	.B(n_45233),
+	.Y(n_45234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g223 (
+	.A(n_45226),
+	.B(n_45230),
+	.Y(n_45231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808868 (
+	.A(n_45223),
+	.B(n_45225),
+	.Y(n_45226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808869 (
+	.A(n_74810),
+	.B(n_70586),
+	.Y(n_45223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808870 (
+	.A(n_46017),
+	.Y(n_45225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808872 (
+	.A(n_51225),
+	.B(n_66785),
+	.Y(n_45230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g221 (
+	.A(n_45223),
+	.B(n_45232),
+	.Y(n_45233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g222 (
+	.A(n_45230),
+	.B(n_46017),
+	.Y(n_45232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g300 (
+	.A(n_33941),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_63),
+	.Y(n_45237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g297 (
+	.A(n_45238),
+	.Y(n_45239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g298 (
+	.A(n_69781),
+	.B(n_69778),
+	.Y(n_45238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g301 (
+	.A(n_34418),
+	.Y(n_45243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt808876 (
+	.A(n_73503),
+	.Y(n_45249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g286 (
+	.A1(n_34418),
+	.A2(n_50662),
+	.B1(n_73502),
+	.X(n_34972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808879 (
+	.A(FE_DBTN22_n_58069),
+	.B(n_45252),
+	.Y(n_45253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808907 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1794),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_92),
+	.Y(n_45279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808913 (
+	.A(n_71359),
+	.B(n_45285),
+	.Y(n_45286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt116 (
+	.A(n_68881),
+	.Y(n_45285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808919 (
+	.A(n_71359),
+	.B(n_68881),
+	.Y(n_45291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808926 (
+	.A(n_45286),
+	.Y(n_45302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g808928 (
+	.A(n_71358),
+	.B(n_45291),
+	.Y(n_45304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808933 (
+	.A(n_52325),
+	.B(n_44490),
+	.Y(n_45307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g808935 (
+	.A(n_51619),
+	.B(n_47620),
+	.C(n_45320),
+	.Y(n_45321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g808946 (
+	.A(n_52325),
+	.Y(n_45320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808952 (
+	.A(n_45338),
+	.B(n_57252),
+	.Y(n_45341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g287 (
+	.A(n_54442),
+	.B(n_45337),
+	.Y(n_45338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808955 (
+	.A(n_68713),
+	.Y(n_45331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g291 (
+	.A(n_46657),
+	.B(n_66787),
+	.Y(n_45337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808971 (
+	.A(n_45356),
+	.B(n_45242),
+	.Y(n_45354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g808990 (
+	.A(n_42927),
+	.B(n_42960),
+	.Y(n_45371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g808995 (
+	.A(n_67617),
+	.Y(n_45381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809001 (
+	.A(n_45381),
+	.B(n_46753),
+	.Y(n_45390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809003 (
+	.A(n_46320),
+	.Y(n_45394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g809004 (
+	.A_N(n_45396),
+	.B(n_45397),
+	.Y(n_45398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809005 (
+	.A(n_67617),
+	.B(n_45395),
+	.Y(n_45396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g809006 (
+	.A1_N(n_46753),
+	.A2_N(n_68089),
+	.B1(n_46753),
+	.B2(n_68089),
+	.Y(n_45395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809007 (
+	.A(n_45395),
+	.B(n_67617),
+	.Y(n_45397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g809037 (
+	.A(n_73692),
+	.Y(n_45436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g809042 (
+	.A(n_45443),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(n_45448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g809043 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_212 ),
+	.Y(n_45443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809044 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_212 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt177 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809045 (
+	.A(n_44561),
+	.B(n_15483),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809046 (
+	.A(n_67621),
+	.B(n_67016),
+	.Y(n_45458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g809051 (
+	.A(n_15483),
+	.B(n_48302),
+	.X(n_45450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809052 (
+	.A(n_15483),
+	.B(n_30395),
+	.Y(n_45451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g809053 (
+	.A1(n_45453),
+	.A2(FE_DBTN91_n_15483),
+	.B1(n_45450),
+	.Y(n_45455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809054 (
+	.A(n_30395),
+	.Y(n_45453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g809057 (
+	.A(n_45462),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(n_45463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g164 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ),
+	.Y(n_45462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809058 (
+	.A(n_36768),
+	.B(n_36105),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_209 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809062 (
+	.A(n_45450),
+	.Y(n_45468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809070 (
+	.A(n_47304),
+	.Y(n_45471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809072 (
+	.A(n_55905),
+	.B(n_45475),
+	.Y(n_45476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g809073 (
+	.A_N(n_53968),
+	.B(n_53985),
+	.Y(n_45475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g809074 (
+	.A_N(n_53985),
+	.B(n_53968),
+	.Y(n_45477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g607 (
+	.A1(n_45498),
+	.A2(n_66341),
+	.B1(n_67622),
+	.Y(n_45511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g621 (
+	.A(n_40824),
+	.B(n_37359),
+	.X(n_45498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g611 (
+	.A1(n_45506),
+	.A2(n_49833),
+	.B1(n_45507),
+	.Y(n_45508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g619 (
+	.A(n_46216),
+	.Y(n_45506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g612 (
+	.A(n_70238),
+	.B(n_49833),
+	.Y(n_45507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809096 (
+	.A(n_54892),
+	.B(n_39351),
+	.Y(n_45521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g201 (
+	.A(n_66789),
+	.B(n_16916),
+	.Y(n_45544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g809150 (
+	.A(n_35268),
+	.B(n_35266),
+	.Y(n_45590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g809153 (
+	.A(n_66885),
+	.B(n_50085),
+	.X(n_45592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt809154 (
+	.A(n_73045),
+	.Y(n_45596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g809155 (
+	.A(n_70754),
+	.B(n_45590),
+	.C(n_70755),
+	.Y(n_13713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g809167 (
+	.A1_N(n_69420),
+	.A2_N(n_45616),
+	.B1(n_69420),
+	.B2(n_45616),
+	.Y(n_45617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809171 (
+	.A(n_58519),
+	.Y(n_45610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809173 (
+	.A(n_45614),
+	.B(n_45615),
+	.Y(n_45616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809174 (
+	.A(n_49467),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_495),
+	.Y(n_45614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g809175 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_165),
+	.B(n_50206),
+	.X(n_45615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809177 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_165),
+	.B(n_50206),
+	.Y(n_45619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g337 (
+	.A_N(n_54318),
+	.B(n_54315),
+	.C(n_45649),
+	.D(n_45650),
+	.Y(addinc_ADD_UNS_OP_2_n_434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g345 (
+	.A(n_73984),
+	.B(n_68079),
+	.Y(n_45629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g347 (
+	.A(n_68091),
+	.Y(n_45635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g365 (
+	.A(n_73984),
+	.Y(n_45636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g343 (
+	.A_N(n_68079),
+	.B(n_45636),
+	.C(n_45635),
+	.Y(n_45637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g342 (
+	.A(n_45636),
+	.B(n_68079),
+	.C(n_68091),
+	.Y(n_45638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g368 (
+	.A(n_31266),
+	.B(n_45645),
+	.Y(n_45646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g371 (
+	.A(n_31266),
+	.B(n_67026),
+	.Y(n_45650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g346 (
+	.A(n_68079),
+	.B(n_73984),
+	.Y(n_45653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809193 (
+	.A(n_75233),
+	.B(n_47620),
+	.Y(n_45668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g809200 (
+	.A1_N(n_74448),
+	.A2_N(n_45671),
+	.B1(n_74448),
+	.B2(n_45671),
+	.Y(n_45672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809201 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1653 ),
+	.Y(n_45671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g809204 (
+	.A(n_43419),
+	.Y(n_45678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809206 (
+	.A(n_43420),
+	.Y(n_45680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g809208 (
+	.A(n_45671),
+	.B_N(n_74448),
+	.Y(n_45685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g809210 (
+	.A_N(n_74448),
+	.B(n_45671),
+	.Y(n_45686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g326 (
+	.A(n_44584),
+	.B(n_45064),
+	.Y(n_45689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g327 (
+	.A(n_37458),
+	.B(n_41564),
+	.Y(n_45690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g332 (
+	.A(n_50688),
+	.B(n_53152),
+	.Y(n_45692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g809213 (
+	.A1(n_46370),
+	.A2(n_51936),
+	.B1(n_45711),
+	.Y(n_45712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809219 (
+	.A(n_71348),
+	.B(FE_DBTN70_n_55879),
+	.Y(n_45709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809223 (
+	.A(n_56858),
+	.B(n_58647),
+	.Y(n_45722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809230 (
+	.A(n_56858),
+	.B(n_49045),
+	.Y(n_45725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g809235 (
+	.A(n_56858),
+	.Y(n_45711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g809275 (
+	.A1(n_75245),
+	.A2(n_34700),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1217 ),
+	.Y(n_45778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809285 (
+	.A(n_45805),
+	.B(n_45807),
+	.Y(n_45808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809286 (
+	.A(n_45798),
+	.B(n_45804),
+	.Y(n_45805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809287 (
+	.A(n_50366),
+	.B(n_45201),
+	.Y(n_45798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g809288 (
+	.A(n_45801),
+	.B_N(n_45803),
+	.Y(n_45804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809289 (
+	.A(n_66783),
+	.B(n_68880),
+	.Y(n_45801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809294 (
+	.A(n_45806),
+	.B(n_45801),
+	.Y(n_45807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809295 (
+	.A(n_45798),
+	.B(n_45803),
+	.Y(n_45806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g571 (
+	.A(n_74457),
+	.B(n_45828),
+	.Y(n_45829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g580 (
+	.A(n_45809),
+	.B(n_45810),
+	.Y(n_45811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g588 (
+	.A(n_47831),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_604),
+	.Y(n_45809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g589 (
+	.A(n_73736),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_350),
+	.X(n_45810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g575 (
+	.A(n_45812),
+	.B(n_47076),
+	.Y(n_45823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g591 (
+	.A(n_32040),
+	.B(n_68039),
+	.Y(n_45812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g579 (
+	.A(n_17854),
+	.B(n_54173),
+	.C(n_66797),
+	.Y(n_45817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g587 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_350),
+	.B(n_73736),
+	.Y(n_45824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g574 (
+	.A(n_45827),
+	.B(n_45823),
+	.Y(n_45828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g577 (
+	.A(n_45811),
+	.B(n_45824),
+	.Y(n_45827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g666 (
+	.A(n_53942),
+	.B(n_53951),
+	.Y(n_45857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809337 (
+	.A(n_70042),
+	.B(n_70043),
+	.Y(n_45885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809346 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2142 ),
+	.Y(n_45891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g809360 (
+	.A(FE_DBTN109_n_68887),
+	.B(n_45910),
+	.Y(n_45911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt809363 (
+	.A(n_68886),
+	.Y(n_45910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809365 (
+	.A(n_51370),
+	.Y(n_45915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809377 (
+	.A(n_67636),
+	.Y(n_45932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g191 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ),
+	.Y(n_45923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809383 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ),
+	.Y(n_45934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809384 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1649 ),
+	.Y(n_45935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g809385 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1649 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1710 ),
+	.Y(n_45937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g179 (
+	.A(n_45923),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ),
+	.Y(n_45943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809400 (
+	.A(n_54825),
+	.Y(n_45959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809406 (
+	.A(n_45961),
+	.B(FE_DBTN54_n_72084),
+	.Y(n_45964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809407 (
+	.A(n_74907),
+	.B(n_38726),
+	.Y(n_45961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809420 (
+	.A(n_45983),
+	.B(n_66095),
+	.Y(n_45989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809421 (
+	.A(n_45978),
+	.B(n_45982),
+	.Y(n_45983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g809422 (
+	.A(addinc_ADD_UNS_OP_2_n_18),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1787),
+	.Y(n_45978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809423 (
+	.A(n_74915),
+	.B(n_69220),
+	.Y(n_45982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt97 (
+	.A(addinc_ADD_UNS_OP_2_n_18),
+	.Y(addinc_ADD_UNS_OP_2_n_62), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809430 (
+	.A(n_74915),
+	.B(n_69220),
+	.Y(n_45991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt92 (
+	.A(n_69220),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809440 (
+	.A(n_42942),
+	.B(n_67589),
+	.Y(n_45999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809443 (
+	.A(n_74808),
+	.B(n_46016),
+	.Y(n_46017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809450 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1732),
+	.B(n_39352),
+	.Y(n_46011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt809451 (
+	.A(n_54892),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt60 (
+	.A(n_39351),
+	.Y(n_39352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g809452 (
+	.A(n_45521),
+	.B(n_53161),
+	.C(n_53425),
+	.D(n_46011),
+	.Y(n_46016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809454 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_307),
+	.B(n_66390),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g328 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2060 ),
+	.Y(n_46041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809475 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ),
+	.Y(n_46042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809476 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2029 ),
+	.Y(n_46044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809477 (
+	.A(n_46051),
+	.B(n_46052),
+	.Y(n_46053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g292 (
+	.A(n_46050),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ),
+	.Y(n_46051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g304 (
+	.A(n_46048),
+	.B(n_46049),
+	.Y(n_46050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g318 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2007 ),
+	.Y(n_46048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809478 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.Y(n_46049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g314 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2007 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.Y(n_46052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g281 (
+	.A(n_46051),
+	.B(n_46052),
+	.C(n_74568),
+	.Y(n_46060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809479 (
+	.A(n_46053),
+	.B(n_46061),
+	.Y(n_46062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809480 (
+	.A(n_74568),
+	.Y(n_46061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g280 (
+	.A(n_46062),
+	.B(n_46060),
+	.Y(n_46064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809481 (
+	.A(n_46067),
+	.B(n_46068),
+	.Y(n_46069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g809482 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1900 ),
+	.A2(n_74552),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1983 ),
+	.Y(n_46067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g311 (
+	.A(n_74552),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1900 ),
+	.Y(n_46068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g308 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2007 ),
+	.B(n_46049),
+	.Y(n_46070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g312 (
+	.A(n_46042),
+	.B(n_46044),
+	.Y(n_46078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809491 (
+	.A(n_51566),
+	.B(n_46101),
+	.Y(n_46102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809500 (
+	.A(n_56880),
+	.Y(n_46088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809501 (
+	.A(n_46100),
+	.Y(n_46101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g269 (
+	.A(n_46093),
+	.B(n_46096),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_586),
+	.Y(n_46100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809502 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_301),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_175),
+	.Y(n_46093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g270 (
+	.A1(n_67634),
+	.A2(n_46095),
+	.B1(addinc_ADD_UNS_OP_2_n_603),
+	.Y(n_46096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809504 (
+	.A(n_33847),
+	.Y(n_46095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809505 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.B(n_46098),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809507 (
+	.A(n_36636),
+	.Y(n_46098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809509 (
+	.A(n_67634),
+	.Y(n_46103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809514 (
+	.A(n_46093),
+	.Y(n_46109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809516 (
+	.A(n_55147),
+	.B(n_46111),
+	.Y(n_46112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809518 (
+	.A(n_42955),
+	.B(n_67590),
+	.Y(n_46111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809522 (
+	.A(n_74450),
+	.B(n_42978),
+	.Y(n_46114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809524 (
+	.A(n_42978),
+	.B(n_74450),
+	.Y(n_46115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt809532 (
+	.A(n_18479),
+	.Y(n_46125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809544 (
+	.A(n_46125),
+	.B(n_44490),
+	.Y(n_46139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g809546 (
+	.A1_N(n_67635),
+	.A2_N(n_67636),
+	.B1(n_67635),
+	.B2(n_67636),
+	.Y(n_46142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809553 (
+	.A(n_67654),
+	.B(n_66096),
+	.Y(n_46156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809572 (
+	.A(n_14421),
+	.Y(n_46168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g809573 (
+	.A(n_14362),
+	.B(n_43810),
+	.Y(n_46169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g462 (
+	.A(n_62389),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1068 ),
+	.Y(n_46174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809574 (
+	.A(n_73568),
+	.B(n_73567),
+	.Y(n_37607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809583 (
+	.A(n_55281),
+	.B(n_69759),
+	.C(n_52742),
+	.Y(n_46188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809591 (
+	.A(n_58083),
+	.B(n_71043),
+	.Y(n_34418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809594 (
+	.A(n_46198),
+	.B(n_70625),
+	.Y(n_46200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809595 (
+	.A(n_55753),
+	.B(n_70621),
+	.Y(n_46198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809598 (
+	.A(n_46202),
+	.B(n_73979),
+	.Y(n_46204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809599 (
+	.A(n_55019),
+	.B(n_55020),
+	.Y(n_46202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809610 (
+	.A(n_53695),
+	.B(n_66342),
+	.Y(n_46216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809625 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_769 ),
+	.B(FE_DBTN41_n_55284),
+	.Y(n_46232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809626 (
+	.A(n_50600),
+	.B(n_41165),
+	.Y(n_46233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809656 (
+	.A(n_44448),
+	.B(n_66885),
+	.Y(n_46269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809657 (
+	.A(n_44391),
+	.B(n_66121),
+	.Y(n_46270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809659 (
+	.A(n_48220),
+	.B(n_46275),
+	.Y(n_46276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809662 (
+	.A(n_46269),
+	.B(n_46270),
+	.Y(n_46275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809666 (
+	.A(n_44735),
+	.B(n_43196),
+	.Y(n_46280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g175 (
+	.A(n_43594),
+	.B(n_43595),
+	.Y(n_46284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809670 (
+	.A(n_43604),
+	.B(n_43601),
+	.C(n_43516),
+	.Y(n_46285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809694 (
+	.A(n_66730),
+	.B(n_45371),
+	.Y(n_46314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809696 (
+	.A(n_69296),
+	.B(n_57329),
+	.C(n_66344),
+	.Y(n_46319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809699 (
+	.A(n_66788),
+	.B(n_45390),
+	.Y(n_46320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809700 (
+	.A(n_57430),
+	.B(n_73986),
+	.Y(n_46335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g183 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ),
+	.Y(n_46337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g809719 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1971 ),
+	.Y(n_46338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809721 (
+	.A(n_46338),
+	.B(n_46337),
+	.Y(n_46340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809725 (
+	.A(n_66810),
+	.B(n_46348),
+	.Y(n_46349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809728 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2157 ),
+	.B(n_46347),
+	.Y(n_46348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809729 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ),
+	.Y(n_46347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g186 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2034 ),
+	.Y(n_46350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809732 (
+	.A(n_66811),
+	.B(n_66812),
+	.Y(n_46364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809741 (
+	.A(n_46350),
+	.B(n_46347),
+	.Y(n_46365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809742 (
+	.A(n_70234),
+	.B(n_46373),
+	.C(n_46376),
+	.Y(n_46377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809743 (
+	.A(n_68451),
+	.B(n_49045),
+	.C(n_46372),
+	.Y(n_46373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809746 (
+	.A(n_66951),
+	.B(n_39741),
+	.Y(n_46370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g809747 (
+	.A(n_49229),
+	.B(n_49226),
+	.Y(n_46372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g809750 (
+	.A1(addinc_ADD_UNS_OP_2_n_781),
+	.A2(n_55913),
+	.B1_N(addinc_ADD_UNS_OP_2_n_955),
+	.Y(n_46376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809768 (
+	.A(n_66814),
+	.B(n_46409),
+	.Y(n_46410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809772 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_226 ),
+	.B(FE_DBTN47_n_66052),
+	.Y(n_46395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_8 fopt809777 (
+	.A(n_54454),
+	.Y(n_46400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g809780 (
+	.A_N(n_68076),
+	.B(n_68520),
+	.Y(n_46409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g809784 (
+	.A(n_68520),
+	.Y(n_46411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g809786 (
+	.A(n_46413),
+	.Y(n_46414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809787 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.Y(n_46413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809788 (
+	.A(n_68526),
+	.Y(n_46417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809794 (
+	.A(n_55895),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1774 ),
+	.Y(n_46419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809796 (
+	.A(n_40818),
+	.B(n_46422),
+	.Y(n_46423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809797 (
+	.A(n_66345),
+	.Y(n_46422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809799 (
+	.A(n_57660),
+	.B(n_66345),
+	.Y(n_46424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g809825 (
+	.A(n_44021),
+	.B(n_68736),
+	.C(n_55109),
+	.D(FE_DBTN25_n_57340),
+	.Y(n_46450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt809834 (
+	.A(n_49851),
+	.Y(n_46457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809836 (
+	.A(n_52175),
+	.Y(n_46465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g809844 (
+	.A(addinc_ADD_UNS_OP_2_n_870),
+	.Y(n_46467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809846 (
+	.A(addinc_ADD_UNS_OP_2_n_870),
+	.B(FE_DBTN105_n_49226),
+	.Y(n_46470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809850 (
+	.A(n_37426),
+	.Y(n_46472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809859 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_63 ),
+	.B(n_54906),
+	.Y(n_46484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809862 (
+	.A(n_50515),
+	.Y(n_46498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g809866 (
+	.A(n_51886),
+	.B(n_14343),
+	.Y(n_46491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809868 (
+	.A(n_50085),
+	.B(n_46493),
+	.Y(n_46495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809870 (
+	.A(n_37576),
+	.B(n_17049),
+	.Y(n_46493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809875 (
+	.A(FE_DBTN53_n_49347),
+	.B(n_73225),
+	.Y(n_46500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809876 (
+	.A(n_54297),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_46501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g809877 (
+	.A1(n_46504),
+	.A2(n_46505),
+	.B1(n_58959),
+	.X(n_46507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809878 (
+	.A(n_35105),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_46504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g809879 (
+	.A_N(FE_DBTN43_n_52455),
+	.B(n_37524),
+	.Y(n_46505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809921 (
+	.A(n_46547),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_46548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g77 (
+	.A(n_17798),
+	.B(n_17908),
+	.C(n_17802),
+	.Y(n_46547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809971 (
+	.A(n_59263),
+	.B(n_44490),
+	.Y(n_46598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g809979 (
+	.A(n_59263),
+	.Y(n_46610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g809994 (
+	.A(n_46639),
+	.B(n_66815),
+	.Y(n_46645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g809995 (
+	.A(n_67644),
+	.B(n_43244),
+	.C(n_66346),
+	.Y(n_46639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g809998 (
+	.A(n_46627),
+	.B(n_46628),
+	.Y(n_43245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g809999 (
+	.A(n_53331),
+	.B(n_38655),
+	.Y(n_46627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810000 (
+	.A(n_26748),
+	.B(n_38656),
+	.Y(n_46628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g810003 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ),
+	.Y(n_46633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g199 (
+	.A(n_36768),
+	.B(n_36786),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_221 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810006 (
+	.A(n_15483),
+	.B(n_16135),
+	.C(n_46633),
+	.Y(n_46636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g810009 (
+	.A1_N(FE_DBTN59_n_46648),
+	.A2_N(n_46641),
+	.B1(FE_DBTN59_n_46648),
+	.B2(n_46641),
+	.Y(n_46642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g810010 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_216 ),
+	.Y(n_46641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810011 (
+	.A(n_36768),
+	.B(n_31276),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_216 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g810012 (
+	.A(n_67644),
+	.B(n_43244),
+	.Y(n_43247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g810013 (
+	.A(n_43245),
+	.Y(n_43244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810017 (
+	.A(n_46655),
+	.B(n_49469),
+	.Y(n_46660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g96 (
+	.A(n_46651),
+	.B(n_46652),
+	.C(n_74572),
+	.Y(n_46655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810018 (
+	.A(n_70608),
+	.B(n_68712),
+	.Y(n_46651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810019 (
+	.A(n_46801),
+	.B(n_49470),
+	.Y(n_46652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g810024 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1718),
+	.B(n_39749),
+	.Y(n_46656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810025 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_339),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_241),
+	.Y(n_46657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g810026 (
+	.A(n_46651),
+	.B(n_46652),
+	.C(n_74572),
+	.Y(n_46661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810028 (
+	.A(n_50801),
+	.B(n_34299),
+	.Y(n_46662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810029 (
+	.A(n_57390),
+	.B(n_70581),
+	.Y(n_46664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810031 (
+	.A(n_46665),
+	.B(n_70580),
+	.Y(n_46667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt810032 (
+	.A(n_70581),
+	.Y(n_46665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810040 (
+	.A(n_46677),
+	.B(n_46679),
+	.Y(n_46680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810041 (
+	.A(n_46675),
+	.B(n_74701),
+	.Y(n_46677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810042 (
+	.A(n_46674),
+	.B(n_46115),
+	.Y(n_46675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810043 (
+	.A(n_46114),
+	.Y(n_46674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810045 (
+	.A(n_46674),
+	.B(n_46115),
+	.C(n_46678),
+	.Y(n_46679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810046 (
+	.A(n_74701),
+	.Y(n_46678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g810057 (
+	.A(n_45291),
+	.B(n_71358),
+	.C(n_57392),
+	.Y(n_46690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810060 (
+	.A(n_46667),
+	.B(n_46664),
+	.Y(n_46692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810062 (
+	.A(n_46696),
+	.B(n_46697),
+	.Y(n_46698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810063 (
+	.A(n_47264),
+	.B(n_45302),
+	.Y(n_46696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810064 (
+	.A(n_45304),
+	.B(n_57393),
+	.Y(n_46697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt810065 (
+	.A(n_46698),
+	.Y(n_46701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810067 (
+	.A(n_46748),
+	.B(n_67647),
+	.Y(n_46753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810068 (
+	.A(n_66077),
+	.B(n_46725),
+	.C(n_46747),
+	.Y(n_46748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810069 (
+	.A(n_66819),
+	.Y(n_46711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810073 (
+	.A(n_46722),
+	.Y(n_42928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g669 (
+	.A(n_66822),
+	.B(n_67646),
+	.Y(n_46722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g660 (
+	.A(n_66819),
+	.B(n_46722),
+	.Y(n_46725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g644 (
+	.A(n_46744),
+	.B(n_46745),
+	.C(n_46746),
+	.Y(n_46747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g646 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1578 ),
+	.B(n_66823),
+	.C(n_46743),
+	.Y(n_46744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g699 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1578 ),
+	.Y(n_46726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g661 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1478 ),
+	.A2(n_46730),
+	.B1(n_46731),
+	.X(n_46732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g682 (
+	.A(n_46728),
+	.B(n_46729),
+	.Y(n_46730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g690 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1397 ),
+	.Y(n_46728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810078 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1280 ),
+	.Y(n_46729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g688 (
+	.A(n_46729),
+	.B(n_46728),
+	.Y(n_46731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g677 (
+	.A(n_66824),
+	.B(n_46738),
+	.Y(n_46739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810081 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ),
+	.B(n_36620),
+	.Y(n_46738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt715 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.Y(n_46743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g645 (
+	.A(n_46726),
+	.B(n_66823),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.Y(n_46745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g650 (
+	.A_N(n_66347),
+	.B(n_46732),
+	.Y(n_46746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g810084 (
+	.A(n_46757),
+	.B(n_46759),
+	.C(n_46761),
+	.D(n_46762),
+	.Y(n_46763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g648 (
+	.A(n_46756),
+	.B(n_46732),
+	.C(n_66347),
+	.Y(n_46757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g810085 (
+	.A(n_46754),
+	.B(n_46755),
+	.X(n_46756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g685 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1578 ),
+	.B(n_46743),
+	.Y(n_46754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g687 (
+	.A(n_46726),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1683 ),
+	.Y(n_46755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g649 (
+	.A(n_46756),
+	.B(n_46758),
+	.Y(n_46759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g652 (
+	.A(n_66347),
+	.B(n_46732),
+	.Y(n_46758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g653 (
+	.A_N(n_66347),
+	.B(n_46760),
+	.C(n_46732),
+	.Y(n_46761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810086 (
+	.A(n_46754),
+	.B(n_46755),
+	.Y(n_46760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g647 (
+	.A_N(n_66823),
+	.B(n_46760),
+	.Y(n_46762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g810087 (
+	.A0(n_46728),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1397 ),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1280 ),
+	.Y(n_46764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810103 (
+	.A(n_51322),
+	.Y(n_46781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810114 (
+	.A(n_68258),
+	.B(n_46112),
+	.Y(n_46794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810118 (
+	.A(FE_DBTN50_n_74579),
+	.B(n_46797),
+	.Y(n_46798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810119 (
+	.A(n_45148),
+	.B(n_46796),
+	.Y(n_46797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810120 (
+	.A(n_69031),
+	.B(n_69032),
+	.Y(n_46796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810124 (
+	.A(n_45143),
+	.B(n_45144),
+	.Y(n_46801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810127 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_221),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_144),
+	.Y(n_46802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810128 (
+	.A(n_46796),
+	.Y(n_46803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810129 (
+	.A(n_49467),
+	.B(n_46809),
+	.Y(n_46810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810131 (
+	.A(n_55232),
+	.B(n_46798),
+	.Y(n_46809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g810145 (
+	.A(n_66826),
+	.B(n_46835),
+	.C(n_46837),
+	.D(n_46840),
+	.Y(n_46841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810148 (
+	.A(n_71347),
+	.B(n_49045),
+	.Y(n_46831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810151 (
+	.A(n_37367),
+	.B(n_37368),
+	.Y(n_46828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g414 (
+	.A(n_46370),
+	.Y(n_46379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g399 (
+	.A(n_70251),
+	.B(n_46834),
+	.Y(n_46835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g401 (
+	.A(n_46833),
+	.B(n_49045),
+	.Y(n_46834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810152 (
+	.A(n_71347),
+	.Y(n_46833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g810153 (
+	.A(n_46836),
+	.B(n_49045),
+	.C(n_55883),
+	.D(n_46833),
+	.Y(n_46837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810154 (
+	.A(n_68451),
+	.B(n_56857),
+	.Y(n_46836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g810155 (
+	.A1(n_46838),
+	.A2(n_46836),
+	.B1(n_46839),
+	.X(n_46840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g402 (
+	.A(n_49045),
+	.B(n_71347),
+	.Y(n_46838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g810156 (
+	.A_N(n_55883),
+	.B(n_49045),
+	.C(n_71347),
+	.Y(n_46839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810160 (
+	.A(n_55230),
+	.Y(n_46846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810184 (
+	.A(n_69354),
+	.B(n_46882),
+	.Y(n_46883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810188 (
+	.A(n_67649),
+	.Y(n_46882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g316 (
+	.A(n_54783),
+	.B(n_53998),
+	.Y(n_46886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g317 (
+	.A(n_52069),
+	.B(n_17828),
+	.Y(n_46887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g320 (
+	.A(n_53998),
+	.B(FE_DBTN67_n_44490),
+	.Y(n_46888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810197 (
+	.A(n_54785),
+	.Y(n_46893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810200 (
+	.A(n_52069),
+	.B(n_54879),
+	.Y(n_46891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810217 (
+	.A(n_73725),
+	.Y(n_46910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810218 (
+	.A(n_67650),
+	.Y(n_46921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g810233 (
+	.A(n_46931),
+	.Y(n_46932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810234 (
+	.A(n_46929),
+	.B(n_52166),
+	.Y(n_46931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810235 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_17753),
+	.Y(n_46929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g810237 (
+	.A(n_46935),
+	.Y(n_46936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810238 (
+	.A(n_46933),
+	.B(n_53221),
+	.Y(n_46935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810239 (
+	.A(n_69996),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_46933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g103_1 (
+	.A(n_46932),
+	.B(n_46936),
+	.Y(n_46939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810246 (
+	.A(n_17950),
+	.Y(n_46942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810255 (
+	.A(n_46957),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_46961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810256 (
+	.A(n_46956),
+	.B(n_17801),
+	.Y(n_46957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810257 (
+	.A(FE_DBTN80_n_39663),
+	.B(n_69234),
+	.Y(n_46956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810264 (
+	.A(n_46962),
+	.B(FE_DBTN83_n_69234),
+	.Y(n_46964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810265 (
+	.A(n_51264),
+	.Y(n_46962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810270 (
+	.A(FE_DBTN80_n_39663),
+	.B(n_69234),
+	.Y(n_46970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g810277 (
+	.A1_N(n_46977),
+	.A2_N(n_67876),
+	.B1(n_46977),
+	.B2(n_67876),
+	.Y(n_46979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810278 (
+	.A(n_46975),
+	.B(n_46976),
+	.Y(n_46977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810279 (
+	.A(n_46974),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2832 ),
+	.Y(n_46975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810280 (
+	.A(n_46972),
+	.B(n_46973),
+	.Y(n_46974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810281 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2115 ),
+	.Y(n_46972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810282 (
+	.A(n_51539),
+	.Y(n_46973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810283 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2115 ),
+	.B(n_51539),
+	.Y(n_46976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810286 (
+	.A(n_68677),
+	.Y(n_46982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810289 (
+	.A(n_66832),
+	.Y(n_46994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810297 (
+	.A(n_46997),
+	.B(n_67652),
+	.Y(n_47001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810298 (
+	.A(n_46995),
+	.B(n_46996),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2832 ),
+	.Y(n_46997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810299 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2115 ),
+	.B(n_46973),
+	.Y(n_46995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810300 (
+	.A(n_46972),
+	.B(n_51539),
+	.Y(n_46996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g810303 (
+	.A1(n_47002),
+	.A2(n_47003),
+	.B1(n_47004),
+	.Y(n_47005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810304 (
+	.A(n_46069),
+	.Y(n_47002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810305 (
+	.A(n_67734),
+	.B(n_69833),
+	.Y(n_47003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810306 (
+	.A(n_69833),
+	.B(n_67734),
+	.Y(n_47004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810308 (
+	.A(n_47012),
+	.B(n_47013),
+	.Y(n_47014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810309 (
+	.A(n_47010),
+	.B(n_47011),
+	.Y(n_47012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g810310 (
+	.A(n_66832),
+	.B(n_47005),
+	.Y(n_47010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810311 (
+	.A(n_47001),
+	.Y(n_47011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810312 (
+	.A_N(n_47010),
+	.B(n_47001),
+	.Y(n_47013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g334 (
+	.A(n_67653),
+	.B(n_47026),
+	.Y(n_47027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810319 (
+	.A(n_47002),
+	.B(n_67734),
+	.Y(n_47020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810322 (
+	.A(n_47020),
+	.B(n_66835),
+	.C(n_69833),
+	.Y(n_47026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810325 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(n_49018),
+	.Y(n_47028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810331 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(n_49013),
+	.Y(n_47033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g810348 (
+	.A1(n_36879),
+	.A2(n_19165),
+	.B1(n_51703),
+	.Y(n_47058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g810354 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.B1(n_23168),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.C1(n_23569),
+	.Y(n_47055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g810357 (
+	.A1(n_51697),
+	.A2(soc_top_data_rdata[30]),
+	.B1_N(n_47055),
+	.Y(n_47059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810362 (
+	.A(n_23564),
+	.Y(n_47063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g810371 (
+	.A(n_45817),
+	.B(n_48907),
+	.Y(n_47076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810382 (
+	.A(n_47088),
+	.B(n_47527),
+	.Y(n_47089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g810383 (
+	.A(n_47087),
+	.B(n_50183),
+	.Y(n_47088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810384 (
+	.A(n_50643),
+	.B(n_71328),
+	.Y(n_47087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810397 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1184),
+	.B(n_69409),
+	.Y(n_47106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810413 (
+	.A(n_74851),
+	.B(n_47130),
+	.Y(n_47131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g810414 (
+	.A(n_47126),
+	.B(n_47127),
+	.C(n_47129),
+	.Y(n_47130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g810415 (
+	.A1(n_47124),
+	.A2(n_70247),
+	.B1(n_47125),
+	.X(n_47126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810416 (
+	.A_N(n_47123),
+	.B(n_58647),
+	.Y(n_47124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g810417 (
+	.A_N(n_71030),
+	.B(n_71031),
+	.X(n_47123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g810418 (
+	.A(n_70247),
+	.B(n_58647),
+	.C(n_47123),
+	.Y(n_47125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g810419 (
+	.A_N(n_58647),
+	.B(addinc_ADD_UNS_OP_2_n_1307),
+	.C(n_47123),
+	.Y(n_47127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810420 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1307),
+	.B(n_47128),
+	.Y(n_47129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810421 (
+	.A(n_47123),
+	.B(n_58647),
+	.Y(n_47128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g936 (
+	.A(n_31726),
+	.B(n_54546),
+	.Y(n_47133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g941 (
+	.A(n_13550),
+	.Y(n_47137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g924 (
+	.A(n_73041),
+	.Y(n_47146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g922 (
+	.A(n_47148),
+	.B(n_47146),
+	.Y(n_47151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g933 (
+	.A(n_73188),
+	.Y(n_47152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810422 (
+	.A(n_47155),
+	.B(n_66653),
+	.Y(n_47157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810423 (
+	.A(n_52057),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ),
+	.Y(n_47155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810426 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_47164),
+	.Y(n_47165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810427 (
+	.A(n_47161),
+	.B(n_47163),
+	.Y(n_47164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810428 (
+	.A(n_47159),
+	.B(n_53386),
+	.Y(n_47161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810429 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1233),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1742),
+	.Y(n_47159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810431 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1233),
+	.B(n_47162),
+	.Y(n_47163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g810432 (
+	.A(n_53386),
+	.B_N(WALLACE_CSA_DUMMY_OP_groupi_n_1742),
+	.Y(n_47162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810434 (
+	.A(n_47169),
+	.B(n_43439),
+	.Y(n_47171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810436 (
+	.A(n_47167),
+	.B(n_47168),
+	.Y(n_47169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810437 (
+	.A(n_33311),
+	.B(n_37967),
+	.Y(n_47167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810438 (
+	.A(n_33312),
+	.B(n_37970),
+	.Y(n_47168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g810440 (
+	.A(n_43439),
+	.B(n_47169),
+	.Y(n_47173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810441 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_167),
+	.Y(n_43439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810461 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_318),
+	.B(n_66417),
+	.Y(n_47199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g810490 (
+	.A(n_53112),
+	.B(n_50085),
+	.X(n_47224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g810502 (
+	.A(n_73965),
+	.B_N(n_68980),
+	.Y(n_47235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810504 (
+	.A(n_64744),
+	.B(n_65999),
+	.Y(n_47240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810526 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_152),
+	.B(n_67782),
+	.Y(n_47264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810548 (
+	.A(addinc_ADD_UNS_OP_2_n_532),
+	.Y(n_47282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810553 (
+	.A(addinc_ADD_UNS_OP_2_n_532),
+	.B(n_49833),
+	.Y(n_47288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810563 (
+	.A(n_69801),
+	.B(n_69803),
+	.Y(n_47304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt810568 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.Y(n_47305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810579 (
+	.A(n_47316),
+	.B(n_52480),
+	.Y(n_47319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810580 (
+	.A(n_46232),
+	.B(n_46233),
+	.Y(n_47316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810583 (
+	.A(n_47316),
+	.B(n_52389),
+	.Y(n_47321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810584 (
+	.A(n_47316),
+	.B(n_75245),
+	.Y(n_47322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810586 (
+	.A(n_73964),
+	.B(n_52480),
+	.Y(n_47324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g810591 (
+	.A(n_17928),
+	.B(n_52169),
+	.C(n_17907),
+	.D(n_40786),
+	.Y(n_47328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810617 (
+	.A(n_47354),
+	.Y(n_47355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810618 (
+	.A(n_69137),
+	.B(n_69144),
+	.Y(n_47354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810622 (
+	.A(n_62256),
+	.B(n_57823),
+	.Y(n_47361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g810639 (
+	.A1(addinc_ADD_UNS_OP_2_n_575),
+	.A2(n_47381),
+	.B1(n_54194),
+	.Y(n_47382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g810640 (
+	.A1(n_47378),
+	.A2(n_73735),
+	.B1(n_47380),
+	.Y(n_47381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810641 (
+	.A(n_48664),
+	.B(n_31969),
+	.Y(n_47378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810643 (
+	.A(n_32045),
+	.B(n_26723),
+	.Y(n_47380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g810644 (
+	.A1(addinc_ADD_UNS_OP_2_n_1698),
+	.A2(n_47381),
+	.B1(addinc_ADD_UNS_OP_2_n_832),
+	.B2(n_51683),
+	.C1(addinc_ADD_UNS_OP_2_n_198),
+	.Y(n_47383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g810645 (
+	.A1(addinc_ADD_UNS_OP_2_n_531),
+	.A2(n_51683),
+	.B1(n_47381),
+	.Y(n_47384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810646 (
+	.A_N(n_73735),
+	.B(n_47380),
+	.Y(n_47385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810648 (
+	.A(n_73735),
+	.Y(n_47386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g496 (
+	.A(n_52620),
+	.Y(n_47394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810658 (
+	.A(n_70241),
+	.B(n_45015),
+	.Y(n_47399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810659 (
+	.A(n_74852),
+	.B(n_68033),
+	.Y(n_47405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810661 (
+	.A_N(n_44889),
+	.B(n_51303),
+	.Y(n_47402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810664 (
+	.A(n_69534),
+	.Y(n_47411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810673 (
+	.A(n_47417),
+	.B(n_56885),
+	.Y(n_47420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g810674 (
+	.A(n_56884),
+	.B(n_70139),
+	.X(n_47417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810683 (
+	.A(n_37532),
+	.B(n_39367),
+	.Y(n_47425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810703 (
+	.A(n_49646),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_47447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810706 (
+	.A(n_69408),
+	.Y(n_47455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810722 (
+	.A(n_48085),
+	.B(n_66382),
+	.Y(n_47464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810734 (
+	.A(n_47480),
+	.B(n_47482),
+	.Y(n_24035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810735 (
+	.A(n_47478),
+	.B(n_47479),
+	.Y(n_47480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g810736 (
+	.A(soc_top_data_rdata[21]),
+	.Y(n_47478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g810737 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [22]),
+	.C1(n_23576),
+	.Y(n_47479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g810738 (
+	.A1(n_38207),
+	.A2(n_47481),
+	.B1(n_56310),
+	.X(n_47482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810739 (
+	.A(n_47479),
+	.Y(n_47481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810743 (
+	.A(n_23631),
+	.B(n_47489),
+	.Y(n_47490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g810744 (
+	.A_N(n_47486),
+	.B(n_47488),
+	.Y(n_47489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g377 (
+	.A(n_47485),
+	.B(n_20732),
+	.C(n_19262),
+	.D(n_19263),
+	.Y(n_47486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810745 (
+	.A_N(n_48346),
+	.B(n_23530),
+	.Y(n_47485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g376 (
+	.A(n_73719),
+	.B(soc_top_data_rdata[5]),
+	.Y(n_47488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g810749 (
+	.A1(n_47028),
+	.A2(n_47489),
+	.B1_N(n_22183),
+	.Y(n_47496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810750 (
+	.A(n_23682),
+	.B(n_47489),
+	.Y(n_47497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810765 (
+	.A(n_15568),
+	.B(n_29561),
+	.Y(n_47510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810767 (
+	.A(n_74590),
+	.B(n_68926),
+	.Y(n_47513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g810770 (
+	.A_N(n_47510),
+	.B_N(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.C(n_37906),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
+	.X(n_47517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810772 (
+	.A(n_32152),
+	.B(n_51434),
+	.Y(n_47526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810774 (
+	.A(n_50180),
+	.B(n_74759),
+	.Y(n_47519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810777 (
+	.A(n_47519),
+	.Y(n_47527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g260 (
+	.A(n_50183),
+	.B(n_47530),
+	.Y(n_47531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g261 (
+	.A(n_51435),
+	.Y(n_47530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810784 (
+	.A(n_44539),
+	.B(n_16748),
+	.Y(n_47539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g810790 (
+	.A(n_15361),
+	.B(n_16304),
+	.X(n_47546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810796 (
+	.A(n_68651),
+	.B(n_69692),
+	.Y(n_47552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810797 (
+	.A(n_47555),
+	.Y(n_47556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810798 (
+	.A(n_66362),
+	.B(n_47554),
+	.Y(n_47555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810800 (
+	.A(n_68651),
+	.B(n_67805),
+	.Y(n_47554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810805 (
+	.A(n_23631),
+	.B(n_47565),
+	.Y(n_47566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810806 (
+	.A(n_47562),
+	.B(n_47564),
+	.Y(n_47565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g810807 (
+	.A(n_47561),
+	.B(n_20726),
+	.C(n_19290),
+	.D(n_19257),
+	.X(n_47562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g810808 (
+	.A_N(n_48346),
+	.B(n_23526),
+	.Y(n_47561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810809 (
+	.A(n_73719),
+	.B(soc_top_data_rdata[1]),
+	.Y(n_47564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810811 (
+	.A(n_47569),
+	.B(n_47570),
+	.Y(n_47571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810812 (
+	.A(n_23321),
+	.B(n_36703),
+	.Y(n_47569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810814 (
+	.A(n_73275),
+	.Y(n_36703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g293 (
+	.A(n_49019),
+	.B(n_47565),
+	.Y(n_47570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g294 (
+	.A(n_47028),
+	.B(n_47565),
+	.Y(n_47572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810815 (
+	.A(n_45436),
+	.B(n_47577),
+	.Y(n_47578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g810816 (
+	.A1_N(n_67656),
+	.A2_N(n_47576),
+	.B1(n_67656),
+	.B2(n_47576),
+	.Y(n_47577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810818 (
+	.A(n_47575),
+	.Y(n_47576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810819 (
+	.A(n_44736),
+	.B(n_44731),
+	.Y(n_47575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810820 (
+	.A(n_73692),
+	.B(n_47579),
+	.Y(n_47580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810821 (
+	.A(n_47577),
+	.Y(n_47579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810832 (
+	.A(n_66439),
+	.B(n_67633),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g810837 (
+	.A1(n_67634),
+	.A2(n_46095),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.X(n_47596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g810842 (
+	.A1(n_47603),
+	.A2(n_47604),
+	.B1(addinc_ADD_UNS_OP_2_n_113),
+	.Y(n_47605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g810843 (
+	.A(addinc_ADD_UNS_OP_2_n_1697),
+	.Y(n_47603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g810845 (
+	.A(addinc_ADD_UNS_OP_2_n_505),
+	.B(n_47604),
+	.X(n_47606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810847 (
+	.A(n_33847),
+	.B(n_46103),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810852 (
+	.A(n_50761),
+	.B(n_47615),
+	.Y(n_47616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g810854 (
+	.A(n_54235),
+	.B(n_66086),
+	.X(n_47615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810859 (
+	.A(n_47623),
+	.Y(n_47624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g810860 (
+	.A1(FE_DBTN37_n_54235),
+	.A2(n_50763),
+	.B1(n_47728),
+	.Y(n_47623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g810877 (
+	.A(n_74898),
+	.B(n_74901),
+	.Y(n_45356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g810910 (
+	.A1(n_53870),
+	.A2(n_44423),
+	.B1(n_47687),
+	.X(n_47688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810911 (
+	.A(n_53863),
+	.Y(n_44423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g810915 (
+	.A1(n_66829),
+	.A2(n_44200),
+	.B1(n_66718),
+	.Y(n_47682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810917 (
+	.A(n_67650),
+	.B(n_73725),
+	.Y(n_47687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810920 (
+	.A(n_53870),
+	.B(n_44423),
+	.Y(n_47691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g810921 (
+	.A(n_53863),
+	.B(n_36715),
+	.Y(n_47692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810925 (
+	.A(n_73725),
+	.B(n_66829),
+	.Y(n_47696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g810929 (
+	.A(n_68086),
+	.B(n_43276),
+	.C(n_43283),
+	.X(n_47698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g810946 (
+	.A(n_54997),
+	.B(n_55322),
+	.Y(n_47728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g810947 (
+	.A(n_54997),
+	.B(n_54214),
+	.Y(n_47729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810966 (
+	.A(n_74757),
+	.Y(n_47746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810967 (
+	.A(n_45057),
+	.Y(n_47747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g810970 (
+	.A(n_67758),
+	.B_N(n_69040),
+	.Y(n_47756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g810974 (
+	.A(n_47751),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ),
+	.Y(n_47752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g810975 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ),
+	.Y(n_47751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g810979 (
+	.A_N(n_47759),
+	.B(n_47760),
+	.Y(n_47761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g188 (
+	.A(n_47751),
+	.B_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ),
+	.Y(n_47759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g810980 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1716 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1959 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ),
+	.Y(n_47760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g811000 (
+	.A1(n_69295),
+	.A2(n_65897),
+	.B1(FE_DBTN72_n_31641),
+	.X(n_47789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g811012 (
+	.A(n_26829),
+	.B(n_65200),
+	.X(n_47796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811013 (
+	.A(n_47799),
+	.B(n_47800),
+	.Y(n_47801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811014 (
+	.A(n_26837),
+	.B(n_26838),
+	.Y(n_47799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811015 (
+	.A(n_33026),
+	.B(n_74659),
+	.Y(n_47800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g811016 (
+	.A(n_47808),
+	.B_N(n_33027),
+	.Y(n_47809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811017 (
+	.A(n_47807),
+	.Y(n_47808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811018 (
+	.A(n_66842),
+	.B(n_76736),
+	.Y(n_47807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811020 (
+	.A(n_33027),
+	.B(n_47808),
+	.Y(n_47810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811035 (
+	.A(n_47828),
+	.B(n_47829),
+	.Y(n_47830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811036 (
+	.A(n_47827),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_47828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811037 (
+	.A1_N(n_47825),
+	.A2_N(n_47826),
+	.B1(n_47825),
+	.B2(n_47826),
+	.Y(n_47827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811038 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1365),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_594),
+	.Y(n_47825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811039 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_761),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_604),
+	.Y(n_47826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811040 (
+	.A_N(FE_DBTN93_n_74852),
+	.B(n_26651),
+	.Y(n_47829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811041 (
+	.A(n_47825),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_761),
+	.Y(n_47831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811051 (
+	.A(n_31624),
+	.B(n_47963),
+	.Y(n_47839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811056 (
+	.A(n_47846),
+	.B(n_29900),
+	.Y(n_47847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811057 (
+	.A(n_47839),
+	.B(n_30838),
+	.Y(n_47846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811061 (
+	.A(n_51865),
+	.Y(n_47849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g811070 (
+	.A(n_68035),
+	.Y(n_47859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811075 (
+	.A(n_47864),
+	.B(n_47865),
+	.Y(n_47866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811076 (
+	.A(n_47863),
+	.B(n_47859),
+	.Y(n_47864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811077 (
+	.A(n_49997),
+	.Y(n_47863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811078 (
+	.A(n_49997),
+	.B(n_68035),
+	.Y(n_47865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811083 (
+	.A(n_47873),
+	.B(n_47876),
+	.Y(n_47877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811084 (
+	.A(n_45511),
+	.B(n_74852),
+	.Y(n_47873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811085 (
+	.A(n_44577),
+	.B(FE_DBTN68_n_74851),
+	.Y(n_47876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g811103 (
+	.A(n_48071),
+	.B(n_49861),
+	.C(n_48868),
+	.Y(n_47897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g811114 (
+	.A(n_73503),
+	.B(n_45243),
+	.C(n_45242),
+	.Y(n_47902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811123 (
+	.A(n_70243),
+	.B(n_47916),
+	.Y(n_47917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g811124 (
+	.A(n_47914),
+	.B(n_47915),
+	.Y(n_47916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811125 (
+	.A1(n_46467),
+	.A2(addinc_ADD_UNS_OP_2_n_1069),
+	.B1(n_33911),
+	.Y(n_47914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811127 (
+	.A(n_56981),
+	.B(addinc_ADD_UNS_OP_2_n_955),
+	.Y(n_47915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g203 (
+	.A(n_69182),
+	.B(n_48675),
+	.Y(n_47925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g204 (
+	.A(n_66720),
+	.B(n_48670),
+	.Y(n_47936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811144 (
+	.A(n_51822),
+	.B(n_45645),
+	.Y(n_47937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811145 (
+	.A1_N(n_47943),
+	.A2_N(n_49833),
+	.B1(n_49833),
+	.B2(n_47944),
+	.Y(n_47945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811146 (
+	.A(n_48103),
+	.B(n_74540),
+	.Y(n_47943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811151 (
+	.A(addinc_ADD_UNS_OP_2_n_1259),
+	.B(n_48103),
+	.Y(n_47944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811159 (
+	.A(n_74754),
+	.B(n_74753),
+	.Y(n_37460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g811162 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_47956),
+	.B1_N(n_69981),
+	.Y(n_47958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g811163 (
+	.A(n_67851),
+	.B(n_57722),
+	.C(n_74892),
+	.Y(n_47956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811165 (
+	.A(n_47956),
+	.B(n_54235),
+	.Y(n_47959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811169 (
+	.A(n_47963),
+	.B(n_47970),
+	.Y(n_47971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt98 (
+	.A(n_67660),
+	.Y(n_47963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811171 (
+	.A(n_47968),
+	.B(n_47969),
+	.Y(n_47970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811172 (
+	.A(n_47966),
+	.B(n_47967),
+	.Y(n_47968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811173 (
+	.A(n_47964),
+	.B(n_47965),
+	.Y(n_47966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811174 (
+	.A(n_73976),
+	.Y(n_47964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g95 (
+	.A(n_64065),
+	.Y(n_47965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811175 (
+	.A(n_73976),
+	.B(n_64065),
+	.Y(n_47967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811176 (
+	.A(n_44468),
+	.B(n_17183),
+	.Y(n_47969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811179 (
+	.A(n_31624),
+	.B(n_47975),
+	.Y(n_47976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g811180 (
+	.A(n_47969),
+	.B(n_67660),
+	.C(n_47968),
+	.Y(n_47975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811196 (
+	.A1(n_49833),
+	.A2(n_47995),
+	.B1(n_48001),
+	.Y(n_48002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811197 (
+	.A(n_54531),
+	.B(n_47994),
+	.Y(n_47995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g811198 (
+	.A(n_58094),
+	.B(n_58076),
+	.X(n_47994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g811199 (
+	.A(n_47997),
+	.B(n_49833),
+	.C(n_47998),
+	.D(n_74461),
+	.Y(n_48001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811200 (
+	.A(n_47996),
+	.B(n_47994),
+	.Y(n_47997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811201 (
+	.A(n_70242),
+	.Y(n_47996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811202 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1837),
+	.B(n_47994),
+	.Y(n_47998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g811219 (
+	.A(n_15483),
+	.B(soc_top_u_top_u_core_lsu_wdata[21]),
+	.Y(n_48016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g811220 (
+	.A(n_48016),
+	.Y(n_48019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811224 (
+	.A(n_15483),
+	.B(n_67060),
+	.Y(n_48020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811228 (
+	.A(n_48020),
+	.Y(n_48025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811229 (
+	.A(n_48025),
+	.B(n_54454),
+	.Y(n_48028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811230 (
+	.A(n_46400),
+	.B(n_48020),
+	.Y(n_48030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g811235 (
+	.A1_N(n_66338),
+	.A2_N(n_48031),
+	.B1(n_48031),
+	.B2(n_66338),
+	.Y(n_48032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811236 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1945 ),
+	.Y(n_48031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811237 (
+	.A(n_54379),
+	.B(n_18617),
+	.Y(n_48033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811244 (
+	.A(n_48033),
+	.B(n_48043),
+	.Y(n_47375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811248 (
+	.A(n_48047),
+	.B(n_48048),
+	.Y(n_48049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811249 (
+	.A(n_48045),
+	.B(n_48046),
+	.Y(n_48047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811251 (
+	.A(n_53796),
+	.Y(n_48046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g58 (
+	.A(n_53791),
+	.B(n_53796),
+	.Y(n_48048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811262 (
+	.A(n_67729),
+	.Y(n_48059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g811264 (
+	.A(n_69245),
+	.B(n_48070),
+	.C(n_48917),
+	.Y(n_48071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811270 (
+	.A(n_57301),
+	.B(FE_DBTN10_n_69243),
+	.Y(n_48070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g811276 (
+	.A(n_48077),
+	.Y(n_48078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811277 (
+	.A(addinc_ADD_UNS_OP_2_n_897),
+	.B(n_41134),
+	.Y(n_48077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g811278 (
+	.A(n_37422),
+	.Y(addinc_ADD_UNS_OP_2_n_897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811282 (
+	.A(n_48868),
+	.B(n_48078),
+	.Y(n_48083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811284 (
+	.A(FE_DBTN58_n_48855),
+	.B(n_48077),
+	.Y(n_48085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g811285 (
+	.A_N(n_51241),
+	.B(n_57964),
+	.Y(n_48089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g811291 (
+	.A(n_48090),
+	.B(n_56031),
+	.Y(n_48091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811292 (
+	.A(n_50874),
+	.B(n_56862),
+	.Y(n_48090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811295 (
+	.A_N(n_49246),
+	.B(n_33432),
+	.Y(n_48103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811305 (
+	.A(n_48108),
+	.Y(n_48109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811306 (
+	.A(FE_DBTN106_n_53427),
+	.B(n_48107),
+	.Y(n_48108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811308 (
+	.A(n_49246),
+	.Y(n_48107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811311 (
+	.A(addinc_ADD_UNS_OP_2_n_1442),
+	.B(n_48115),
+	.Y(n_48116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811312 (
+	.A_N(n_49833),
+	.B(n_65994),
+	.Y(n_48115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811316 (
+	.A(n_65991),
+	.B(addinc_ADD_UNS_OP_2_n_1262),
+	.Y(n_48117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811327 (
+	.A(n_56035),
+	.B(n_48128),
+	.Y(n_48129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g811329 (
+	.A1(n_56036),
+	.A2(n_70562),
+	.B1(n_66656),
+	.Y(n_48128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811332 (
+	.A_N(n_66374),
+	.B(n_56794),
+	.Y(n_48136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811335 (
+	.A(n_74073),
+	.B(n_56035),
+	.Y(n_48137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g811371 (
+	.A1(n_44795),
+	.A2(n_54431),
+	.B1(n_75260),
+	.X(n_48177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811373 (
+	.A_N(n_75260),
+	.B(n_54431),
+	.Y(n_48178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811377 (
+	.A(n_68236),
+	.B(n_75261),
+	.Y(n_48183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g811380 (
+	.A(FE_DBTN56_n_49767),
+	.B(n_50627),
+	.Y(n_48187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811413 (
+	.A(n_57278),
+	.B(n_46269),
+	.C(n_46270),
+	.Y(n_48219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt811414 (
+	.A(n_57278),
+	.Y(n_48220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g811418 (
+	.A(n_66399),
+	.B(n_44332),
+	.C(n_24447),
+	.D(n_24472),
+	.Y(n_48225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811421 (
+	.A(n_67664),
+	.B(n_44332),
+	.Y(n_31810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811425 (
+	.A(n_69692),
+	.B(n_31810),
+	.Y(n_48231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811426 (
+	.A(n_15965),
+	.B(n_48234),
+	.Y(n_36918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811427 (
+	.A(n_67805),
+	.B(n_48233),
+	.Y(n_48234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811428 (
+	.A(n_24472),
+	.B(n_48232),
+	.Y(n_48233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811429 (
+	.A(n_19200),
+	.Y(n_48232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g811430 (
+	.A1(n_48233),
+	.A2(n_47546),
+	.B1(n_16556),
+	.B2(n_16744),
+	.C1(n_68926),
+	.C2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_48237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g811436 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_978 ),
+	.B(n_48249),
+	.X(n_48250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811437 (
+	.A(n_67665),
+	.Y(n_48249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g811440 (
+	.A(n_48243),
+	.B(n_51647),
+	.Y(n_48244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811443 (
+	.A1_N(n_48243),
+	.A2_N(n_33473),
+	.B1(n_48243),
+	.B2(n_33473),
+	.Y(n_48246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811444 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_977 ),
+	.B(n_67665),
+	.Y(n_48251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811448 (
+	.A(n_48259),
+	.Y(n_48260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811449 (
+	.A(n_66850),
+	.B(n_66659),
+	.Y(n_48259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811455 (
+	.A(n_53367),
+	.Y(n_48262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811458 (
+	.A(n_53372),
+	.Y(n_48265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811462 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.B(n_49893),
+	.Y(n_44003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g811463 (
+	.A_N(n_48346),
+	.B(n_23739),
+	.X(n_48272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811464 (
+	.A(n_48276),
+	.Y(n_48277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g146_0 (
+	.A1(n_36696),
+	.A2(n_48274),
+	.B1(n_48275),
+	.X(n_48276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811465 (
+	.A(n_48272),
+	.Y(n_36696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g811467 (
+	.A(n_23584),
+	.B(n_23262),
+	.C(n_20749),
+	.D(n_19256),
+	.X(n_48275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g811468 (
+	.A_N(n_48279),
+	.B(n_23321),
+	.Y(n_48280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g811469 (
+	.A1(n_36696),
+	.A2(n_48274),
+	.B1(n_48275),
+	.X(n_48279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt811470 (
+	.A(soc_top_data_rdata[10]),
+	.Y(n_48274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811471 (
+	.A_N(n_48279),
+	.B(n_73273),
+	.Y(n_48281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g811478 (
+	.A(n_55092),
+	.Y(n_48288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g811486 (
+	.A(n_25413),
+	.Y(n_48295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811487 (
+	.A(n_67669),
+	.Y(n_48302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811489 (
+	.A1(n_19152),
+	.A2(n_34198),
+	.B1(n_15895),
+	.Y(n_48298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811494 (
+	.A(n_23884),
+	.B(n_48305),
+	.Y(n_48306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811495 (
+	.A(n_48304),
+	.B(n_69692),
+	.Y(n_48305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g811496 (
+	.A1(FE_DBTN79_n_19051),
+	.A2(n_24378),
+	.B1(n_19152),
+	.Y(n_48304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g811498 (
+	.A(n_48308),
+	.B_N(n_48310),
+	.X(n_48311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g811499 (
+	.A1(n_23884),
+	.A2(n_48305),
+	.B1_N(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_48308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g811500 (
+	.A1(n_47546),
+	.A2(n_48304),
+	.B1(n_16809),
+	.Y(n_48310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811504 (
+	.A(n_48316),
+	.B(n_48317),
+	.Y(n_48318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811505 (
+	.A(n_48314),
+	.B(n_48315),
+	.Y(n_48316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811506 (
+	.A(soc_top_data_rdata[29]),
+	.B(n_51697),
+	.Y(n_48314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g811507 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [30]),
+	.C1(n_23567),
+	.Y(n_48315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811508 (
+	.A(n_53639),
+	.Y(n_48317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811512 (
+	.A(n_67671),
+	.B(n_48324),
+	.Y(n_48325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g811515 (
+	.A1(n_23564),
+	.A2(n_48315),
+	.B1(n_21860),
+	.X(n_48324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g811536 (
+	.A_N(soc_top_main_swith_host_lsu_n_1357),
+	.B(n_25453),
+	.Y(n_48346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811537 (
+	.A(n_48351),
+	.B(n_56310),
+	.Y(n_48352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g215_0 (
+	.A1(n_48347),
+	.A2(n_48348),
+	.B1(n_48350),
+	.Y(n_48351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811538 (
+	.A_N(n_48346),
+	.B(n_23739),
+	.Y(n_48347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt226 (
+	.A(soc_top_data_rdata[8]),
+	.Y(n_48348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g811539 (
+	.A(n_48349),
+	.B(n_20724),
+	.C(n_19274),
+	.D(n_19261),
+	.X(n_48350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811540 (
+	.A_N(n_48346),
+	.B(n_23525),
+	.Y(n_48349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811543 (
+	.A1(n_48347),
+	.A2(n_48348),
+	.B1(n_48350),
+	.Y(n_48353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g811546 (
+	.A(n_22705),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_48357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811568 (
+	.A(n_73544),
+	.B(n_34157),
+	.Y(n_48380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811570 (
+	.A(n_48381),
+	.B(n_48382),
+	.Y(n_48383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811571 (
+	.A(n_68897),
+	.B(n_66601),
+	.Y(n_48381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g811572 (
+	.A(n_16750),
+	.B(n_29791),
+	.X(n_48382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811573 (
+	.A(n_48385),
+	.B(n_48381),
+	.Y(n_48386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811574 (
+	.A(n_48382),
+	.B(n_66525),
+	.Y(n_48385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811586 (
+	.A(n_48419),
+	.B(n_48443),
+	.Y(n_48444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811587 (
+	.A(n_48397),
+	.B(n_48418),
+	.Y(n_48419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811588 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1904 ),
+	.Y(n_48397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811589 (
+	.A(n_74463),
+	.Y(n_48418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811595 (
+	.A(n_48398),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ),
+	.Y(n_48400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811596 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ),
+	.Y(n_48398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811602 (
+	.A(n_48410),
+	.B(n_52561),
+	.Y(n_48412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811603 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2866 ),
+	.B(n_48409),
+	.Y(n_48410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811604 (
+	.A(n_52562),
+	.B(n_48408),
+	.Y(n_48409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811606 (
+	.A(n_73056),
+	.Y(n_48408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811610 (
+	.A(n_66855),
+	.B(n_48442),
+	.Y(n_48443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811621 (
+	.A(n_52562),
+	.B(n_73056),
+	.Y(n_48428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811628 (
+	.A_N(n_67717),
+	.B(n_48434),
+	.Y(n_48435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811629 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1561 ),
+	.Y(n_48434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811631 (
+	.A(n_74703),
+	.B(n_73993),
+	.Y(n_48442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811632 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1904 ),
+	.B(n_74463),
+	.Y(n_48445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811636 (
+	.A(n_48447),
+	.B(n_48452),
+	.Y(n_48453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811637 (
+	.A(n_48397),
+	.B(n_48443),
+	.Y(n_48447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811638 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1904 ),
+	.B(n_48451),
+	.C(n_48442),
+	.Y(n_48452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811639 (
+	.A(n_48450),
+	.B(n_67849),
+	.Y(n_48451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811640 (
+	.A(n_48448),
+	.B(n_48449),
+	.Y(n_48450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811641 (
+	.A(n_74703),
+	.Y(n_48448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811642 (
+	.A(n_73993),
+	.Y(n_48449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811644 (
+	.A(n_48462),
+	.B(n_52541),
+	.Y(n_48463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811645 (
+	.A(n_48461),
+	.Y(n_48462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g811646 (
+	.A1_N(n_48448),
+	.A2_N(n_48460),
+	.B1(n_48460),
+	.B2(n_48448),
+	.Y(n_48461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g811647 (
+	.A1_N(n_48449),
+	.A2_N(n_67849),
+	.B1(n_48449),
+	.B2(n_67849),
+	.Y(n_48460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g516 (
+	.A(n_67717),
+	.B(n_66068),
+	.C(n_48466),
+	.Y(n_48467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811654 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.Y(n_48464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g527 (
+	.A(n_48434),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.Y(n_48466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811660 (
+	.A(n_48476),
+	.B(n_48477),
+	.Y(n_48478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811661 (
+	.A1(n_67672),
+	.A2(n_36753),
+	.B1(n_48412),
+	.Y(n_48476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811662 (
+	.A(n_36753),
+	.B(n_67672),
+	.Y(n_48477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811678 (
+	.A(n_56310),
+	.B(n_19034),
+	.Y(n_48491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811683 (
+	.A(n_49019),
+	.B(n_69683),
+	.Y(n_48499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811688 (
+	.A(n_43768),
+	.B(n_66308),
+	.Y(n_39692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g811689 (
+	.A_N(n_19109),
+	.B(n_47033),
+	.X(n_48503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811691 (
+	.A(n_66323),
+	.B(n_44320),
+	.Y(n_48505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811694 (
+	.A(n_47052),
+	.B(n_48505),
+	.Y(n_48510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811696 (
+	.A(n_48513),
+	.Y(n_48514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g130 (
+	.A(n_40087),
+	.B(n_48512),
+	.Y(n_48513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811697 (
+	.A_N(n_56668),
+	.B(n_39692),
+	.Y(n_48512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g811698 (
+	.A1(n_31552),
+	.A2(n_53639),
+	.B1(n_48515),
+	.B2(n_53029),
+	.Y(n_48516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt811699 (
+	.A(n_39692),
+	.Y(n_48515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811700 (
+	.A(n_48519),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ),
+	.Y(n_48520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g811701 (
+	.A(n_66381),
+	.B(n_48518),
+	.Y(n_48519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt409 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_408 ),
+	.Y(n_48518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g309 (
+	.A(n_48539),
+	.B(n_48541),
+	.Y(n_48542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811705 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ),
+	.B(n_48538),
+	.Y(n_48539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811706 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ),
+	.B(n_48537),
+	.Y(n_48538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g323 (
+	.A(n_48536),
+	.Y(n_48537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811707 (
+	.A(n_48529),
+	.B(n_48535),
+	.Y(n_48536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811708 (
+	.A(n_48519),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ),
+	.C(n_48528),
+	.Y(n_48529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt395 (
+	.A(n_48519),
+	.Y(n_36375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g811709 (
+	.A1(n_66460),
+	.A2(n_44549),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.B2(n_44548),
+	.X(n_48528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811710 (
+	.A(n_67675),
+	.B(n_36375),
+	.Y(n_48535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811714 (
+	.A(n_48536),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ),
+	.Y(n_48541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g811716 (
+	.A(n_48543),
+	.B(n_67676),
+	.C(n_66858),
+	.D(n_74465),
+	.Y(n_48551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811717 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ),
+	.B(n_48536),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ),
+	.Y(n_48543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811719 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ),
+	.Y(n_48544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g331 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1043 ),
+	.B(n_48558),
+	.Y(n_48559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811727 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_715 ),
+	.B(n_36375),
+	.Y(n_48558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811728 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_460 ),
+	.A2(n_48519),
+	.B1(n_48562),
+	.Y(n_48563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g811729 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_494 ),
+	.B(n_48519),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2958 ),
+	.Y(n_48562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811744 (
+	.A(n_71369),
+	.B(n_16827),
+	.Y(n_48576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g811764 (
+	.A(n_61462),
+	.B(n_15483),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811765 (
+	.A(n_48603),
+	.B(n_48604),
+	.Y(n_48605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811766 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ),
+	.B1(n_48602),
+	.Y(n_48603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811767 (
+	.A(n_48599),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.Y(n_48602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811768 (
+	.A(n_29509),
+	.B(n_48019),
+	.Y(n_48599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811769 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ),
+	.Y(n_48604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o32ai_1 g811772 (
+	.A1(n_48606),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ),
+	.A3(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.B1(n_48606),
+	.B2(n_48604),
+	.Y(n_48607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811773 (
+	.A(n_48602),
+	.Y(n_48606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811779 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_48599),
+	.Y(n_48612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811781 (
+	.A(n_48602),
+	.B(n_34327),
+	.Y(n_48617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811782 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_45443),
+	.Y(n_48618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811783 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ),
+	.Y(n_48622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811784 (
+	.A(n_48619),
+	.B(n_48620),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_851 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g811785 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2725 ),
+	.Y(n_48619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g811786 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.Y(n_48620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811797 (
+	.A(FE_DBTN107_n_51159),
+	.B(n_51622),
+	.Y(n_48631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811804 (
+	.A1_N(n_43857),
+	.A2_N(addinc_ADD_UNS_OP_2_n_38),
+	.B1(n_43857),
+	.B2(addinc_ADD_UNS_OP_2_n_38),
+	.Y(n_48638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811805 (
+	.A(n_43847),
+	.Y(n_43857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811814 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1751),
+	.B(n_68223),
+	.Y(n_48651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811822 (
+	.A(n_51309),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_48659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g811823 (
+	.A(n_48660),
+	.B(n_48661),
+	.C(n_74908),
+	.Y(n_48664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811824 (
+	.A(n_54393),
+	.B(n_48690),
+	.Y(n_48660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811825 (
+	.A(n_18197),
+	.B(n_44490),
+	.Y(n_48661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811828 (
+	.A(n_48661),
+	.B(n_17725),
+	.Y(n_48665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811832 (
+	.A(n_72060),
+	.B(n_48708),
+	.C(n_44490),
+	.Y(n_48669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811838 (
+	.A(n_72060),
+	.B(n_48708),
+	.Y(n_48675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811840 (
+	.A(n_48676),
+	.B(n_48677),
+	.Y(n_48678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811841 (
+	.A(n_25517),
+	.B(n_19051),
+	.Y(n_48676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g811842 (
+	.A(n_63138),
+	.B(n_19051),
+	.X(n_48677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811843 (
+	.A(n_35165),
+	.B(n_48687),
+	.Y(n_25029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811844 (
+	.A(n_74852),
+	.B(n_48686),
+	.Y(n_48687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811846 (
+	.A1_N(n_48681),
+	.A2_N(n_74542),
+	.B1(n_48681),
+	.B2(n_74542),
+	.Y(n_48686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811847 (
+	.A(addinc_ADD_UNS_OP_2_n_352),
+	.B(n_51370),
+	.Y(n_48681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt108 (
+	.A(n_48689),
+	.Y(n_48690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811851 (
+	.A(n_47620),
+	.B(n_45320),
+	.Y(n_48689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811859 (
+	.A(n_48689),
+	.B(n_51270),
+	.Y(n_48700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g811862 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_51270),
+	.B1(n_17725),
+	.Y(n_48705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811863 (
+	.A(n_51270),
+	.B(n_54214),
+	.Y(n_48707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g811864 (
+	.A(n_51270),
+	.B(n_55322),
+	.Y(n_48708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g596 (
+	.A(n_66063),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ),
+	.Y(n_48730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g613 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ),
+	.Y(n_48727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811883 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ),
+	.Y(n_48728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g601 (
+	.A(n_48728),
+	.B(n_48731),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1918 ),
+	.Y(n_48732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g616 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ),
+	.Y(n_48731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g597 (
+	.A(n_48731),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1916 ),
+	.C(n_48727),
+	.Y(n_48733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g602 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ),
+	.B(n_48728),
+	.C(n_48727),
+	.Y(n_48734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811888 (
+	.A(n_67917),
+	.B(n_67769),
+	.Y(n_48752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g581 (
+	.A(n_67769),
+	.B(n_67917),
+	.Y(n_48753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g608 (
+	.A(n_48728),
+	.B(n_48727),
+	.Y(n_48758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g811898 (
+	.A1_N(n_48781),
+	.A2_N(n_48782),
+	.B1(n_48781),
+	.B2(n_48782),
+	.Y(n_48783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt811902 (
+	.A(n_70606),
+	.Y(n_48779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811904 (
+	.A(n_57963),
+	.B(n_51241),
+	.Y(n_48782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811910 (
+	.A(n_48788),
+	.B(n_52139),
+	.Y(n_48791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811911 (
+	.A(addinc_ADD_UNS_OP_2_n_1726),
+	.B(addinc_ADD_UNS_OP_2_n_1752),
+	.Y(n_48788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g811913 (
+	.A(n_50856),
+	.B(n_52221),
+	.Y(n_48789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811914 (
+	.A(n_70246),
+	.B(n_58647),
+	.Y(n_48792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g811963 (
+	.A(n_49970),
+	.B(n_48853),
+	.C(n_49971),
+	.Y(n_48855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g811965 (
+	.A(n_51606),
+	.Y(n_48853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g128 (
+	.A(n_48845),
+	.Y(n_48846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g129 (
+	.A(n_73576),
+	.B(n_41571),
+	.Y(n_48845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_4 g811977 (
+	.A_N(n_56873),
+	.B(n_51249),
+	.C(FE_DBTN104_n_52508),
+	.D(n_57439),
+	.Y(n_48868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811990 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_58534),
+	.Y(n_48872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g811993 (
+	.A(n_51057),
+	.Y(n_48874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g811997 (
+	.A(n_48883),
+	.B(n_70249),
+	.Y(n_48887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g811998 (
+	.A(n_68451),
+	.B(n_48881),
+	.C(addinc_ADD_UNS_OP_2_n_765),
+	.Y(n_48883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g811999 (
+	.A(n_46828),
+	.B(n_46379),
+	.Y(n_48881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812002 (
+	.A(n_48884),
+	.Y(n_48885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812003 (
+	.A(n_46828),
+	.B(n_46379),
+	.C(addinc_ADD_UNS_OP_2_n_765),
+	.Y(n_48884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812033 (
+	.A(n_48907),
+	.Y(n_48917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812046 (
+	.A(n_44294),
+	.B(n_69235),
+	.Y(n_48928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812049 (
+	.A(n_44294),
+	.B(n_69235),
+	.Y(n_48933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812051 (
+	.A(n_69514),
+	.B(n_50517),
+	.Y(n_48934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt812056 (
+	.A(n_48938),
+	.Y(n_48939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g137 (
+	.A(n_40810),
+	.B(n_36768),
+	.Y(n_48938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812058 (
+	.A(n_48945),
+	.B(n_48947),
+	.Y(n_48948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812059 (
+	.A(n_51257),
+	.B(n_59603),
+	.Y(n_48945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812070 (
+	.A(n_68897),
+	.B(n_48963),
+	.Y(n_48964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812074 (
+	.A(n_48956),
+	.Y(n_48957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812075 (
+	.A(n_16747),
+	.B(n_71052),
+	.Y(n_48956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g812076 (
+	.A(n_66525),
+	.B(n_16750),
+	.C(n_66601),
+	.D(n_29791),
+	.X(n_48963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812080 (
+	.A(n_70236),
+	.B(n_67881),
+	.Y(n_48973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812090 (
+	.A(n_54930),
+	.B(n_67784),
+	.Y(n_48977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812106 (
+	.A(n_48989),
+	.B(n_48992),
+	.Y(n_48993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g812107 (
+	.A(n_51468),
+	.B(n_44803),
+	.C(n_51469),
+	.Y(n_48989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt812108 (
+	.A(n_51256),
+	.Y(n_48992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt52 (
+	.A(n_54020),
+	.Y(n_48995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g812111 (
+	.A(n_51468),
+	.B(n_44803),
+	.C(n_51469),
+	.Y(n_48998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g812113 (
+	.A1(n_48999),
+	.A2(n_50738),
+	.B1_N(FE_DBTN37_n_54235),
+	.Y(n_49005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812114 (
+	.A(n_65630),
+	.Y(n_48999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812119 (
+	.A(n_18242),
+	.B(n_17890),
+	.Y(n_49006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g812124 (
+	.A(n_49015),
+	.B(n_49020),
+	.Y(n_49021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g812125 (
+	.A1(n_49011),
+	.A2(n_66384),
+	.B1_N(n_23321),
+	.Y(n_49015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812126 (
+	.A(soc_top_data_rdata[12]),
+	.B(n_48272),
+	.Y(n_49011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812129 (
+	.A(n_56310),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_49013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g812130 (
+	.A1(n_49016),
+	.A2(n_49017),
+	.B1_N(n_49019),
+	.Y(n_49020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812131 (
+	.A(soc_top_data_rdata[4]),
+	.B(n_39015),
+	.Y(n_49016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812132 (
+	.A(n_39016),
+	.Y(n_49017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812133 (
+	.A(n_49018),
+	.Y(n_49019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g812134 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.B(n_56310),
+	.Y(n_49018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g812135 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.Y(n_49022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812136 (
+	.A(n_49021),
+	.Y(n_49025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812138 (
+	.A(n_49011),
+	.B(n_66384),
+	.Y(n_25401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812140 (
+	.A(n_49017),
+	.B(n_49016),
+	.Y(n_49028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812143 (
+	.A(FE_DBTN54_n_72084),
+	.B(n_49035),
+	.Y(n_49036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812146 (
+	.A(n_49034),
+	.B(n_49033),
+	.Y(n_49035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812148 (
+	.A(n_45915),
+	.B(n_45911),
+	.Y(n_49034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g812154 (
+	.A(n_49052),
+	.B(n_49053),
+	.C(n_66868),
+	.Y(n_49057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812155 (
+	.A(n_49049),
+	.B(n_49051),
+	.Y(n_49052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g450 (
+	.A1(n_49044),
+	.A2(n_49046),
+	.B1(addinc_ADD_UNS_OP_2_n_1152),
+	.B2(n_49048),
+	.Y(n_49049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812156 (
+	.A(n_69029),
+	.Y(n_49044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812158 (
+	.A(n_49045),
+	.Y(n_49046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812159 (
+	.A(n_46828),
+	.B(n_46379),
+	.Y(n_49045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g812160 (
+	.A(n_49047),
+	.Y(n_49048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812161 (
+	.A(n_68451),
+	.B(addinc_ADD_UNS_OP_2_n_1010),
+	.Y(n_49047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812162 (
+	.A(n_49047),
+	.B(n_49050),
+	.Y(n_49051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g812163 (
+	.A1(n_49045),
+	.A2(n_49044),
+	.B1(addinc_ADD_UNS_OP_2_n_1152),
+	.Y(n_49050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812164 (
+	.A(n_70233),
+	.B(n_49046),
+	.C(n_69029),
+	.Y(n_49053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812167 (
+	.A(n_69029),
+	.B(n_49045),
+	.Y(n_49055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812175 (
+	.A(n_57972),
+	.Y(n_49067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812177 (
+	.A(n_54941),
+	.B(n_69182),
+	.Y(n_49065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g812178 (
+	.A(n_49068),
+	.B(n_49076),
+	.Y(n_49077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812179 (
+	.A(n_57823),
+	.B(n_52103),
+	.Y(n_49068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812180 (
+	.A(n_49072),
+	.B(n_57826),
+	.Y(n_49076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812181 (
+	.A(n_49069),
+	.B(n_62256),
+	.Y(n_49072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812183 (
+	.A(n_48993),
+	.B(n_48995),
+	.Y(n_49069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812191 (
+	.A(n_70553),
+	.B(n_54880),
+	.Y(n_49079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812192 (
+	.A(n_54880),
+	.B(n_74584),
+	.Y(n_49080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g812199 (
+	.A(n_49090),
+	.B(n_67685),
+	.C(n_67686),
+	.D(n_54388),
+	.Y(n_49102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812200 (
+	.A(n_66355),
+	.B(n_49089),
+	.Y(n_49090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g812201 (
+	.A(n_49833),
+	.B_N(n_47394),
+	.Y(n_49089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812209 (
+	.A(n_49097),
+	.B(n_45015),
+	.Y(n_49099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g812210 (
+	.A(n_48085),
+	.Y(n_49097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812220 (
+	.A(n_49142),
+	.B(n_49143),
+	.Y(n_49144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g370 (
+	.A(n_49113),
+	.B(n_49139),
+	.Y(n_49142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812221 (
+	.A(n_42851),
+	.B(n_42850),
+	.Y(n_49113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812223 (
+	.A(n_49139),
+	.Y(n_49140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g812224 (
+	.A(n_49133),
+	.B(n_49138),
+	.Y(n_49139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812225 (
+	.A(n_49115),
+	.B(n_66073),
+	.Y(n_49133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g384 (
+	.A(n_49114),
+	.Y(n_49115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g387 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2894 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1297 ),
+	.X(n_49114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812236 (
+	.A(n_49125),
+	.B(n_49127),
+	.Y(n_49128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812237 (
+	.A(n_49124),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_348 ),
+	.Y(n_49125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812238 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1647 ),
+	.Y(n_49124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812239 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1647 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_347 ),
+	.Y(n_49127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812241 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2854 ),
+	.Y(n_49129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g812243 (
+	.A1(n_67951),
+	.A2(n_49134),
+	.B1(n_67951),
+	.B2(n_49135),
+	.C1(n_49137),
+	.Y(n_49138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g812244 (
+	.A_N(n_74467),
+	.B(n_49114),
+	.Y(n_49134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812245 (
+	.A(n_74467),
+	.B(n_49115),
+	.Y(n_49135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812246 (
+	.A(n_49136),
+	.B(n_67951),
+	.Y(n_49137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812247 (
+	.A(n_49114),
+	.B(n_74467),
+	.Y(n_49136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812248 (
+	.A(n_42851),
+	.B(n_42850),
+	.C(n_49140),
+	.Y(n_49143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g812249 (
+	.A1(n_49115),
+	.A2(n_49145),
+	.B1(n_66073),
+	.Y(n_49147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g812250 (
+	.A(n_74467),
+	.B(n_67951),
+	.Y(n_49145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g812254 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_348 ),
+	.B(n_49124),
+	.Y(n_49150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812273 (
+	.A(n_52311),
+	.B(n_55588),
+	.Y(n_49168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812282 (
+	.A(n_49180),
+	.B(n_55955),
+	.Y(n_49181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt812283 (
+	.A(n_49182),
+	.Y(n_49180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812284 (
+	.A(n_44999),
+	.B(n_49182),
+	.Y(n_49183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812286 (
+	.A(n_49206),
+	.B(n_49207),
+	.Y(n_49208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g119 (
+	.A(n_67691),
+	.B(n_66872),
+	.Y(n_49206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812296 (
+	.A(n_49199),
+	.Y(n_49200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812297 (
+	.A(n_66870),
+	.B(n_66871),
+	.Y(n_49199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g812308 (
+	.A_N(n_49200),
+	.B(n_74468),
+	.Y(n_49207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g812311 (
+	.A1(n_30939),
+	.A2(n_32041),
+	.B1(n_66946),
+	.B2(n_32042),
+	.X(n_49211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812313 (
+	.A(n_49211),
+	.Y(n_49214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g812315 (
+	.A(n_66946),
+	.B(n_32042),
+	.X(n_49216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812327 (
+	.A(addinc_ADD_UNS_OP_2_n_765),
+	.B(FE_DBTN23_n_57443),
+	.Y(n_49226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812330 (
+	.A(n_59274),
+	.B(n_50200),
+	.Y(n_49228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812331 (
+	.A(addinc_ADD_UNS_OP_2_n_667),
+	.B(n_48109),
+	.Y(n_49229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812333 (
+	.A(n_66874),
+	.B(n_49240),
+	.Y(n_49241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812338 (
+	.A(addinc_ADD_UNS_OP_2_n_245),
+	.B(n_51160),
+	.Y(n_49236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812339 (
+	.A(n_49239),
+	.B(n_49236),
+	.Y(n_49240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812340 (
+	.A(n_67694),
+	.B(n_66423),
+	.Y(n_49239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g812341 (
+	.A(n_51179),
+	.B(n_49245),
+	.Y(n_49246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812345 (
+	.A(n_54349),
+	.B(n_54889),
+	.Y(n_49245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812349 (
+	.A(n_74031),
+	.B(n_44952),
+	.Y(n_49248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812355 (
+	.A(n_49266),
+	.B(n_66875),
+	.Y(n_49270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812356 (
+	.A(n_67784),
+	.B(n_49265),
+	.Y(n_49266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g812360 (
+	.A_N(n_43060),
+	.B(n_68446),
+	.Y(n_49257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812363 (
+	.A(n_54981),
+	.Y(n_49265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g812365 (
+	.A1(n_43117),
+	.A2(n_43141),
+	.B1(n_43143),
+	.Y(n_49262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812366 (
+	.A(n_43141),
+	.B(n_43117),
+	.Y(n_49263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812369 (
+	.A(n_52200),
+	.B(n_49257),
+	.Y(n_49267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812378 (
+	.A(n_54718),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_49288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812380 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1191 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_49279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812381 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1192 ),
+	.B(n_75245),
+	.Y(n_49280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812390 (
+	.A(n_49297),
+	.B(n_49298),
+	.Y(n_49299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812391 (
+	.A(n_49291),
+	.B(n_58050),
+	.Y(n_49297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g278 (
+	.A(n_26448),
+	.B(n_64744),
+	.Y(n_49291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g273 (
+	.A(n_49292),
+	.B(n_49293),
+	.C(n_49294),
+	.Y(n_49295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812393 (
+	.A(n_52483),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_49292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812394 (
+	.A(n_51876),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_49293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g274 (
+	.A(n_54717),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_49294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g812395 (
+	.A(n_56699),
+	.B(n_12833),
+	.Y(n_49298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g812397 (
+	.A(n_49295),
+	.Y(n_49301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g422 (
+	.A(n_49307),
+	.B(n_49308),
+	.C(n_69327),
+	.Y(n_49313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812403 (
+	.A(n_34702),
+	.B(n_58967),
+	.Y(n_49307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812404 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1511 ),
+	.B(n_61720),
+	.Y(n_49308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812406 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1351 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_49310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g431 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_542 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(n_49311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812411 (
+	.A(n_68262),
+	.Y(n_49325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812412 (
+	.A(n_49319),
+	.Y(n_49320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812413 (
+	.A(n_70079),
+	.B(n_70066),
+	.Y(n_49319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812414 (
+	.A(n_73607),
+	.B(n_74160),
+	.Y(n_49321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812425 (
+	.A(n_68317),
+	.B(n_49338),
+	.Y(n_49339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g812428 (
+	.A(n_49546),
+	.B(n_49549),
+	.Y(n_49336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g812429 (
+	.A1(n_1858),
+	.A2(n_2621),
+	.A3(n_43386),
+	.B1(n_9002),
+	.C1(n_11925),
+	.X(n_49338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812434 (
+	.A(n_73851),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [41]),
+	.Y(n_49342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g812435 (
+	.A(n_49349),
+	.B(n_49350),
+	.C(n_49354),
+	.Y(n_49355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812436 (
+	.A(n_49345),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_49349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812437 (
+	.A(n_72900),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1165 ),
+	.Y(n_49345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812439 (
+	.A(n_71017),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(n_49347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812441 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_49350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812442 (
+	.A(n_54729),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_49354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812445 (
+	.A(n_49345),
+	.Y(n_49356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g812455 (
+	.A(n_13863),
+	.B(n_44137),
+	.C(n_27098),
+	.Y(n_49365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812461 (
+	.A(n_54769),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_49378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812464 (
+	.A(n_68295),
+	.B(n_36126),
+	.Y(n_49373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812468 (
+	.A(n_54768),
+	.B(n_49373),
+	.Y(n_49379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812485 (
+	.A(n_49395),
+	.B(n_66877),
+	.Y(n_49398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812486 (
+	.A(n_49394),
+	.B(n_67586),
+	.Y(n_49395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812489 (
+	.A(n_54407),
+	.B(n_44049),
+	.Y(n_49401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812491 (
+	.A(n_73103),
+	.B(n_56421),
+	.Y(n_49409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812493 (
+	.A(n_35847),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_549 ),
+	.Y(n_49403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g812527 (
+	.A(n_54028),
+	.Y(n_49442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812532 (
+	.A(n_48998),
+	.B(n_62060),
+	.Y(n_49443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812537 (
+	.A(n_56053),
+	.B(n_49449),
+	.Y(n_49450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g812539 (
+	.A(n_49448),
+	.Y(n_49449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812540 (
+	.A(n_54237),
+	.B(FE_DBTN37_n_54235),
+	.Y(n_49448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812541 (
+	.A(n_49454),
+	.Y(n_49455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812542 (
+	.A(n_56881),
+	.B(n_49453),
+	.Y(n_49454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812544 (
+	.A(n_56053),
+	.B(n_54214),
+	.Y(n_49453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g812548 (
+	.A(n_49461),
+	.B(n_49463),
+	.C(FE_DBTN50_n_74579),
+	.X(n_49466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812549 (
+	.A(n_49459),
+	.B(n_49460),
+	.Y(n_49461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812550 (
+	.A(n_46656),
+	.B(n_46657),
+	.Y(n_49459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812551 (
+	.A(n_66327),
+	.B(n_34437),
+	.Y(n_49460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812552 (
+	.A(n_55232),
+	.Y(n_49463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g812556 (
+	.A(n_49442),
+	.B(n_49443),
+	.Y(n_49467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812557 (
+	.A(n_49459),
+	.Y(n_49469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812558 (
+	.A(n_49460),
+	.Y(n_49470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812566 (
+	.A(n_52058),
+	.B(n_68096),
+	.Y(n_49490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812569 (
+	.A(n_47616),
+	.B(n_36645),
+	.Y(n_49479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812570 (
+	.A(n_67697),
+	.Y(n_49481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812597 (
+	.A(n_49505),
+	.B(n_68901),
+	.Y(n_49507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt41 (
+	.A(n_17049),
+	.Y(n_49505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812599 (
+	.A(n_16857),
+	.B(n_17049),
+	.Y(n_49508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812617 (
+	.A(n_49530),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_49535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812618 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1069 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_965 ),
+	.Y(n_49530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812632 (
+	.A(n_49546),
+	.B(n_49549),
+	.Y(n_49550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812633 (
+	.A(n_43360),
+	.B(n_43361),
+	.Y(n_49546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812634 (
+	.A(n_49547),
+	.B(n_49548),
+	.Y(n_49549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812635 (
+	.A(n_43353),
+	.B(n_34148),
+	.Y(n_49547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812636 (
+	.A(n_34579),
+	.B(n_70084),
+	.Y(n_49548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812655 (
+	.A(n_49568),
+	.B(n_49575),
+	.Y(n_49576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812656 (
+	.A(n_74774),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_49568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812657 (
+	.A(n_74470),
+	.B(n_75245),
+	.Y(n_49575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812666 (
+	.A(n_52488),
+	.Y(n_49578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812677 (
+	.A(n_49591),
+	.Y(n_49592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812678 (
+	.A(n_57967),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_588),
+	.Y(n_49591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812686 (
+	.A(n_74181),
+	.B(n_74071),
+	.Y(n_49600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812690 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2414 ),
+	.B(n_50703),
+	.Y(n_49606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g812691 (
+	.A_N(n_34198),
+	.B(n_49610),
+	.Y(n_49611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812694 (
+	.A(n_24452),
+	.B(n_73890),
+	.Y(n_49610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812704 (
+	.A(n_43960),
+	.B(addinc_ADD_UNS_OP_2_n_785),
+	.Y(n_49620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812705 (
+	.A(addinc_ADD_UNS_OP_2_n_762),
+	.B(n_50826),
+	.Y(addinc_ADD_UNS_OP_2_n_848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812712 (
+	.A(n_49629),
+	.B(n_70602),
+	.Y(n_49632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt812713 (
+	.A(n_49932),
+	.Y(n_49629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g812719 (
+	.A(n_49637),
+	.Y(n_49638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812720 (
+	.A(n_52607),
+	.B(n_52608),
+	.Y(n_49637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812731 (
+	.A(n_51037),
+	.B(n_29390),
+	.Y(n_49646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812737 (
+	.A(n_50214),
+	.B(n_54159),
+	.Y(n_49653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812739 (
+	.A(n_67700),
+	.B(n_49659),
+	.Y(n_49660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812743 (
+	.A(n_43861),
+	.B(n_43858),
+	.Y(n_49659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812746 (
+	.A(n_16866),
+	.B(FE_DBTN85_n_53112),
+	.Y(n_49662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g812751 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(\soc_top_u_top_u_core_fp_operands[0] [10]),
+	.B1(n_49669),
+	.Y(n_49670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g812752 (
+	.A1_N(n_44035),
+	.A2_N(n_47546),
+	.B1(n_16555),
+	.B2(n_66392),
+	.Y(n_49669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812761 (
+	.A(n_73824),
+	.B(n_18631),
+	.C(n_49678),
+	.Y(n_49679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812763 (
+	.A(n_18631),
+	.B(n_73824),
+	.Y(n_48642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g812764 (
+	.A(n_67702),
+	.B(n_73978),
+	.X(n_49690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812804 (
+	.A(n_67704),
+	.B(n_49726),
+	.Y(n_49727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g812806 (
+	.A(n_70269),
+	.B(n_70268),
+	.X(n_49722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812809 (
+	.A(n_45162),
+	.B(n_49722),
+	.C(n_49723),
+	.Y(n_49726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812816 (
+	.A(n_51824),
+	.B(n_44490),
+	.Y(n_49732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812823 (
+	.A(n_71005),
+	.B(n_49743),
+	.Y(n_49744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g812825 (
+	.A1_N(n_23591),
+	.A2_N(n_23315),
+	.B1(n_18842),
+	.B2(n_27505),
+	.Y(n_49743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812832 (
+	.A(n_44327),
+	.B(FE_DBTN77_n_23711),
+	.Y(n_49750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g812833 (
+	.A(n_19109),
+	.B(n_48491),
+	.Y(n_49751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812844 (
+	.A(soc_top_data_rdata[31]),
+	.B(n_51697),
+	.Y(n_49762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812846 (
+	.A(n_23712),
+	.Y(n_49763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812850 (
+	.A(n_45476),
+	.B(n_45477),
+	.Y(n_49767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812852 (
+	.A(n_69800),
+	.B(n_45471),
+	.Y(n_49770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812860 (
+	.A(n_50739),
+	.B(n_65630),
+	.Y(n_49776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812869 (
+	.A(n_49790),
+	.B(n_49792),
+	.Y(n_49793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812870 (
+	.A(n_33590),
+	.B(n_45146),
+	.C(n_49789),
+	.Y(n_49790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g812871 (
+	.A(n_54074),
+	.Y(n_49789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812873 (
+	.A(n_49789),
+	.B(n_34435),
+	.Y(n_49792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812874 (
+	.A(n_73828),
+	.B(n_46797),
+	.Y(n_34435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812875 (
+	.A(n_49796),
+	.B(n_66881),
+	.Y(n_49799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812876 (
+	.A(n_49794),
+	.B(n_49795),
+	.Y(n_49796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812877 (
+	.A(n_34470),
+	.B(n_51241),
+	.Y(n_49794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812878 (
+	.A(n_47171),
+	.B(n_57964),
+	.Y(n_49795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812885 (
+	.A(n_65952),
+	.B(n_49807),
+	.Y(n_49809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812890 (
+	.A(n_47131),
+	.B(n_14704),
+	.Y(n_49807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812894 (
+	.A(n_50600),
+	.B(n_30598),
+	.Y(n_49811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812895 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_838 ),
+	.B(n_35504),
+	.Y(n_49813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812896 (
+	.A(n_49815),
+	.B(n_53003),
+	.Y(n_49822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812897 (
+	.A(n_43962),
+	.B(n_75245),
+	.Y(n_49815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g812909 (
+	.A(n_49828),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_319 ),
+	.Y(n_49830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812910 (
+	.A(n_70082),
+	.B(n_70081),
+	.Y(n_49828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g812911 (
+	.A(n_70056),
+	.B(n_70083),
+	.Y(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_319 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812913 (
+	.A(addinc_ADD_UNS_OP_2_n_1366),
+	.B(n_49833),
+	.Y(n_49834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt812915 (
+	.A(n_49833),
+	.Y(n_47280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g812918 (
+	.A(n_53260),
+	.B(n_49833),
+	.Y(n_49835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g812919 (
+	.A(n_49833),
+	.B_N(n_53260),
+	.Y(n_49836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812925 (
+	.A(n_68731),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_49843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g13 (
+	.A(n_69275),
+	.B(n_50085),
+	.Y(n_49851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812932 (
+	.A(FE_DBTN69_n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [9]),
+	.Y(n_49854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g812935 (
+	.A(n_49858),
+	.B(n_50342),
+	.C(n_52782),
+	.Y(n_49861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g812944 (
+	.A(n_68737),
+	.B(n_44518),
+	.C(n_44021),
+	.Y(n_49866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g812947 (
+	.A(n_70045),
+	.B(n_70044),
+	.Y(n_49871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812953 (
+	.A(n_49883),
+	.B(n_67706),
+	.Y(n_49889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g812954 (
+	.A_N(n_67705),
+	.B(n_49893),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.Y(n_49883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g812965 (
+	.A(n_48243),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_215 ),
+	.Y(n_49885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt813000 (
+	.A(n_49922),
+	.Y(n_47537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813001 (
+	.A(n_48380),
+	.B(n_17237),
+	.Y(n_49922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813007 (
+	.A(n_52698),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_92),
+	.Y(n_49929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813008 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1794),
+	.B(n_69414),
+	.Y(n_49930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813009 (
+	.A(n_49932),
+	.B(n_70602),
+	.Y(n_49935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813010 (
+	.A(n_53805),
+	.B(n_53802),
+	.Y(n_49932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813021 (
+	.A(n_49946),
+	.B(n_69071),
+	.Y(n_49949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813022 (
+	.A(n_69072),
+	.B(n_49945),
+	.Y(n_49946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813024 (
+	.A(n_45002),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_692),
+	.Y(n_49945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g813026 (
+	.A(n_49945),
+	.B_N(n_47199),
+	.Y(n_49947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813029 (
+	.A(n_45331),
+	.B(n_49467),
+	.Y(n_49951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g813036 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_36786),
+	.B1(n_16815),
+	.B2(n_16556),
+	.X(n_49958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813041 (
+	.A(FE_DBTN48_n_51233),
+	.B(n_49964),
+	.Y(n_49965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g813042 (
+	.A(n_66305),
+	.Y(n_49964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813047 (
+	.A(n_74922),
+	.B(n_41135),
+	.Y(n_49970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813048 (
+	.A(n_41134),
+	.B(addinc_ADD_UNS_OP_2_n_975),
+	.Y(n_49971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813055 (
+	.A(n_38352),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_49976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813056 (
+	.A(n_67026),
+	.B(n_51822),
+	.Y(n_49977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813057 (
+	.A(n_47936),
+	.B(n_47937),
+	.Y(n_49980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813067 (
+	.A(n_49991),
+	.B(n_49992),
+	.Y(addinc_ADD_UNS_OP_2_n_18), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g813068 (
+	.A1(n_56053),
+	.A2(n_48690),
+	.B1(n_73864),
+	.Y(n_49991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813069 (
+	.A(n_31684),
+	.B(n_44490),
+	.Y(n_49992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g813073 (
+	.A(n_66086),
+	.B(n_68035),
+	.X(n_49995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813074 (
+	.A(n_48444),
+	.B(n_48445),
+	.Y(n_49997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813086 (
+	.A(n_54005),
+	.B(FE_DBTN67_n_44490),
+	.Y(n_50008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g813087 (
+	.A(n_50008),
+	.Y(n_43090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813090 (
+	.A(n_50013),
+	.B(n_69042),
+	.Y(n_50015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813091 (
+	.A(n_51899),
+	.B(addinc_ADD_UNS_OP_2_n_72),
+	.Y(n_50013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813103 (
+	.A(n_50029),
+	.Y(n_50030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813104 (
+	.A(n_48947),
+	.B(n_50027),
+	.C(n_50028),
+	.Y(n_50029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813105 (
+	.A(n_51216),
+	.Y(n_48947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813106 (
+	.A(n_69076),
+	.Y(n_50027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813107 (
+	.A(n_45286),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_152),
+	.C(n_67782),
+	.Y(n_50028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813108 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_916),
+	.B(n_46701),
+	.Y(n_50031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813118 (
+	.A(n_50041),
+	.Y(n_50042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813119 (
+	.A(n_73544),
+	.B(n_52365),
+	.Y(n_50041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813129 (
+	.A(n_54235),
+	.B(n_44490),
+	.Y(n_48670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813133 (
+	.A(n_70586),
+	.B(FE_DBTN50_n_74579),
+	.Y(n_50060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813152 (
+	.A(n_50087),
+	.Y(n_50088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813153 (
+	.A(n_50083),
+	.B(n_50086),
+	.Y(n_50087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813154 (
+	.A(n_66919),
+	.B(n_33055),
+	.Y(n_50083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g105 (
+	.A(n_57264),
+	.B(n_50085),
+	.X(n_50086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g813160 (
+	.A(n_47537),
+	.B_N(n_14381),
+	.X(n_50085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813186 (
+	.A(n_50115),
+	.B(n_47774),
+	.C(n_67711),
+	.Y(n_50118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813188 (
+	.A(n_56848),
+	.Y(n_47774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813190 (
+	.A(n_73546),
+	.B(n_50120),
+	.Y(n_50121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813192 (
+	.A(n_67725),
+	.B(n_52365),
+	.Y(n_50120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813208 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_66884),
+	.Y(n_50136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g813212 (
+	.A_N(n_50139),
+	.B(FE_DBTN20_n_58706),
+	.Y(n_50142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813213 (
+	.A(n_31641),
+	.B(FE_DBTN67_n_44490),
+	.Y(n_50139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813216 (
+	.A(n_50147),
+	.B(n_50149),
+	.Y(n_50150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813217 (
+	.A(n_50145),
+	.B(n_50146),
+	.Y(n_50147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813218 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1228),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1576),
+	.Y(n_50145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813219 (
+	.A(n_66893),
+	.B(n_50637),
+	.Y(n_50146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813220 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1228),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1576),
+	.C(n_50148),
+	.Y(n_50149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813221 (
+	.A(n_50146),
+	.Y(n_50148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813226 (
+	.A(n_24440),
+	.B(n_50153),
+	.Y(n_50154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g813227 (
+	.A(n_19051),
+	.B(n_18767),
+	.X(n_50153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g813228 (
+	.A(n_74006),
+	.Y(n_50158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g813230 (
+	.A(n_18912),
+	.B(n_19051),
+	.X(n_50156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813248 (
+	.A(n_73544),
+	.B(n_50179),
+	.Y(n_50180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813250 (
+	.A(n_50178),
+	.Y(n_50179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813251 (
+	.A(n_45690),
+	.B(n_45692),
+	.Y(n_50178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g813252 (
+	.A(n_50181),
+	.B(n_50182),
+	.Y(n_50183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813253 (
+	.A(n_47747),
+	.B(n_47746),
+	.Y(n_50181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813254 (
+	.A(n_45057),
+	.B(n_74757),
+	.Y(n_50182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813262 (
+	.A(n_57278),
+	.B(n_50195),
+	.Y(n_50196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813264 (
+	.A(n_66885),
+	.Y(n_50195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g813268 (
+	.A(n_56979),
+	.Y(n_50200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g813272 (
+	.A1_N(n_55876),
+	.A2_N(n_55877),
+	.B1(n_55876),
+	.B2(n_55877),
+	.Y(n_50206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813283 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_73983),
+	.Y(n_50214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g813309 (
+	.A1_N(n_50242),
+	.A2_N(n_50246),
+	.B1(n_50242),
+	.B2(n_50246),
+	.Y(n_50247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt163 (
+	.A(n_67791),
+	.Y(n_50242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813310 (
+	.A(n_50244),
+	.B(n_50245),
+	.Y(n_50246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813311 (
+	.A(n_67796),
+	.B(n_67790),
+	.Y(n_50244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813312 (
+	.A(n_55842),
+	.B(n_55785),
+	.Y(n_50245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813320 (
+	.A(n_44074),
+	.Y(n_50253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g813321 (
+	.A(n_19200),
+	.B(n_19208),
+	.C(n_73812),
+	.Y(n_50254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g813323 (
+	.A(n_58129),
+	.B(n_65629),
+	.C(n_57724),
+	.Y(n_50261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813338 (
+	.A(n_47052),
+	.Y(n_50274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813339 (
+	.A(n_50586),
+	.B(n_69684),
+	.Y(n_47052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g813340 (
+	.A1(n_19155),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[23]),
+	.B1(n_56675),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[15]),
+	.Y(n_50275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813346 (
+	.A(n_50281),
+	.Y(n_50282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813347 (
+	.A(n_17639),
+	.B(n_35749),
+	.Y(n_50281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813353 (
+	.A(n_74472),
+	.Y(n_50290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813357 (
+	.A(n_50302),
+	.Y(n_50303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813358 (
+	.A(n_67713),
+	.B(n_50301),
+	.Y(n_50302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g322 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1299 ),
+	.B(n_71095),
+	.Y(n_50295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813363 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1299 ),
+	.Y(n_50292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g324 (
+	.A(n_50292),
+	.B(n_50296),
+	.Y(n_50297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g330 (
+	.A(n_71095),
+	.Y(n_50296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813365 (
+	.A(n_50300),
+	.B(n_48260),
+	.Y(n_50301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g813366 (
+	.A1_N(n_50296),
+	.A2_N(n_50292),
+	.B1(n_50296),
+	.B2(n_50292),
+	.Y(n_50300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813367 (
+	.A(n_74473),
+	.Y(n_50314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813370 (
+	.A(n_50304),
+	.B(n_50305),
+	.Y(n_50308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813371 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2926 ),
+	.Y(n_50304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt347 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1085 ),
+	.Y(n_50305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813376 (
+	.A(n_50302),
+	.B(n_74473),
+	.Y(n_50317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813379 (
+	.A(n_50303),
+	.B(n_74473),
+	.Y(n_50319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813383 (
+	.A(n_50319),
+	.B(n_66065),
+	.Y(n_50324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g307 (
+	.A(n_50304),
+	.B(n_74474),
+	.Y(n_50331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g40 (
+	.A(n_53695),
+	.B(n_54342),
+	.Y(n_50342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813398 (
+	.A(addinc_ADD_UNS_OP_2_n_1698),
+	.B(n_66092),
+	.Y(n_50344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813401 (
+	.A(n_67719),
+	.Y(n_50350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813416 (
+	.A(n_50363),
+	.B(n_55347),
+	.Y(n_50366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813417 (
+	.A(n_49467),
+	.B(n_46846),
+	.Y(n_50363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813423 (
+	.A(n_50370),
+	.B(n_50371),
+	.Y(n_50372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813424 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1766),
+	.B(addinc_ADD_UNS_OP_2_n_81),
+	.Y(n_50370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813425 (
+	.A(n_53313),
+	.B(addinc_ADD_UNS_OP_2_n_60),
+	.Y(n_50371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813426 (
+	.A(n_50375),
+	.B(n_66890),
+	.Y(n_50378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813427 (
+	.A(n_50373),
+	.B(n_50374),
+	.Y(n_50375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813428 (
+	.A(n_41881),
+	.B(n_34972),
+	.Y(n_50373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813429 (
+	.A(n_66786),
+	.B(n_73503),
+	.Y(n_50374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g813447 (
+	.A1_N(n_50394),
+	.A2_N(n_68450),
+	.B1(n_50394),
+	.B2(n_68450),
+	.Y(n_50396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813448 (
+	.A(FE_DBTN106_n_53427),
+	.B(n_75256),
+	.Y(n_50394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g813456 (
+	.A1(addinc_ADD_UNS_OP_2_n_1685),
+	.A2(n_70125),
+	.B1(n_51931),
+	.Y(n_50405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813470 (
+	.A(n_50419),
+	.B(n_58028),
+	.Y(n_50421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813471 (
+	.A(n_48998),
+	.B(n_66404),
+	.Y(n_50419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813475 (
+	.A(n_49467),
+	.B(n_49463),
+	.Y(n_50422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813476 (
+	.A(n_70608),
+	.Y(n_46800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt813491 (
+	.A(n_55856),
+	.Y(n_48253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813495 (
+	.A(n_50446),
+	.Y(n_50447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813496 (
+	.A(n_74916),
+	.B(n_50445),
+	.Y(n_50446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g813497 (
+	.A(n_50443),
+	.B(n_50444),
+	.Y(n_50445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt813498 (
+	.A(n_45978),
+	.Y(n_50443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813499 (
+	.A(addinc_ADD_UNS_OP_2_n_450),
+	.B(addinc_ADD_UNS_OP_2_n_135),
+	.Y(n_50444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813504 (
+	.A(n_66194),
+	.B(n_73487),
+	.Y(n_50450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813512 (
+	.A(n_66891),
+	.B(n_50464),
+	.Y(n_50465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813515 (
+	.A(n_50461),
+	.Y(n_50462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g813516 (
+	.A1(n_48519),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_672 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1036 ),
+	.Y(n_50461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813517 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1523 ),
+	.B(n_50461),
+	.Y(n_50464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g813518 (
+	.A1(n_50462),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1522 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2800 ),
+	.Y(n_50466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g813519 (
+	.A1(n_34333),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_35147),
+	.Y(n_50467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813520 (
+	.A(n_50600),
+	.B(n_50467),
+	.Y(n_50469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813523 (
+	.A(FE_DBTN17_n_70953),
+	.B(n_50467),
+	.Y(n_50471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813525 (
+	.A(n_54711),
+	.B(n_68980),
+	.Y(n_50474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g813529 (
+	.A(n_70073),
+	.B(n_70072),
+	.C(n_70181),
+	.Y(n_50478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g813531 (
+	.A(n_70060),
+	.Y(n_50476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813534 (
+	.A(n_69016),
+	.B(n_50481),
+	.Y(n_50482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813536 (
+	.A(n_50600),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.Y(n_50481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813537 (
+	.A(n_35499),
+	.B(n_38001),
+	.Y(n_50483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g813538 (
+	.A_N(n_53030),
+	.B(n_50488),
+	.Y(n_50489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813539 (
+	.A(n_50486),
+	.B(n_66407),
+	.Y(n_50488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813540 (
+	.A(n_39015),
+	.B(soc_top_data_rdata[6]),
+	.Y(n_50486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g813544 (
+	.A1(n_51147),
+	.A2(n_49013),
+	.B1(n_50492),
+	.Y(n_31134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g813545 (
+	.A_N(n_49018),
+	.B(n_50488),
+	.Y(n_50492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813552 (
+	.A(n_53112),
+	.B(n_50500),
+	.Y(n_50501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g813554 (
+	.A(n_36725),
+	.Y(n_50500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813555 (
+	.A(n_50504),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_50505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt59 (
+	.A(n_56784),
+	.Y(n_50504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813557 (
+	.A(n_17548),
+	.B(n_50504),
+	.Y(n_50506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813561 (
+	.A(n_46491),
+	.B(n_65821),
+	.Y(n_50512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813564 (
+	.A(n_50514),
+	.B(n_46491),
+	.Y(n_50515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813565 (
+	.A(n_46495),
+	.B(n_68399),
+	.Y(n_50514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813567 (
+	.A(n_69512),
+	.B(n_69492),
+	.C(n_69491),
+	.Y(n_50517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813569 (
+	.A(n_69491),
+	.B(n_69492),
+	.Y(n_50518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g37 (
+	.A(n_44544),
+	.B(n_50521),
+	.Y(n_36104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813570 (
+	.A(n_44029),
+	.B(n_50520),
+	.Y(n_50521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813571 (
+	.A(n_70130),
+	.Y(n_50520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813573 (
+	.A(n_44545),
+	.B(n_50521),
+	.Y(n_50523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813574 (
+	.A(FE_DBTN71_n_69235),
+	.B(n_51034),
+	.C(n_50528),
+	.Y(n_50529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813577 (
+	.A(n_51747),
+	.B(n_45180),
+	.Y(n_50528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813587 (
+	.A(n_46200),
+	.B(n_46204),
+	.Y(n_50534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813597 (
+	.A(n_67667),
+	.B(n_29672),
+	.C(n_29663),
+	.Y(n_50544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g813599 (
+	.A_N(n_50544),
+	.B(FE_DBTN76_n_70132),
+	.Y(n_50549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813609 (
+	.A(n_47386),
+	.B(n_50563),
+	.Y(n_50564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813610 (
+	.A(n_26723),
+	.B(n_32047),
+	.Y(n_50563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813613 (
+	.A(n_47378),
+	.B(n_50563),
+	.Y(n_50565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813614 (
+	.A(n_66892),
+	.B(n_50568),
+	.C(n_47378),
+	.Y(n_50569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813617 (
+	.A(n_51683),
+	.B(n_50563),
+	.Y(n_50568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g813619 (
+	.A(n_50571),
+	.B(n_50570),
+	.Y(n_50572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g813620 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [11]),
+	.B(FE_DBTN85_n_53112),
+	.Y(n_50570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813621 (
+	.A(n_35827),
+	.B(n_57260),
+	.Y(n_50571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813623 (
+	.A(n_17548),
+	.B(n_50572),
+	.Y(n_50575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g813628 (
+	.A(n_66957),
+	.B(n_24181),
+	.C(n_50583),
+	.D(n_48288),
+	.Y(n_50584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g813629 (
+	.A(n_50582),
+	.Y(n_50583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813630 (
+	.A(n_50580),
+	.B(n_50581),
+	.Y(n_50582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813631 (
+	.A(n_48503),
+	.B(n_39692),
+	.Y(n_50580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813632 (
+	.A(n_48317),
+	.B(n_48505),
+	.Y(n_50581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g813634 (
+	.A(n_39755),
+	.B(n_50587),
+	.C(n_24385),
+	.Y(n_50588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813635 (
+	.A(n_66408),
+	.B(n_50586),
+	.Y(n_50587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813638 (
+	.A(n_50588),
+	.B(n_44008),
+	.Y(n_50590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g813639 (
+	.A0(n_50588),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.S(n_1254),
+	.X(n_50591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g813646 (
+	.A(n_73102),
+	.Y(n_50600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813653 (
+	.A(n_44925),
+	.B(n_47968),
+	.Y(n_50605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt813657 (
+	.A(n_54546),
+	.Y(n_50607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813659 (
+	.A(n_50611),
+	.B(n_50613),
+	.Y(n_47148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813660 (
+	.A(n_68678),
+	.B(n_37460),
+	.Y(n_50611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g813661 (
+	.A_N(n_54546),
+	.B(n_50612),
+	.Y(n_50613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813662 (
+	.A(n_37460),
+	.B(n_31726),
+	.Y(n_50612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813673 (
+	.A(n_66837),
+	.B(n_49770),
+	.Y(n_50627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813678 (
+	.A(n_50630),
+	.B(n_50631),
+	.Y(n_50632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813679 (
+	.A(n_57412),
+	.Y(n_50630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt813680 (
+	.A(n_68772),
+	.Y(n_50631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813683 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1654),
+	.B(n_50636),
+	.Y(n_50637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813684 (
+	.A(n_50632),
+	.B(n_66894),
+	.Y(n_50636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813689 (
+	.A(n_48219),
+	.B(n_46276),
+	.Y(n_50641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt813691 (
+	.A(n_50641),
+	.Y(n_50643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813709 (
+	.A(n_50662),
+	.B(n_54023),
+	.Y(n_50663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g813715 (
+	.A(\soc_top_u_top_u_core_fp_operands[2] [6]),
+	.B(n_53112),
+	.Y(n_50668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813716 (
+	.A(n_35742),
+	.B(n_57260),
+	.Y(n_50669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_2 g813721 (
+	.A1(n_42897),
+	.A2(n_42900),
+	.B1(n_42903),
+	.C1(n_42902),
+	.D1(n_42901),
+	.X(n_50676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g813729 (
+	.A1(n_50688),
+	.A2(n_36338),
+	.B1(n_50684),
+	.Y(n_50685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g813730 (
+	.A1(n_17059),
+	.A2(n_53853),
+	.B1(n_17145),
+	.X(n_50684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813733 (
+	.A(n_50684),
+	.B(n_47539),
+	.Y(n_50688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813736 (
+	.A(n_50691),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_50692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g813737 (
+	.A1(n_69234),
+	.A2(n_30945),
+	.B1(n_51280),
+	.Y(n_50691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813738 (
+	.A(n_54396),
+	.B(n_54005),
+	.Y(n_50695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813742 (
+	.A(n_54396),
+	.B(n_63953),
+	.Y(n_50697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813748 (
+	.A(n_51134),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2373 ),
+	.Y(n_50703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813749 (
+	.A(n_51134),
+	.Y(n_50704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813753 (
+	.A(n_50708),
+	.B(n_35744),
+	.C(n_57260),
+	.Y(n_50709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813754 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_16919),
+	.Y(n_50708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813756 (
+	.A(n_69354),
+	.B(n_50710),
+	.Y(n_50711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813757 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2278 ),
+	.Y(n_50710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813760 (
+	.A(n_50714),
+	.B(n_15979),
+	.Y(n_50715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g15 (
+	.A(n_44035),
+	.B(n_67805),
+	.Y(n_50714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813769 (
+	.A(n_67256),
+	.B(n_49398),
+	.C(n_68280),
+	.Y(n_50725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813771 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.Y(n_49394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813779 (
+	.A(n_50738),
+	.Y(n_50739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g813780 (
+	.A1(n_74880),
+	.A2(n_56789),
+	.B1(n_66981),
+	.Y(n_50738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813783 (
+	.A(n_56789),
+	.Y(n_50740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813801 (
+	.A(n_53853),
+	.B(n_50758),
+	.Y(n_50759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813802 (
+	.A(n_47693),
+	.B(n_36715),
+	.Y(n_50758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g813803 (
+	.A(n_69381),
+	.B(n_74891),
+	.C(n_44490),
+	.D(n_58130),
+	.Y(n_50760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813804 (
+	.A(n_50761),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_50762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813805 (
+	.A(n_69381),
+	.B(n_74891),
+	.C(n_58130),
+	.Y(n_50761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813806 (
+	.A(n_50761),
+	.Y(n_50763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g813811 (
+	.A(n_50771),
+	.Y(n_50772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813812 (
+	.A(n_50769),
+	.B(n_50770),
+	.Y(n_50771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813813 (
+	.A(n_69973),
+	.B(n_50768),
+	.Y(n_50769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813815 (
+	.A(n_67695),
+	.Y(n_50768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813816 (
+	.A(n_49248),
+	.B(n_67695),
+	.Y(n_50770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813830 (
+	.A(n_50785),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.Y(n_50787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813831 (
+	.A(n_67678),
+	.Y(n_50785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g813838 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.A2_N(n_67018),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_0 ),
+	.B2(n_67018),
+	.Y(n_50795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g813841 (
+	.A1(n_48752),
+	.A2(n_54782),
+	.B1(n_48753),
+	.Y(n_50798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813842 (
+	.A(n_32098),
+	.B(n_50798),
+	.Y(n_50800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813843 (
+	.A(n_50798),
+	.Y(n_50801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813844 (
+	.A(addinc_ADD_UNS_OP_2_n_652),
+	.B(n_71362),
+	.Y(n_50803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813851 (
+	.A(addinc_ADD_UNS_OP_2_n_1099),
+	.B(n_51248),
+	.Y(n_50810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813853 (
+	.A(n_51930),
+	.B(n_50811),
+	.Y(n_49833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813854 (
+	.A(n_51248),
+	.Y(n_50811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g813855 (
+	.A(n_50813),
+	.Y(n_50814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g813856 (
+	.A(n_53113),
+	.B(n_57260),
+	.Y(n_50813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813857 (
+	.A(n_50815),
+	.B(FE_DBTN9_n_69283),
+	.Y(n_50816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813858 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_605 ),
+	.B(n_33983),
+	.Y(n_50815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813863 (
+	.A(n_51375),
+	.B(n_49450),
+	.Y(n_50820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt813864 (
+	.A(n_50825),
+	.Y(n_50826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813865 (
+	.A(n_66897),
+	.B(n_50824),
+	.Y(n_50825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g813868 (
+	.A(n_50015),
+	.Y(n_50824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813869 (
+	.A(n_43747),
+	.B(n_66897),
+	.Y(n_50827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g813871 (
+	.A1(n_19031),
+	.A2(n_48514),
+	.B1(n_21552),
+	.X(n_50828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g813872 (
+	.A(n_24356),
+	.B(FE_DBTN5_n_70976),
+	.C(n_50828),
+	.Y(n_50830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813883 (
+	.A(n_17828),
+	.B(n_66412),
+	.Y(n_50842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813887 (
+	.A(n_50845),
+	.B(n_69235),
+	.Y(n_50846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g813888 (
+	.A1(n_69234),
+	.A2(n_30945),
+	.B1(n_51280),
+	.Y(n_50845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g813893 (
+	.A(n_52221),
+	.B_N(n_70261),
+	.Y(n_50852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813897 (
+	.A(n_37940),
+	.B(n_70261),
+	.Y(n_50856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813907 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_50501),
+	.C(n_55978),
+	.Y(n_50865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813909 (
+	.A(n_55238),
+	.B(n_48690),
+	.Y(n_50867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813910 (
+	.A(n_50869),
+	.B(n_47859),
+	.Y(n_50871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813911 (
+	.A(n_75235),
+	.B(n_45668),
+	.C(n_66086),
+	.Y(n_50869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813914 (
+	.A(n_55984),
+	.B(n_50873),
+	.Y(n_50874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813915 (
+	.A(n_54924),
+	.B(n_43588),
+	.Y(n_50873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813923 (
+	.A(n_50883),
+	.B(n_68242),
+	.Y(n_50885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813924 (
+	.A(n_50882),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_834),
+	.Y(n_50883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813925 (
+	.A(n_46660),
+	.B(n_68241),
+	.C(n_44970),
+	.Y(n_50882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813932 (
+	.A(n_45910),
+	.B(FE_DBTN109_n_68887),
+	.Y(n_50889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813934 (
+	.A(n_49929),
+	.B(n_50889),
+	.C(n_49930),
+	.Y(n_50892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g813935 (
+	.A(n_50894),
+	.B(n_45085),
+	.CI(n_38308),
+	.COUT(n_50895),
+	.SUM(n_50896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g813936 (
+	.A1(n_53367),
+	.A2(n_49893),
+	.B1(n_53368),
+	.B2(n_55856),
+	.Y(n_50894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813943 (
+	.A(n_71041),
+	.B(n_57973),
+	.Y(n_50903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813961 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_50921),
+	.Y(n_50922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813962 (
+	.A(n_50669),
+	.B(n_50668),
+	.Y(n_50921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813963 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_50923),
+	.Y(n_50924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g813964 (
+	.A(n_50668),
+	.B(n_50669),
+	.Y(n_50923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g813974 (
+	.A(n_66837),
+	.B(FE_DBTN56_n_49767),
+	.C(n_49770),
+	.Y(n_50933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g813975 (
+	.A1(n_50935),
+	.A2(n_45959),
+	.B1(n_48918),
+	.Y(n_50936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g813976 (
+	.A(n_50933),
+	.Y(n_50935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813977 (
+	.A(n_48918),
+	.B(n_50933),
+	.Y(n_50937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g813978 (
+	.A1(n_74852),
+	.A2(n_38344),
+	.B1(n_69364),
+	.Y(n_50938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813979 (
+	.A(n_50940),
+	.B(n_50941),
+	.Y(n_50942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813980 (
+	.A(FE_DBTN17_n_70953),
+	.B(n_50938),
+	.Y(n_50940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813982 (
+	.A(n_50600),
+	.B(n_74787),
+	.Y(n_50941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g813984 (
+	.A(FE_DBTN9_n_69283),
+	.B(n_50938),
+	.Y(n_50944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g813988 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_207),
+	.B(n_33887),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_187),
+	.Y(n_45201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g813989 (
+	.A(n_58676),
+	.B(n_53997),
+	.Y(addinc_ADD_UNS_OP_2_n_60), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814002 (
+	.A(n_52716),
+	.Y(n_50961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g814007 (
+	.A(n_49228),
+	.B(n_49229),
+	.Y(n_50966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814011 (
+	.A(n_51683),
+	.B(n_50971),
+	.Y(n_50972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814012 (
+	.A(n_50564),
+	.B(n_50344),
+	.Y(n_50971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814023 (
+	.A(n_56063),
+	.B(n_69692),
+	.Y(n_50983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g814028 (
+	.A1(FE_DBTN84_n_53113),
+	.A2(n_16832),
+	.B1(n_25417),
+	.Y(n_50987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814029 (
+	.A(n_70277),
+	.B(n_50989),
+	.Y(n_50990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814030 (
+	.A(FE_DBTN41_n_55284),
+	.B(n_53698),
+	.Y(n_50989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814031 (
+	.A(n_50992),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_515 ),
+	.Y(n_50993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814032 (
+	.A(n_65405),
+	.B(n_41160),
+	.Y(n_50992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814043 (
+	.A(n_69186),
+	.Y(n_51006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814051 (
+	.A1(n_48520),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_613 ),
+	.B1(n_66899),
+	.Y(n_51012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814055 (
+	.A(n_67663),
+	.Y(n_51013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g814059 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_613 ),
+	.B(n_48520),
+	.X(n_51017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814069 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_69212),
+	.Y(n_51031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814074 (
+	.A(n_69211),
+	.B(n_45181),
+	.Y(n_51034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814077 (
+	.A(n_69678),
+	.B(n_69692),
+	.Y(n_51037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g814086 (
+	.A(n_16135),
+	.B(n_15483),
+	.Y(n_46648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814097 (
+	.A(n_51060),
+	.B(n_51061),
+	.Y(n_51062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814098 (
+	.A(n_53112),
+	.B(n_51059),
+	.Y(n_51060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814100 (
+	.A(n_16886),
+	.Y(n_51059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814101 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_73561),
+	.Y(n_51061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt814114 (
+	.A(n_57258),
+	.Y(n_51076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814117 (
+	.A(n_51079),
+	.B(n_73811),
+	.Y(n_25152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814118 (
+	.A(soc_top_data_rdata[25]),
+	.B(n_51697),
+	.Y(n_51079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g814122 (
+	.A(n_70942),
+	.Y(n_35097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814133 (
+	.A(n_57260),
+	.B(n_41564),
+	.Y(n_51096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814136 (
+	.A(n_51097),
+	.B(n_41564),
+	.Y(n_51098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g814137 (
+	.A(n_71314),
+	.B(n_57260),
+	.X(n_51097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt814138 (
+	.A(n_51100),
+	.Y(n_51101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g814139 (
+	.A(n_51099),
+	.B_N(n_41564),
+	.Y(n_51100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814140 (
+	.A(n_57260),
+	.B(n_74936),
+	.Y(n_51099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g814145 (
+	.A(n_44271),
+	.B(n_15893),
+	.Y(n_51105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt814147 (
+	.A(n_51105),
+	.Y(n_51108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814148 (
+	.A(n_51111),
+	.Y(n_51112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814149 (
+	.A(FE_DBTN89_n_16220),
+	.B(n_51108),
+	.Y(n_51111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814171 (
+	.A(n_47959),
+	.B(n_67915),
+	.Y(n_51132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814172 (
+	.A(n_51132),
+	.B(n_44196),
+	.Y(n_51134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g814181 (
+	.A(n_25395),
+	.Y(n_51147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814182 (
+	.A(n_51144),
+	.B(n_66415),
+	.Y(n_25395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814183 (
+	.A(soc_top_data_rdata[14]),
+	.B(n_48272),
+	.Y(n_51144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814195 (
+	.A(n_51158),
+	.B(n_54507),
+	.Y(n_51159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814196 (
+	.A(n_49168),
+	.B(n_67882),
+	.Y(n_51158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g814199 (
+	.A(n_51622),
+	.B(n_54507),
+	.C(n_51158),
+	.Y(n_51160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814200 (
+	.A(n_60294),
+	.B(n_53299),
+	.Y(n_51164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814215 (
+	.A(n_50871),
+	.B(n_54890),
+	.Y(n_51179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814218 (
+	.A(n_51184),
+	.B(n_51185),
+	.Y(n_51186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814219 (
+	.A(n_66902),
+	.B(n_71103),
+	.Y(n_51184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814223 (
+	.A_N(n_71074),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1114 ),
+	.Y(n_51185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g814224 (
+	.A(n_71074),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1114 ),
+	.C(n_71103),
+	.X(n_51188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g814230 (
+	.A(n_50803),
+	.B_N(n_54912),
+	.Y(n_51194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814231 (
+	.A_N(n_74871),
+	.B(n_54912),
+	.Y(n_51195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g814236 (
+	.A(n_17928),
+	.B(n_40786),
+	.C(n_52169),
+	.D(n_17907),
+	.Y(n_51199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814237 (
+	.A(n_17679),
+	.B(n_51199),
+	.Y(n_51201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814240 (
+	.A(n_18653),
+	.B(n_53282),
+	.C(n_48670),
+	.Y(n_51202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814243 (
+	.A(n_18653),
+	.B(n_53282),
+	.C(n_48670),
+	.Y(n_51206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt814244 (
+	.A(n_51206),
+	.Y(n_51207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814245 (
+	.A(n_51210),
+	.B(n_47761),
+	.Y(n_51211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814246 (
+	.A(n_51761),
+	.B(n_47763),
+	.Y(n_51210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814247 (
+	.A(n_68780),
+	.Y(n_47763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814250 (
+	.A(n_74543),
+	.B(n_51214),
+	.Y(n_51215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814251 (
+	.A(n_52273),
+	.B(n_52270),
+	.C(n_45279),
+	.Y(n_51214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814252 (
+	.A(n_50892),
+	.B(n_51214),
+	.Y(n_51216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814253 (
+	.A(n_48059),
+	.B(n_51214),
+	.Y(n_51217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814261 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_173),
+	.B(n_68304),
+	.Y(n_51225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814270 (
+	.A(n_54794),
+	.B(n_56322),
+	.C(n_66086),
+	.Y(n_51233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g64_0 (
+	.A(n_54794),
+	.B(n_56322),
+	.C(n_66086),
+	.Y(n_51235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814275 (
+	.A(n_50874),
+	.B(n_56862),
+	.Y(n_51239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814281 (
+	.A(n_54920),
+	.Y(n_51244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814282 (
+	.A(FE_DBTN103_n_74843),
+	.B(n_66866),
+	.Y(n_51248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814284 (
+	.A(n_66866),
+	.B(FE_DBTN103_n_74843),
+	.C(n_66838),
+	.Y(n_51249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814287 (
+	.A(n_66516),
+	.B(n_51255),
+	.Y(n_51256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g814288 (
+	.A(n_58540),
+	.B(n_58026),
+	.Y(n_51255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814292 (
+	.A(n_48998),
+	.B(n_51255),
+	.Y(n_51257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814298 (
+	.A(n_51263),
+	.B(n_17444),
+	.C(n_57260),
+	.Y(n_51264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814299 (
+	.A(FE_DBTN84_n_53113),
+	.B(n_16864),
+	.Y(n_51263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814300 (
+	.A(n_52602),
+	.B(n_51267),
+	.Y(n_33312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814301 (
+	.A(n_52601),
+	.B(n_49637),
+	.Y(n_51267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814304 (
+	.A(n_44416),
+	.B(n_51269),
+	.Y(n_51270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814305 (
+	.A(n_29458),
+	.B(n_44294),
+	.Y(n_51269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814311 (
+	.A(n_17622),
+	.B(n_51276),
+	.C(n_57260),
+	.Y(n_51277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814312 (
+	.A(n_53112),
+	.B(n_51655),
+	.Y(n_51276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814313 (
+	.A(n_51279),
+	.B(n_69234),
+	.Y(n_51280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814314 (
+	.A(n_51278),
+	.Y(n_51279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814315 (
+	.A(n_37981),
+	.B(n_37982),
+	.Y(n_51278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814316 (
+	.A(n_51279),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_51281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814318 (
+	.A(n_51285),
+	.B(n_34304),
+	.Y(n_51286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814319 (
+	.A(addinc_ADD_UNS_OP_2_n_74),
+	.B(n_74834),
+	.Y(n_51285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt814320 (
+	.A(n_69939),
+	.Y(addinc_ADD_UNS_OP_2_n_74), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g814322 (
+	.A_N(n_34304),
+	.B(n_74835),
+	.Y(n_51288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814327 (
+	.A(n_51294),
+	.B(n_29727),
+	.Y(n_51295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814328 (
+	.A(n_50761),
+	.B(n_54235),
+	.Y(n_51294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814335 (
+	.A(n_49606),
+	.B(n_51300),
+	.Y(n_51303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt814337 (
+	.A(n_51300),
+	.Y(n_51301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814338 (
+	.A(n_44886),
+	.B(n_44884),
+	.Y(n_51300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814343 (
+	.A(n_58128),
+	.B(n_57728),
+	.C(n_74890),
+	.Y(n_51309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814349 (
+	.A(n_43584),
+	.Y(n_51312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814350 (
+	.A(n_73713),
+	.B(n_35587),
+	.Y(n_35591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814352 (
+	.A(n_51318),
+	.Y(n_51319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814353 (
+	.A(n_35591),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_51318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814356 (
+	.A(n_65142),
+	.B(n_51321),
+	.Y(n_51322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g814357 (
+	.A1(addinc_ADD_UNS_OP_2_n_945),
+	.A2(n_43960),
+	.B1(addinc_ADD_UNS_OP_2_n_925),
+	.Y(n_51321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g814374 (
+	.A(n_46314),
+	.B(n_45394),
+	.Y(n_51340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814379 (
+	.A(n_51347),
+	.B(n_51349),
+	.C(n_51350),
+	.Y(n_51351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814380 (
+	.A(n_51346),
+	.B(n_66428),
+	.Y(n_51347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814381 (
+	.A(n_68490),
+	.Y(n_51346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g81 (
+	.A(n_68490),
+	.B(n_51348),
+	.Y(n_51349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g814382 (
+	.A(n_66428),
+	.B_N(n_34418),
+	.Y(n_51348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814383 (
+	.A_N(n_34418),
+	.B(n_66428),
+	.Y(n_51350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814389 (
+	.A(n_51748),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_51356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814401 (
+	.A(n_51369),
+	.B(n_68881),
+	.Y(n_51370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814402 (
+	.A(n_71356),
+	.B(n_71355),
+	.Y(n_51369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814405 (
+	.A(n_52182),
+	.B(n_52565),
+	.Y(n_51375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814412 (
+	.A(n_53992),
+	.Y(n_51380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814415 (
+	.A(n_50669),
+	.Y(n_51382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814416 (
+	.A(n_50668),
+	.Y(n_51383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814417 (
+	.A(FE_DBTN21_n_69209),
+	.B(n_51382),
+	.C(n_51383),
+	.Y(n_51385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g814418 (
+	.A(n_73734),
+	.B(n_58124),
+	.C(n_44490),
+	.D(n_57721),
+	.Y(n_51387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g814424 (
+	.A(n_56770),
+	.Y(n_51389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814427 (
+	.A(n_58533),
+	.B(n_29458),
+	.Y(n_51394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g814432 (
+	.A1(n_73752),
+	.A2(n_74851),
+	.B1(n_51400),
+	.Y(n_51401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814433 (
+	.A(n_74852),
+	.B(n_26602),
+	.Y(n_51400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814437 (
+	.A(FE_DBTN17_n_70953),
+	.B(n_51401),
+	.Y(n_51405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814438 (
+	.A(FE_DBTN15_n_65952),
+	.B(n_51401),
+	.Y(n_51406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814439 (
+	.A(n_64064),
+	.B(n_51409),
+	.Y(n_51410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814440 (
+	.A(n_37575),
+	.B(n_17049),
+	.C(n_66904),
+	.Y(n_51409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g814444 (
+	.A(n_50085),
+	.B_N(n_51412),
+	.Y(n_51413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814445 (
+	.A(n_66904),
+	.Y(n_51412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g814453 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.B(n_51428),
+	.Y(n_51429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814454 (
+	.A(n_51424),
+	.B(n_51427),
+	.Y(n_51428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814455 (
+	.A(FE_DBTN47_n_66052),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_60 ),
+	.Y(n_51424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814457 (
+	.A1(n_31670),
+	.A2(FE_DBTN91_n_15483),
+	.B1(n_29512),
+	.Y(n_51427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814460 (
+	.A_N(FE_DBTN47_n_66052),
+	.B(n_29511),
+	.Y(n_51430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814461 (
+	.A(n_51433),
+	.B(n_47537),
+	.Y(n_51434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g814462 (
+	.A(n_47519),
+	.B(n_51432),
+	.C(n_50183),
+	.Y(n_51433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt814463 (
+	.A(n_51431),
+	.Y(n_51432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814464 (
+	.A(n_47839),
+	.B(n_50641),
+	.Y(n_51431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814465 (
+	.A(n_47519),
+	.B(n_51432),
+	.Y(n_51435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814468 (
+	.A(n_51439),
+	.B(n_36815),
+	.Y(n_51440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814469 (
+	.A(n_74062),
+	.B(n_51438),
+	.C(n_56062),
+	.Y(n_51439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814470 (
+	.A(n_35482),
+	.B(n_19051),
+	.Y(n_51438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814473 (
+	.A(n_51438),
+	.B(n_25304),
+	.Y(n_51443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814494 (
+	.A(n_44795),
+	.B(n_51467),
+	.C(n_44800),
+	.Y(n_51468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g814495 (
+	.A(n_70149),
+	.B(n_53615),
+	.Y(n_51467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g38 (
+	.A(n_51467),
+	.B(n_55772),
+	.Y(n_51469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814502 (
+	.A(n_51476),
+	.B(n_23862),
+	.Y(n_51477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814503 (
+	.A(n_51475),
+	.B(n_69692),
+	.Y(n_51476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g814504 (
+	.A1(n_70131),
+	.A2(n_19051),
+	.B1(n_51474),
+	.X(n_51475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g147 (
+	.A(n_19051),
+	.B(n_18903),
+	.Y(n_51474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814505 (
+	.A(n_51480),
+	.B(n_51482),
+	.Y(n_51483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814506 (
+	.A(n_70131),
+	.B(n_51479),
+	.Y(n_51480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g814507 (
+	.A(n_34198),
+	.B_N(n_19051),
+	.Y(n_51479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g814508 (
+	.A1(n_51481),
+	.A2(n_34198),
+	.B1(n_15928),
+	.X(n_51482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814509 (
+	.A(n_51474),
+	.Y(n_51481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g814510 (
+	.A1(n_47546),
+	.A2(n_51475),
+	.B1(n_16813),
+	.B2(n_16556),
+	.X(n_51485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g814520 (
+	.A1(n_73511),
+	.A2(FE_DBTN5_n_70976),
+	.B1(FE_DBTN79_n_19051),
+	.X(n_51498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814522 (
+	.A1(n_33668),
+	.A2(n_53263),
+	.B1(n_47566),
+	.Y(n_51494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814525 (
+	.A(FE_DBTN5_n_70976),
+	.B(n_73511),
+	.Y(n_51499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814528 (
+	.A(n_51500),
+	.B(n_51509),
+	.Y(n_51510), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814529 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ),
+	.Y(n_51500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g814530 (
+	.A1(n_51503),
+	.A2(n_51507),
+	.B1(n_51508),
+	.Y(n_51509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g562 (
+	.A(n_51501),
+	.B(n_51502),
+	.Y(n_51503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g814531 (
+	.A(n_38269),
+	.Y(n_51501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814532 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1740 ),
+	.Y(n_51502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814533 (
+	.A1(n_51504),
+	.A2(n_51505),
+	.B1(n_51506),
+	.Y(n_51507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814534 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1248 ),
+	.Y(n_51504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814535 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_843 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ),
+	.Y(n_51505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814536 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_843 ),
+	.Y(n_51506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814537 (
+	.A(n_51502),
+	.B(n_51501),
+	.Y(n_51508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g540 (
+	.A(n_51509),
+	.Y(n_51512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g814540 (
+	.A1_N(n_51512),
+	.A2_N(n_51500),
+	.B1(n_51512),
+	.B2(n_51500),
+	.Y(n_51516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g567 (
+	.A(n_51501),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1740 ),
+	.Y(n_51521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g557 (
+	.A(n_38269),
+	.B(n_51502),
+	.Y(n_51522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814546 (
+	.A1(n_51534),
+	.A2(n_51537),
+	.B1(n_51538),
+	.Y(n_51539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g814547 (
+	.A(n_74476),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ),
+	.Y(n_51534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814553 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1721 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.Y(n_51537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g558 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1721 ),
+	.Y(n_51538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g814558 (
+	.A1_N(n_51541),
+	.A2_N(n_67735),
+	.B1(n_51541),
+	.B2(n_67735),
+	.Y(n_51545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814559 (
+	.A(n_74476),
+	.Y(n_51541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g814574 (
+	.A(n_68551),
+	.B(n_54252),
+	.C(addinc_ADD_UNS_OP_2_n_603),
+	.Y(n_51566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt814579 (
+	.A(n_51567),
+	.Y(n_44846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814580 (
+	.A(n_68551),
+	.B(n_54252),
+	.Y(n_51567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814582 (
+	.A(n_44846),
+	.B(n_47596),
+	.Y(n_47604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814588 (
+	.A(n_51579),
+	.B(n_50933),
+	.Y(n_51581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt814590 (
+	.A(n_69143),
+	.Y(n_51579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814593 (
+	.A(n_57976),
+	.B(n_51579),
+	.Y(n_41136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g814595 (
+	.A(n_37422),
+	.B_N(n_51584),
+	.Y(n_51585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814597 (
+	.A(n_51586),
+	.B(n_51584),
+	.Y(n_51587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814602 (
+	.A(FE_DBTN83_n_69234),
+	.B(n_51592),
+	.Y(n_51593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814603 (
+	.A(n_51591),
+	.B(n_49662),
+	.C(n_57260),
+	.Y(n_51592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814604 (
+	.A(n_53113),
+	.B(n_49670),
+	.Y(n_51591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814605 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_51594),
+	.Y(n_51595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814606 (
+	.A(n_51592),
+	.Y(n_51594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814607 (
+	.A(FE_DBTN21_n_69209),
+	.B(n_51594),
+	.Y(n_51596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814608 (
+	.A(n_17548),
+	.B(n_51594),
+	.Y(n_51597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814615 (
+	.A(n_74802),
+	.B(n_54354),
+	.Y(n_51606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814618 (
+	.A(n_51611),
+	.Y(n_36875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814619 (
+	.A(n_31180),
+	.B(n_48939),
+	.Y(n_51611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814625 (
+	.A(n_68801),
+	.B(FE_DBTN73_n_31180),
+	.Y(n_51614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814628 (
+	.A(n_51845),
+	.Y(n_51619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814631 (
+	.A(n_49174),
+	.Y(n_51622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814635 (
+	.A(n_67736),
+	.B(n_51626),
+	.Y(n_51627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g814637 (
+	.A1(n_55083),
+	.A2(n_47052),
+	.B1_N(n_50275),
+	.Y(n_51626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814640 (
+	.A(n_56308),
+	.B(n_51629),
+	.Y(n_51630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814641 (
+	.A_N(n_48491),
+	.B(n_55083),
+	.Y(n_51629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g814647 (
+	.A(FE_DBTN46_n_66808),
+	.B(n_46139),
+	.C(n_45932),
+	.Y(n_51636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814651 (
+	.A(n_57360),
+	.Y(n_51640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g814656 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.Y(n_51647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814657 (
+	.A(n_36768),
+	.B(n_51645),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814658 (
+	.A(n_48231),
+	.B(n_23875),
+	.Y(n_51645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g814660 (
+	.A(n_51645),
+	.Y(n_51648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814661 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
+	.B(n_51648),
+	.Y(n_51651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g814663 (
+	.A1(n_15570),
+	.A2(n_51645),
+	.B1_N(n_15672),
+	.Y(n_51654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g814665 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_51645),
+	.B1(n_16851),
+	.Y(n_51655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g814666 (
+	.A(n_51645),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_70 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ),
+	.X(n_51656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814667 (
+	.A(n_51645),
+	.B(n_33467),
+	.Y(n_51657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g814668 (
+	.A1(n_51645),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ),
+	.B1(n_51648),
+	.B2(n_67060),
+	.C1(n_4177),
+	.Y(n_51659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g814670 (
+	.A0(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.A1(n_51645),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.Y(n_51660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g814671 (
+	.A1(n_1918),
+	.A2(n_51645),
+	.B1(n_1255),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.Y(n_51661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g814673 (
+	.A(n_51664),
+	.Y(n_51665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814674 (
+	.A(n_51648),
+	.B(n_33471),
+	.Y(n_51664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt814675 (
+	.A(n_33467),
+	.Y(n_33471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814683 (
+	.A(n_51672),
+	.Y(n_51673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814684 (
+	.A(n_44368),
+	.B(n_69235),
+	.Y(n_51672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814692 (
+	.A(n_66914),
+	.B(n_74826),
+	.Y(n_51683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814708 (
+	.A(n_51698),
+	.B(n_47055),
+	.Y(n_51700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814709 (
+	.A(soc_top_data_rdata[30]),
+	.B(n_51697),
+	.Y(n_51698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g814713 (
+	.A1(n_51698),
+	.A2(n_47055),
+	.B1_N(n_47052),
+	.X(n_51703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g814719 (
+	.A1(n_54065),
+	.A2(n_54235),
+	.B1(n_54171),
+	.X(n_51709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814722 (
+	.A(n_54065),
+	.B(n_54235),
+	.Y(n_51711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g814727 (
+	.A(n_50697),
+	.B(n_51721),
+	.C(n_44490),
+	.Y(n_51715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814729 (
+	.A(n_51721),
+	.B(n_50697),
+	.Y(n_51719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814731 (
+	.A(n_18361),
+	.B(n_51721),
+	.Y(n_51722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814739 (
+	.A(n_51730),
+	.B(n_44490),
+	.Y(n_51731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814740 (
+	.A(n_56033),
+	.Y(n_51730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814741 (
+	.A(n_57491),
+	.B(n_51733),
+	.Y(n_35635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt117 (
+	.A(addinc_ADD_UNS_OP_2_n_51),
+	.Y(n_51733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814747 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2146 ),
+	.B(n_51741),
+	.Y(n_51742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814748 (
+	.A(n_54822),
+	.B(n_68095),
+	.Y(n_51741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814754 (
+	.A(n_50987),
+	.B(n_51746),
+	.Y(n_51747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814755 (
+	.A(n_53112),
+	.B(n_16918),
+	.Y(n_51746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814756 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_51748),
+	.Y(n_51749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814757 (
+	.A(n_51747),
+	.Y(n_51748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814758 (
+	.A(n_51748),
+	.B(n_17548),
+	.Y(n_51750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814769 (
+	.A(n_53522),
+	.Y(n_51761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814770 (
+	.A(n_68780),
+	.B(n_53522),
+	.Y(n_51762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814774 (
+	.A(n_51766),
+	.B(n_51767),
+	.Y(n_51768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g814775 (
+	.A1_N(n_74834),
+	.A2_N(n_69939),
+	.B1(n_74834),
+	.B2(n_69939),
+	.Y(n_51766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g814777 (
+	.A(FE_DBTN107_n_51159),
+	.B(n_51622),
+	.X(n_51767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814778 (
+	.A(n_69119),
+	.B(n_51768),
+	.Y(n_51770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814791 (
+	.A(n_52163),
+	.Y(n_51785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814799 (
+	.A(FE_DBTN105_n_49226),
+	.B(n_50966),
+	.Y(n_51788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814807 (
+	.A(n_51801),
+	.Y(n_51802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814808 (
+	.A(n_56802),
+	.B(FE_DBTN45_n_51788),
+	.Y(n_51801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814813 (
+	.A(n_51809),
+	.B(n_51217),
+	.Y(n_51810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g814814 (
+	.A(n_51806),
+	.B(n_51808),
+	.Y(n_51809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814815 (
+	.A(n_47199),
+	.Y(n_51806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814816 (
+	.A(n_74543),
+	.B(n_45002),
+	.Y(n_51808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814818 (
+	.A(n_46064),
+	.B(n_51813),
+	.Y(n_51814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g814819 (
+	.A1(n_67738),
+	.A2(n_46041),
+	.B1(n_51812),
+	.X(n_51813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814821 (
+	.A(n_46041),
+	.B(n_67738),
+	.Y(n_51812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g814822 (
+	.A(n_46062),
+	.B(n_46060),
+	.C(n_51815),
+	.Y(n_51816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814823 (
+	.A1(n_67738),
+	.A2(n_46041),
+	.B1(n_51812),
+	.Y(n_51815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814826 (
+	.A(n_51813),
+	.B(n_46061),
+	.Y(n_51819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814829 (
+	.A(n_51821),
+	.B(n_17876),
+	.C(n_17800),
+	.Y(n_51822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814830 (
+	.A(n_17788),
+	.B(FE_DBTN21_n_69209),
+	.Y(n_51821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814831 (
+	.A(n_17844),
+	.B(n_51822),
+	.Y(n_51826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt814833 (
+	.A(n_51822),
+	.Y(n_51824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814835 (
+	.A(n_51830),
+	.B(FE_DBTN96_n_57342),
+	.Y(n_51831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g814836 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.B(n_51829),
+	.Y(n_51830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814838 (
+	.A(n_36531),
+	.B(n_34173),
+	.Y(n_51829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814846 (
+	.A(n_50506),
+	.B(n_51385),
+	.Y(n_51836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814852 (
+	.A(n_54141),
+	.B(n_58970),
+	.Y(n_51845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814853 (
+	.A(n_58528),
+	.B(n_44992),
+	.Y(n_51849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g814863 (
+	.A(n_54102),
+	.Y(n_51854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814868 (
+	.A(n_55253),
+	.B(n_46794),
+	.Y(n_51861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814871 (
+	.A(FE_DBTN108_n_46794),
+	.B(n_55253),
+	.Y(n_51865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814875 (
+	.A(n_14410),
+	.B(n_51831),
+	.Y(n_51868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g814877 (
+	.A(n_13156),
+	.B(n_67949),
+	.C(n_51873),
+	.Y(n_51874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g814878 (
+	.A_N(n_34627),
+	.B(n_69622),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(n_51873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814880 (
+	.A(n_69619),
+	.B(n_51875),
+	.Y(n_51876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g814881 (
+	.A_N(FE_DBTN11_n_68980),
+	.B(n_69622),
+	.Y(n_51875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814888 (
+	.A(n_51882),
+	.B(n_53193),
+	.Y(n_51883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814889 (
+	.A(n_47305),
+	.B(n_54405),
+	.Y(n_51882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814890 (
+	.A(n_71341),
+	.B(n_51885),
+	.Y(n_51886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g35_0 (
+	.A(n_47305),
+	.B(n_54405),
+	.Y(n_51885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814892 (
+	.A(n_51882),
+	.Y(n_51888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814893 (
+	.A(n_51892),
+	.B(n_66917),
+	.Y(n_51895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g814894 (
+	.A1(n_51890),
+	.A2(n_51891),
+	.B1(n_39699),
+	.Y(n_51892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814895 (
+	.A(n_74000),
+	.Y(n_51890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814897 (
+	.A(n_70123),
+	.B(n_70240),
+	.Y(n_51891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g814900 (
+	.A(n_54516),
+	.B(n_51898),
+	.Y(n_51899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814902 (
+	.A(n_50261),
+	.B(n_70562),
+	.Y(n_51898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814907 (
+	.A(n_65405),
+	.B(n_65945),
+	.Y(n_51904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814909 (
+	.A(n_65945),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_51905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814915 (
+	.A(n_12848),
+	.Y(n_51907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814920 (
+	.A(n_35867),
+	.B(n_51916),
+	.Y(n_51917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814921 (
+	.A(n_65405),
+	.B(n_30583),
+	.Y(n_51916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814922 (
+	.A(n_51920),
+	.B(n_51922),
+	.Y(n_51923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814923 (
+	.A_N(n_62384),
+	.B(n_65952),
+	.Y(n_51920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814925 (
+	.A(FE_DBTN41_n_55284),
+	.B(n_51917),
+	.Y(n_51922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814933 (
+	.A(n_51930),
+	.Y(n_51931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814934 (
+	.A(n_51929),
+	.B(n_46379),
+	.Y(n_51930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814935 (
+	.A(n_37367),
+	.B(n_37368),
+	.Y(n_51929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g814939 (
+	.A(n_51929),
+	.Y(n_51936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814942 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1696 ),
+	.B(n_51939),
+	.Y(n_51940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814943 (
+	.A(n_68264),
+	.B(n_68980),
+	.Y(n_51939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814944 (
+	.A(n_68264),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_51941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814950 (
+	.A(n_68328),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_51946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814955 (
+	.A(n_67740),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_51952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814956 (
+	.A(n_73188),
+	.B(n_53416),
+	.Y(n_51959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g814958 (
+	.A(n_51954),
+	.B(n_51956),
+	.Y(n_51957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814959 (
+	.A(n_51953),
+	.B(n_53192),
+	.Y(n_51954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g814960 (
+	.A(n_13485),
+	.Y(n_51953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g814961 (
+	.A(n_13485),
+	.B(n_53193),
+	.Y(n_51956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g814968 (
+	.A(n_51957),
+	.B(n_63318),
+	.Y(n_51965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g814999 (
+	.A_N(n_70004),
+	.B(n_58679),
+	.Y(n_51998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815032 (
+	.A(n_52038),
+	.Y(n_52039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815033 (
+	.A(n_69321),
+	.B(n_52037),
+	.Y(n_52038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815037 (
+	.A(n_13660),
+	.Y(n_52037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815038 (
+	.A(n_64744),
+	.B(n_69321),
+	.Y(n_52040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815042 (
+	.A(n_73316),
+	.B(n_73315),
+	.Y(n_37524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815043 (
+	.A(n_67572),
+	.B(n_52046),
+	.Y(n_52047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815044 (
+	.A(n_44171),
+	.B(n_66684),
+	.Y(n_52046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815050 (
+	.A(n_52052),
+	.B(n_49479),
+	.Y(n_52053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815051 (
+	.A(n_51295),
+	.B(n_47620),
+	.Y(n_52052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815052 (
+	.A(n_52053),
+	.B(n_67697),
+	.Y(n_52055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815053 (
+	.A(n_52056),
+	.Y(n_52057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815054 (
+	.A(n_52052),
+	.B(n_49479),
+	.Y(n_52056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815055 (
+	.A(n_52052),
+	.B(n_49479),
+	.C(n_49481),
+	.Y(n_52058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815058 (
+	.A(n_48874),
+	.B(n_69997),
+	.Y(n_52059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g815068 (
+	.A(n_59578),
+	.B(n_50922),
+	.C(n_33806),
+	.Y(n_52069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815080 (
+	.A(n_49629),
+	.B(n_70601),
+	.Y(n_52084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g815086 (
+	.A_N(n_52091),
+	.B(n_52092),
+	.Y(n_52093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815088 (
+	.A(n_58975),
+	.B(n_58703),
+	.C(n_44490),
+	.Y(n_52092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815089 (
+	.A(n_49834),
+	.B(n_74477),
+	.Y(n_52097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g815091 (
+	.A1(addinc_ADD_UNS_OP_2_n_1021),
+	.A2(n_54532),
+	.B1(addinc_ADD_UNS_OP_2_n_1855),
+	.Y(n_52094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815096 (
+	.A(n_47355),
+	.B(n_55250),
+	.Y(n_52103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815104 (
+	.A(n_52107),
+	.B(n_52108),
+	.Y(n_52109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815105 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ),
+	.Y(n_52107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815106 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1922 ),
+	.Y(n_52108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815122 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1710),
+	.B(n_54324),
+	.Y(n_52129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815127 (
+	.A(n_49932),
+	.B(n_70601),
+	.Y(n_52131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815128 (
+	.A(n_66920),
+	.B(n_52131),
+	.Y(n_52135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815132 (
+	.A(n_50810),
+	.B(n_48078),
+	.Y(n_52137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g815133 (
+	.A1(n_52137),
+	.A2(FE_DBTN58_n_48855),
+	.B1_N(n_48789),
+	.Y(n_52139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815140 (
+	.A(n_51768),
+	.B(n_52151),
+	.Y(n_52152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815141 (
+	.A(n_52150),
+	.B(n_48631),
+	.Y(n_52151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815142 (
+	.A(n_52146),
+	.B(n_74836),
+	.Y(n_52150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815143 (
+	.A(addinc_ADD_UNS_OP_2_n_74),
+	.B(n_74834),
+	.Y(n_52146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815148 (
+	.A(n_69412),
+	.Y(n_52155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815150 (
+	.A(n_52159),
+	.B(n_49248),
+	.Y(n_52160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815151 (
+	.A(n_69971),
+	.B(n_69972),
+	.Y(n_52159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815156 (
+	.A(n_69972),
+	.B(n_69971),
+	.Y(n_52163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815157 (
+	.A(n_52165),
+	.B(n_17548),
+	.Y(n_52166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815158 (
+	.A(n_52164),
+	.B(n_68917),
+	.Y(n_52165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815159 (
+	.A(n_50814),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [15]),
+	.Y(n_52164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815160 (
+	.A(n_52165),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_52167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815161 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_52165),
+	.Y(n_52168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815162 (
+	.A(n_52165),
+	.B(FE_DBTN21_n_69209),
+	.Y(n_52169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815163 (
+	.A(n_33915),
+	.B(n_52172),
+	.Y(n_52173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815164 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1783),
+	.B(n_52171),
+	.Y(n_52172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815167 (
+	.A(n_52172),
+	.B(n_52174),
+	.Y(n_52175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815168 (
+	.A(n_69179),
+	.B(n_53720),
+	.Y(n_52174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815172 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1783),
+	.B(n_69179),
+	.Y(n_52179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815173 (
+	.A(n_56879),
+	.B(n_70093),
+	.C(n_44490),
+	.Y(n_52182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815182 (
+	.A(n_43061),
+	.B(n_67783),
+	.Y(n_52186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815185 (
+	.A(n_67783),
+	.B(n_43061),
+	.Y(n_52190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g815190 (
+	.A_N(n_68446),
+	.B(n_43061),
+	.C(n_67783),
+	.Y(n_52196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815192 (
+	.A(n_43061),
+	.B(n_68446),
+	.C(n_43064),
+	.Y(n_52200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815193 (
+	.A(n_67783),
+	.Y(n_43064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815210 (
+	.A(n_52216),
+	.B(addinc_ADD_UNS_OP_2_n_327),
+	.Y(n_52217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815211 (
+	.A(FE_DBTN27_n_56862),
+	.B(n_70038),
+	.Y(n_52216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815212 (
+	.A(FE_DBTN44_n_52217),
+	.B(n_45711),
+	.Y(n_52221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815214 (
+	.A(n_52216),
+	.B(n_39700),
+	.Y(n_52222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g815233 (
+	.A1(n_45964),
+	.A2(n_74919),
+	.B1_N(n_51579),
+	.Y(n_52245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815238 (
+	.A(n_45964),
+	.B(n_74919),
+	.Y(n_52246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815243 (
+	.A(n_46886),
+	.B(n_46887),
+	.C(n_46888),
+	.Y(n_52249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815250 (
+	.A(n_66464),
+	.Y(n_52258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt815256 (
+	.A(n_52265),
+	.Y(n_52266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815257 (
+	.A(n_74587),
+	.B(n_74043),
+	.Y(n_52265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g815260 (
+	.A_N(n_52265),
+	.B(n_68403),
+	.Y(n_52270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815262 (
+	.A(FE_DBTN13_n_68403),
+	.B(n_52266),
+	.Y(n_52271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815263 (
+	.A(FE_DBTN13_n_68403),
+	.B(n_52265),
+	.Y(n_52273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815272 (
+	.A(n_53823),
+	.B(n_54993),
+	.Y(n_52284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt815274 (
+	.A(n_52281),
+	.Y(n_52282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815275 (
+	.A(n_50924),
+	.B(n_50865),
+	.Y(n_52281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815300 (
+	.A(n_66780),
+	.Y(n_52311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815303 (
+	.A(n_63967),
+	.B(n_53516),
+	.Y(n_52314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815306 (
+	.A(n_44490),
+	.B(n_51270),
+	.Y(n_52316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815307 (
+	.A(n_56005),
+	.B(n_49449),
+	.Y(n_52317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815309 (
+	.A(n_54997),
+	.B(n_56005),
+	.Y(n_52318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815310 (
+	.A(n_52320),
+	.B(n_52321),
+	.Y(addinc_ADD_UNS_OP_2_n_51), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815311 (
+	.A(n_48690),
+	.B(n_56005),
+	.Y(n_52320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g815312 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_56005),
+	.B1(n_74908),
+	.X(n_52321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815314 (
+	.A(n_54235),
+	.B(n_63953),
+	.Y(n_52325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815324 (
+	.A(n_52565),
+	.Y(n_52091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815336 (
+	.A(n_54417),
+	.B(n_54396),
+	.Y(n_52348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815337 (
+	.A(n_54417),
+	.B(n_43090),
+	.Y(n_52350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815345 (
+	.A(n_73559),
+	.B(n_70144),
+	.Y(n_52354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815347 (
+	.A_N(n_68354),
+	.B(n_51410),
+	.Y(n_52361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g615 (
+	.A0(n_34173),
+	.A1(n_36026),
+	.S(n_68353),
+	.X(n_52365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815351 (
+	.A(n_68353),
+	.B(n_50085),
+	.Y(n_52366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815352 (
+	.A(n_57316),
+	.B(n_43373),
+	.C(n_52373),
+	.Y(n_52374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g815353 (
+	.A(n_52370),
+	.B(n_52372),
+	.Y(n_52373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815354 (
+	.A(n_52369),
+	.Y(n_52370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815355 (
+	.A(n_52367),
+	.B(n_52368),
+	.Y(n_52369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815356 (
+	.A(n_43374),
+	.B(n_43375),
+	.Y(n_52367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815357 (
+	.A(n_70058),
+	.B(n_34577),
+	.Y(n_52368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815358 (
+	.A(n_52371),
+	.Y(n_52372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815359 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_198 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_199 ),
+	.Y(n_52371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815360 (
+	.A(n_52369),
+	.B(n_43371),
+	.Y(n_52375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815362 (
+	.A(n_52371),
+	.B(n_62746),
+	.Y(n_52377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g1295 (
+	.A(n_68953),
+	.B(n_68956),
+	.Y(n_52405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g1303 (
+	.A(n_52398),
+	.Y(n_52399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g1304 (
+	.A(n_52397),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1494 ),
+	.X(n_52398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g1308 (
+	.A(n_36626),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1560 ),
+	.Y(n_52397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g1297 (
+	.A1(n_17678),
+	.A2(n_71059),
+	.B1(n_52398),
+	.X(n_52403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt1320 (
+	.A(n_68955),
+	.Y(n_52411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815375 (
+	.A(n_68246),
+	.B(n_66422),
+	.C(n_52419),
+	.Y(n_52420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g289 (
+	.A(n_50261),
+	.B(FE_DBTN37_n_54235),
+	.Y(n_52413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815377 (
+	.A(n_46598),
+	.B(n_52565),
+	.Y(n_52414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815379 (
+	.A(n_43090),
+	.B(n_46610),
+	.Y(n_52416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815380 (
+	.A(n_50261),
+	.B(n_69182),
+	.Y(n_52419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815417 (
+	.A(n_14464),
+	.B(n_14455),
+	.Y(n_52455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815418 (
+	.A(n_69281),
+	.B(n_68980),
+	.Y(n_52468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g815424 (
+	.A(n_69281),
+	.Y(n_52469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815426 (
+	.A(n_54623),
+	.B(n_36126),
+	.Y(n_52471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815431 (
+	.A(n_52479),
+	.Y(n_52480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815432 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_52455),
+	.Y(n_52479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g815434 (
+	.A1_N(n_52898),
+	.A2_N(n_52455),
+	.B1(n_52455),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1376 ),
+	.Y(n_52483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815435 (
+	.A(n_49822),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_52484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815436 (
+	.A(FE_DBTN11_n_68980),
+	.B(n_52455),
+	.Y(n_52488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815443 (
+	.A(FE_DBTN43_n_52455),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_52493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815444 (
+	.A(n_64744),
+	.B(n_68305),
+	.Y(n_52498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g815446 (
+	.A1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.A2_N(n_68602),
+	.B1(FE_DBTN53_n_49347),
+	.B2(n_68329),
+	.Y(n_52494), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815448 (
+	.A(n_67629),
+	.B(n_47235),
+	.Y(n_52496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815450 (
+	.A(n_52507),
+	.B(addinc_ADD_UNS_OP_2_n_1689),
+	.Y(n_52508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815451 (
+	.A(n_52504),
+	.B(n_56870),
+	.Y(n_52507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g815452 (
+	.A1(FE_DBTN51_n_52672),
+	.A2(n_35444),
+	.B1(n_74820),
+	.Y(n_52504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815456 (
+	.A(n_51160),
+	.B(n_44851),
+	.Y(n_52505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815459 (
+	.A(n_52504),
+	.B(n_51160),
+	.Y(n_52511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815468 (
+	.A(n_52518),
+	.B(n_48480),
+	.Y(n_52520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt353 (
+	.A(n_52517),
+	.Y(n_52518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g815469 (
+	.A(n_67904),
+	.B(n_48467),
+	.X(n_52517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815470 (
+	.A(n_67712),
+	.B(n_50317),
+	.Y(n_48480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 g815472 (
+	.A(n_52522),
+	.B(n_52523),
+	.CIN(n_52525),
+	.COUT(UNCONNECTED318),
+	.SUM(n_52526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt815473 (
+	.A(n_73057),
+	.Y(n_52522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815474 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1855 ),
+	.Y(n_52523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g815475 (
+	.A(n_71080),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_279 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1309 ),
+	.X(n_52525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815478 (
+	.A(n_48480),
+	.Y(n_52528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g277 (
+	.A(n_52546),
+	.B(n_52547),
+	.Y(n_52548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815479 (
+	.A(n_48462),
+	.B(n_52545),
+	.Y(n_52546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815481 (
+	.A(n_52544),
+	.Y(n_52545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g815482 (
+	.A1(n_52541),
+	.A2(n_73144),
+	.B1(n_52543),
+	.Y(n_52544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815483 (
+	.A(n_66926),
+	.B(n_52540),
+	.Y(n_52541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815487 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1855 ),
+	.B(n_73057),
+	.Y(n_52540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815489 (
+	.A(n_74479),
+	.B(n_52520),
+	.C(n_52541),
+	.Y(n_52543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g279 (
+	.A(n_48461),
+	.B(n_52544),
+	.Y(n_52547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815495 (
+	.A(n_52559),
+	.B(n_48408),
+	.Y(n_52560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g815496 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1309 ),
+	.A2(n_52557),
+	.B1(n_52558),
+	.X(n_52559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815497 (
+	.A(n_52556),
+	.B(n_71080),
+	.Y(n_52557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815498 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_279 ),
+	.Y(n_52556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815499 (
+	.A(n_71080),
+	.B(n_52556),
+	.Y(n_52558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815500 (
+	.A(n_52559),
+	.B(n_73056),
+	.Y(n_52561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815501 (
+	.A(n_52559),
+	.Y(n_52562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815504 (
+	.A(n_54004),
+	.B(n_44490),
+	.Y(n_52565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815507 (
+	.A(n_54941),
+	.B(FE_DBTN37_n_54235),
+	.Y(n_52566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g78 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_54815),
+	.B1(n_52565),
+	.Y(n_52569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815513 (
+	.A(n_66409),
+	.B(n_52577),
+	.Y(n_52578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815515 (
+	.A(n_56275),
+	.B(n_59263),
+	.Y(n_52577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815519 (
+	.A(n_52581),
+	.Y(n_52582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815520 (
+	.A(n_52580),
+	.B(n_52579),
+	.Y(n_52581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815521 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_51279),
+	.Y(n_52579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815522 (
+	.A(n_31540),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_52580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815523 (
+	.A(n_17788),
+	.B(n_69997),
+	.Y(n_52583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815529 (
+	.A(n_52595),
+	.B(n_57955),
+	.C(n_52597),
+	.Y(n_52598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815531 (
+	.A(n_54443),
+	.Y(n_52595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815534 (
+	.A(n_49776),
+	.B(n_66395),
+	.Y(n_52593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815536 (
+	.A(n_52596),
+	.Y(n_52597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815537 (
+	.A(n_42805),
+	.B(n_42803),
+	.Y(n_52596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815538 (
+	.A(n_57955),
+	.B(n_54447),
+	.Y(n_52601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815540 (
+	.A(n_57955),
+	.B(n_52595),
+	.C(n_49638),
+	.Y(n_52602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815543 (
+	.A_N(n_42833),
+	.B(n_52597),
+	.Y(n_52607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815545 (
+	.A(n_52596),
+	.B(n_42833),
+	.Y(n_52608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815551 (
+	.A(n_56802),
+	.B(n_55741),
+	.Y(n_52617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815552 (
+	.A_N(n_52618),
+	.B(addinc_ADD_UNS_OP_2_n_1970),
+	.Y(n_52620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt815553 (
+	.A(n_72050),
+	.Y(n_52618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815555 (
+	.A(n_52618),
+	.B(addinc_ADD_UNS_OP_2_n_528),
+	.Y(n_52621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g815556 (
+	.A1(n_52618),
+	.A2(n_40177),
+	.B1(addinc_ADD_UNS_OP_2_n_1970),
+	.C1(addinc_ADD_UNS_OP_2_n_1182),
+	.Y(n_52622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815559 (
+	.A(n_55738),
+	.B(n_56794),
+	.Y(n_52626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt815564 (
+	.A(n_55522),
+	.Y(n_52632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g815565 (
+	.A1_N(n_52633),
+	.A2_N(n_55522),
+	.B1(n_52633),
+	.B2(n_55522),
+	.Y(n_52634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt159 (
+	.A(n_73831),
+	.Y(n_52633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815573 (
+	.A(n_52314),
+	.B(n_70562),
+	.Y(n_52640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt815584 (
+	.A(n_55017),
+	.Y(n_52650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815598 (
+	.A(n_57402),
+	.B(addinc_ADD_UNS_OP_2_n_303),
+	.Y(n_52670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815599 (
+	.A(n_74814),
+	.B(n_74815),
+	.Y(n_52671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815600 (
+	.A(n_74816),
+	.B(n_74813),
+	.Y(n_52672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815603 (
+	.A(n_52682),
+	.B(n_52683),
+	.C(n_69040),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815604 (
+	.A(n_52681),
+	.B(n_44490),
+	.Y(n_52682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g271 (
+	.A(n_56011),
+	.Y(n_52681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815609 (
+	.A(n_56011),
+	.B(n_70562),
+	.Y(n_52683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815615 (
+	.A(n_52696),
+	.B(n_52697),
+	.Y(n_52698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815616 (
+	.A(n_52691),
+	.B(n_52695),
+	.Y(n_52696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815617 (
+	.A(n_35360),
+	.B(n_69204),
+	.Y(n_52691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815618 (
+	.A_N(n_52693),
+	.B(n_67750),
+	.Y(n_52695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g815619 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ),
+	.B(n_52692),
+	.X(n_52693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g815620 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1946 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2156 ),
+	.X(n_52692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815622 (
+	.A_N(n_67750),
+	.B(n_52693),
+	.Y(n_52697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815623 (
+	.A(n_52691),
+	.B(n_52700),
+	.Y(n_52701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815624 (
+	.A(n_52699),
+	.Y(n_52700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g815625 (
+	.A(n_67750),
+	.B(n_52693),
+	.Y(n_52699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815626 (
+	.A(n_52702),
+	.B(n_52699),
+	.Y(n_52703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815627 (
+	.A(n_52691),
+	.Y(n_52702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815631 (
+	.A(n_52704),
+	.B(n_52705),
+	.Y(n_52706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815632 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2156 ),
+	.Y(n_52704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815633 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1946 ),
+	.Y(n_52705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815637 (
+	.A(n_66928),
+	.B(n_52715),
+	.Y(n_52716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815640 (
+	.A(n_54100),
+	.B(n_52710),
+	.Y(n_52715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g448 (
+	.A(n_74544),
+	.B(n_67752),
+	.Y(n_43598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g461 (
+	.A(n_43480),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1893 ),
+	.Y(n_52717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815642 (
+	.A(n_43482),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1822 ),
+	.Y(n_52718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815650 (
+	.A(n_43482),
+	.B(n_43480),
+	.Y(n_52731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815660 (
+	.A(n_55282),
+	.B(n_35437),
+	.Y(n_52742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815663 (
+	.A(n_45242),
+	.Y(n_50662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815664 (
+	.A(n_52745),
+	.B(n_52746),
+	.C(n_52747),
+	.Y(n_45242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g171 (
+	.A(n_58080),
+	.B(n_74899),
+	.Y(n_52745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815665 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_63),
+	.B(n_49871),
+	.Y(n_52746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815666 (
+	.A(n_33941),
+	.B(n_45885),
+	.Y(n_52747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g815668 (
+	.A(n_52755),
+	.B(n_54089),
+	.C(n_69040),
+	.Y(n_52756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815669 (
+	.A(n_65896),
+	.B(n_70562),
+	.Y(n_52755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815670 (
+	.A_N(addinc_ADD_UNS_OP_2_n_335),
+	.B(n_52761),
+	.Y(n_52762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815672 (
+	.A(n_52761),
+	.Y(n_52760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g815675 (
+	.A1(addinc_ADD_UNS_OP_2_n_335),
+	.A2(addinc_ADD_UNS_OP_2_n_1980),
+	.B1(n_52761),
+	.C1(addinc_ADD_UNS_OP_2_n_1194),
+	.Y(n_52763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815694 (
+	.A(n_69241),
+	.B(FE_DBTN36_n_57301),
+	.Y(n_52782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815725 (
+	.A(n_52811),
+	.Y(n_52812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g815726 (
+	.A(n_68095),
+	.B(n_53723),
+	.Y(n_52811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g815730 (
+	.A1(n_70216),
+	.A2(n_73733),
+	.B1(n_66916),
+	.Y(n_52818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g815734 (
+	.A(n_52820),
+	.Y(n_52821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815735 (
+	.A(n_68319),
+	.B(n_51885),
+	.Y(n_52820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815736 (
+	.A(n_73729),
+	.B(n_14111),
+	.Y(n_52822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815737 (
+	.A(n_51907),
+	.B(n_52820),
+	.Y(n_52824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815740 (
+	.A(n_52820),
+	.B(n_51907),
+	.Y(n_52825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815743 (
+	.A(n_52833),
+	.B(n_52836),
+	.Y(n_52837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815744 (
+	.A(n_52830),
+	.B(n_66931),
+	.Y(n_52833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815745 (
+	.A(n_43042),
+	.B(n_43039),
+	.Y(n_52830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815747 (
+	.A(n_68434),
+	.B(n_43045),
+	.Y(n_52836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815749 (
+	.A(n_52837),
+	.B(n_43196),
+	.Y(n_52839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815750 (
+	.A(n_52837),
+	.Y(n_52840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815751 (
+	.A(n_43054),
+	.B(n_52830),
+	.Y(n_52841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815752 (
+	.A(n_52830),
+	.Y(n_52842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815790 (
+	.A(n_56683),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_52884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815792 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_54702),
+	.Y(n_52886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815798 (
+	.A(n_75245),
+	.B(n_59245),
+	.Y(n_52894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815799 (
+	.A(n_52896),
+	.B(n_52897),
+	.Y(n_52898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815800 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_52896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815802 (
+	.A(n_49530),
+	.B(n_75245),
+	.Y(n_52897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815804 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1302 ),
+	.B(n_58117),
+	.Y(n_52907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt815821 (
+	.A(n_64744),
+	.Y(n_38400), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815822 (
+	.A(n_65999),
+	.B(n_52922),
+	.Y(n_52923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt335 (
+	.A(n_64742),
+	.Y(n_52922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815824 (
+	.A(n_53706),
+	.Y(n_52927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815827 (
+	.A(n_64742),
+	.B(n_13799),
+	.C(n_13742),
+	.Y(n_52928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815834 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1190 ),
+	.B(n_75245),
+	.Y(n_52937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815836 (
+	.A(n_64092),
+	.B(n_75245),
+	.Y(n_52941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g815838 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_52942),
+	.Y(n_52943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815839 (
+	.A(n_46174),
+	.Y(n_52942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815848 (
+	.A(n_70771),
+	.B(n_75245),
+	.Y(n_52953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815849 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1206 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_52956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815850 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1192 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_52957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815852 (
+	.A(n_73964),
+	.B(n_75245),
+	.Y(n_52959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g166_0 (
+	.A(n_51868),
+	.B(n_66373),
+	.Y(n_52961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815858 (
+	.A(n_44146),
+	.B(n_37948),
+	.Y(n_52962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g815860 (
+	.A1(n_52970),
+	.A2(n_55284),
+	.B1(n_65763),
+	.Y(n_52977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815861 (
+	.A(n_52969),
+	.Y(n_52970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g815862 (
+	.A0(n_26642),
+	.A1(n_26566),
+	.S(FE_DBTN93_n_74852),
+	.X(n_52969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815866 (
+	.A(n_51868),
+	.B(n_66373),
+	.Y(n_52971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g815872 (
+	.A_N(n_52970),
+	.B(n_65952),
+	.Y(n_52983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815873 (
+	.A(n_65405),
+	.B(n_52969),
+	.Y(n_52984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g815879 (
+	.A(n_41515),
+	.B(n_34604),
+	.C(n_75245),
+	.Y(n_52993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815880 (
+	.A(n_54561),
+	.B(n_52455),
+	.Y(n_53001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g815882 (
+	.A(n_52996),
+	.Y(n_52997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815883 (
+	.A(n_41515),
+	.B(n_34604),
+	.Y(n_52996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815886 (
+	.A(n_44530),
+	.B(n_75245),
+	.Y(n_53002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815887 (
+	.A(n_52996),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_53003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815888 (
+	.A(n_54557),
+	.B(n_75245),
+	.Y(n_53004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815903 (
+	.A(n_53025),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_53026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g815904 (
+	.A(n_53021),
+	.B(n_53022),
+	.C(n_53023),
+	.D(n_53024),
+	.Y(n_53025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g444 (
+	.A(n_50600),
+	.B(n_51401),
+	.Y(n_53021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815905 (
+	.A(n_35500),
+	.B(n_33420),
+	.Y(n_53022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g445 (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [25]),
+	.Y(n_53023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815906 (
+	.A(n_73851),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_53024), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815907 (
+	.A(n_53025),
+	.B(n_75245),
+	.Y(n_53027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g815911  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.B(n_67882),
+	.COUT(UNCONNECTED319),
+	.SUM(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2142 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g815912 (
+	.A(n_25401),
+	.Y(n_53028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815913 (
+	.A(n_49019),
+	.B(n_38199),
+	.Y(n_53029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815914 (
+	.A(n_47033),
+	.B(n_19032),
+	.Y(n_53030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_4 g815916 (
+	.A1(n_53029),
+	.A2(n_53028),
+	.B1(n_53030),
+	.B2(n_53031),
+	.Y(n_53032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815917 (
+	.A(n_49028),
+	.Y(n_53031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815923  (
+	.A(n_53041),
+	.B(n_68980),
+	.Y(n_53042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815924  (
+	.A(n_53039),
+	.B(n_53040),
+	.Y(n_53041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815925  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1431 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_53039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815926  (
+	.A(n_54754),
+	.B(n_52455),
+	.Y(n_53040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815927  (
+	.A(n_53041),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_53043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815941 (
+	.A(n_64743),
+	.Y(n_53058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815944 (
+	.A(n_53062),
+	.B(n_64745),
+	.Y(n_53063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815945  (
+	.A(n_53060),
+	.B(n_53061),
+	.Y(n_53062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815946  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1890 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_53060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815947 (
+	.A(n_49313),
+	.Y(n_53061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g815948 (
+	.A(n_53062),
+	.Y(n_53064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815949 (
+	.A(n_68244),
+	.B(n_53067),
+	.Y(n_41538), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g815950 (
+	.A(n_53066),
+	.B(n_53065),
+	.Y(n_53067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815951 (
+	.A(n_67933),
+	.B(n_68728),
+	.Y(n_53065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815952 (
+	.A(n_12873),
+	.B(n_12984),
+	.Y(n_53066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g815955  (
+	.A(n_56985),
+	.B(n_59607),
+	.Y(n_53072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815973 (
+	.A(n_73690),
+	.B(FE_DBTN61_n_44740),
+	.C(n_47576),
+	.Y(n_53092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g815976 (
+	.A(n_51270),
+	.B(n_49449),
+	.Y(n_53090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g815985 (
+	.A(n_53100),
+	.B(n_73228),
+	.X(n_53102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g815986 (
+	.A(n_51319),
+	.B(n_26372),
+	.Y(n_53100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815992 (
+	.A(n_44925),
+	.B(n_54438),
+	.Y(n_53112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g815995 (
+	.A(n_51432),
+	.B(n_50183),
+	.C(n_66398),
+	.Y(n_53109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g815996 (
+	.A(n_50121),
+	.Y(n_53110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g815997 (
+	.A(n_32152),
+	.B(n_54438),
+	.Y(n_53113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g815998 (
+	.A(n_74052),
+	.B(n_64744),
+	.Y(n_53119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816001  (
+	.A1_N(n_71021),
+	.A2_N(n_68296),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1584 ),
+	.Y(n_53115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816002  (
+	.A(n_58967),
+	.B(n_39076),
+	.Y(n_53116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816003  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1795 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_53117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816004 (
+	.A(n_74052),
+	.Y(n_53120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816007  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1191 ),
+	.B(n_75245),
+	.Y(n_53121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816008  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1190 ),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_53122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816010  (
+	.A(n_53128),
+	.B(n_43937),
+	.Y(n_53129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816011  (
+	.A(n_53126),
+	.B(n_69604),
+	.Y(n_53128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816012  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1509 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_53126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816014  (
+	.A(n_53128),
+	.Y(n_53130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816015  (
+	.A(n_53128),
+	.B(n_68980),
+	.Y(n_53131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g816017 (
+	.A(n_35412),
+	.B(n_68342),
+	.Y(n_53137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt816019 (
+	.A(n_69326),
+	.Y(n_53133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816021  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1596 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_53135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt816027 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.Y(n_53141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt816037 (
+	.A(n_41564),
+	.Y(n_53152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt816042 (
+	.A(n_75254),
+	.Y(n_53161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt816056 (
+	.A(n_53193),
+	.Y(n_53192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816059 (
+	.A(n_69234),
+	.B(n_69235),
+	.Y(n_53197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816061 (
+	.A(n_31540),
+	.B(n_69234),
+	.Y(n_53199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g816075 (
+	.A(n_38392),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1107),
+	.Y(n_53211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816081 (
+	.A(n_40784),
+	.B(FE_DBTN39_n_53218),
+	.Y(n_53220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816083 (
+	.A(n_69234),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_53218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816084 (
+	.A(n_50572),
+	.B(FE_DBTN39_n_53218),
+	.Y(n_53221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816086 (
+	.A(n_17753),
+	.B(FE_DBTN39_n_53218),
+	.Y(n_53223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816087 (
+	.A(FE_DBTN39_n_53218),
+	.B(n_41172),
+	.Y(n_53225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816089 (
+	.A(FE_DBTN39_n_53218),
+	.B(n_17764),
+	.Y(n_53226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816090 (
+	.A(n_50282),
+	.B(FE_DBTN39_n_53218),
+	.Y(n_53227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g816092 (
+	.A_N(n_51264),
+	.B(FE_DBTN39_n_53218),
+	.Y(n_53229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816099 (
+	.A(n_54812),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_45649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816102 (
+	.A(n_54812),
+	.B(n_66395),
+	.Y(n_53239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816106 (
+	.A(n_17054),
+	.B(n_44219),
+	.Y(n_53241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g816110 (
+	.A(n_68875),
+	.B(n_68877),
+	.Y(n_39698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g816122 (
+	.A_N(n_57414),
+	.B(n_39745),
+	.Y(n_53260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816126 (
+	.A(n_20717),
+	.Y(n_53263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g816129 (
+	.A1(n_39020),
+	.A2(n_23630),
+	.B1(n_21401),
+	.X(n_53264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g816130 (
+	.A1_N(n_23327),
+	.A2_N(n_53028),
+	.B1(n_48505),
+	.B2(n_47063),
+	.Y(n_53265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816131 (
+	.A(FE_DBTN5_n_70976),
+	.B(n_53268),
+	.Y(n_53269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816135 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_26515),
+	.Y(n_53271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816137  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_53274),
+	.Y(n_53275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g816141 (
+	.A(n_53279),
+	.B(n_52314),
+	.Y(n_53281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816143 (
+	.A(n_57326),
+	.B(n_58126),
+	.Y(n_53279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816145 (
+	.A(n_53279),
+	.B(n_69182),
+	.Y(n_53282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816147 (
+	.A(n_53279),
+	.B(n_70562),
+	.Y(n_50115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816150  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_30585),
+	.Y(n_53285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816161 (
+	.A(FE_DBTN28_n_73723),
+	.B(n_74585),
+	.C(n_68795),
+	.Y(n_53299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816163 (
+	.A(FE_DBTN28_n_73723),
+	.B(n_68795),
+	.Y(n_53300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g816169 (
+	.A(addinc_ADD_UNS_OP_2_n_81),
+	.B(n_53308),
+	.X(n_53310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g816171 (
+	.A(n_53306),
+	.B(n_53307),
+	.C(n_69040),
+	.Y(n_53308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g816172 (
+	.A_N(n_54941),
+	.B(n_44490),
+	.Y(n_53306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816173 (
+	.A(n_54941),
+	.B(n_70562),
+	.Y(n_53307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g816175 (
+	.A(addinc_ADD_UNS_OP_2_n_81),
+	.B(n_53308),
+	.Y(n_53312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816177 (
+	.A(n_53308),
+	.Y(n_53313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816179 (
+	.A(n_43750),
+	.B(n_53308),
+	.Y(n_53316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt816180 (
+	.A(n_68651),
+	.Y(n_53317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g816187 (
+	.A1(n_25178),
+	.A2(n_15624),
+	.B1(n_27419),
+	.B2(n_15362),
+	.Y(n_53324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g816188  (
+	.A_N(n_69523),
+	.B(n_74046),
+	.Y(n_53326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g816190  (
+	.A(n_74046),
+	.B_N(n_69523),
+	.Y(n_53327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g816191  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.B(n_74046),
+	.Y(n_53328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g816193  (
+	.A1(n_54575),
+	.A2(n_66569),
+	.B1(n_74046),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_3 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_4 ),
+	.Y(n_53330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816194 (
+	.A(n_15483),
+	.B(n_74046),
+	.Y(n_53331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g816195 (
+	.A1(n_74046),
+	.A2(n_10035),
+	.B1(n_69523),
+	.B2(n_10548),
+	.C1(n_879),
+	.C2(n_4983),
+	.Y(n_53333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g816197 (
+	.A(n_69523),
+	.B(n_74046),
+	.X(n_53334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g816198 (
+	.A_N(n_74046),
+	.B(n_56271),
+	.C(n_12931),
+	.Y(n_53335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g816199 (
+	.A1(n_13157),
+	.A2(n_54575),
+	.B1(n_13160),
+	.B2(n_74046),
+	.X(n_53336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g816200 (
+	.A1(n_44566),
+	.A2(n_12931),
+	.B1(n_74046),
+	.C1(n_13202),
+	.Y(n_53337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g816201 (
+	.A1(n_74046),
+	.A2(n_13159),
+	.B1(n_54575),
+	.B2(n_13160),
+	.C1(n_13157),
+	.C2(FE_DBTN73_n_31180),
+	.Y(n_53338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g816202 (
+	.A1(n_54575),
+	.A2(n_13159),
+	.B1(n_74046),
+	.B2(n_13158),
+	.C1(n_13160),
+	.C2(FE_DBTN73_n_31180),
+	.Y(n_53339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g816203 (
+	.A1(n_74046),
+	.A2(n_13157),
+	.B1(n_54575),
+	.B2(n_13158),
+	.C1(n_13159),
+	.C2(FE_DBTN73_n_31180),
+	.Y(n_53340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816211 (
+	.A(n_69239),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_53347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816213 (
+	.A(n_55971),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_53350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g816214 (
+	.A(n_15483),
+	.B(n_54575),
+	.Y(n_48243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g816216 (
+	.A(n_48243),
+	.Y(n_50427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816219  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(n_50427),
+	.B1(n_29787),
+	.B2(n_48243),
+	.X(n_53356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816220  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.A2(n_50427),
+	.B1(n_66460),
+	.B2(n_48243),
+	.X(n_53357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816221  (
+	.A1(n_29512),
+	.A2(n_50427),
+	.B1(n_66052),
+	.X(n_53359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816223  (
+	.A(n_45443),
+	.B(n_50427),
+	.Y(n_53360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816224  (
+	.A(n_29546),
+	.B(n_50427),
+	.Y(n_53361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816225  (
+	.A(n_50427),
+	.B(n_67059),
+	.Y(n_53362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816226  (
+	.A(n_26768),
+	.B(n_50427),
+	.Y(n_53363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816227  (
+	.A(n_26766),
+	.B(n_50427),
+	.Y(n_53364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816228  (
+	.A(n_46414),
+	.B(n_50427),
+	.Y(n_53365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816229  (
+	.A(n_73522),
+	.B(n_50427),
+	.Y(n_53366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816230  (
+	.A(n_46641),
+	.B(n_50427),
+	.Y(n_53367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816231  (
+	.A(n_46633),
+	.B(n_50427),
+	.Y(n_53368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816232  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_222 ),
+	.B(n_50427),
+	.Y(n_53369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816233  (
+	.A(n_45462),
+	.B(n_50427),
+	.Y(n_53370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816234  (
+	.A(n_26767),
+	.B(n_50427),
+	.Y(n_53371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816235  (
+	.A(n_29544),
+	.B(n_50427),
+	.Y(n_53372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816236  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_60 ),
+	.B(n_50427),
+	.Y(n_53373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816237  (
+	.A(n_50427),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_227 ),
+	.Y(n_53374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816238 (
+	.A(n_50427),
+	.B(n_26772),
+	.Y(n_53375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816249 (
+	.A(n_74807),
+	.B(n_46016),
+	.Y(n_53386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816256 (
+	.A(n_69208),
+	.B(addinc_ADD_UNS_OP_2_n_72),
+	.Y(n_52761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816265 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_33775),
+	.Y(n_53402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816266 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26516),
+	.Y(n_53403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816270  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_26768),
+	.Y(n_53409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816274  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_67059),
+	.Y(n_51528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g816276 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B(n_44282),
+	.X(n_53413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g816277  (
+	.A1(n_46633),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3050 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_350 ),
+	.X(n_53414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g816279 (
+	.A(n_56254),
+	.B(n_47137),
+	.C(n_51957),
+	.Y(n_53416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816280 (
+	.A(n_73188),
+	.B(n_53417),
+	.Y(n_53418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816282 (
+	.A(n_47152),
+	.B(n_53417),
+	.Y(n_53420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816283 (
+	.A(n_53416),
+	.Y(n_53417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g816285 (
+	.A(n_75255),
+	.Y(n_53425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816287 (
+	.A(n_66895),
+	.B(n_74464),
+	.Y(n_53422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816288 (
+	.A(n_51134),
+	.B(n_44898),
+	.Y(n_53423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g816290 (
+	.A(n_75254),
+	.B(n_75255),
+	.Y(n_53427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g816291 (
+	.A(n_53161),
+	.B(n_75255),
+	.Y(n_53429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816302  (
+	.A(n_53437),
+	.B(n_65414),
+	.Y(n_53439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816303  (
+	.A(FE_DBTN69_n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
+	.Y(n_53437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g816310 (
+	.A(n_19051),
+	.B(n_18773),
+	.X(n_53443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816389 (
+	.A(n_54417),
+	.B(n_55315),
+	.Y(n_53516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g816395 (
+	.A(n_52640),
+	.B(n_69983),
+	.C(n_69040),
+	.Y(n_53522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816494 (
+	.A(n_52151),
+	.B(n_66934),
+	.Y(n_53615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g816498 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1720),
+	.B(n_66934),
+	.Y(n_53616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816499 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1720),
+	.B(n_66934),
+	.C(n_70148),
+	.Y(n_53617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816503 (
+	.A(n_74481),
+	.B(n_49378),
+	.C(n_67184),
+	.Y(n_53625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g816515 (
+	.A0(n_59964),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.S(n_1254),
+	.X(n_53631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816518 (
+	.A(n_53633),
+	.B(n_53634),
+	.Y(n_53635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816519 (
+	.A(n_53632),
+	.Y(n_53633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816520  (
+	.A(n_41501),
+	.B(n_38000),
+	.Y(n_53632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816521  (
+	.A1(n_35500),
+	.A2(n_65948),
+	.B1(n_73851),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.Y(n_53634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g816522  (
+	.A(n_53635),
+	.B(n_75245),
+	.Y(n_53637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816525 (
+	.A(n_47028),
+	.B(n_19032),
+	.Y(n_53639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g816526 (
+	.A(n_53645),
+	.Y(n_53646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g816527 (
+	.A(n_53644),
+	.B(n_53641),
+	.C(n_53640),
+	.Y(n_53645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816528 (
+	.A(n_44108),
+	.B(n_23887),
+	.Y(n_53640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816529 (
+	.A(n_43977),
+	.B(n_23682),
+	.Y(n_53641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816530 (
+	.A(n_39757),
+	.B(n_48317),
+	.Y(n_53644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g816583 (
+	.A1(n_53697),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_53402),
+	.Y(n_53698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g816584 (
+	.A(n_35367),
+	.B(n_38361),
+	.X(n_53697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816588 (
+	.A(n_53703),
+	.Y(n_53704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g816589 (
+	.A1(n_34198),
+	.A2(n_53702),
+	.B1(n_15925),
+	.Y(n_53703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816590 (
+	.A(n_54573),
+	.Y(n_53702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816591 (
+	.A(n_53705),
+	.B(n_33040),
+	.Y(n_53706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g816592 (
+	.A_N(n_64742),
+	.B(n_33678),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(n_53705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g207 (
+	.A(n_52171),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1783),
+	.Y(n_53722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt816594 (
+	.A(n_69179),
+	.Y(n_52171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816597 (
+	.A(n_47774),
+	.B(n_50115),
+	.Y(n_53708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g213 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1960 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1633 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1954 ),
+	.COUT(n_53712),
+	.SUM(n_53723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt227 (
+	.A(n_53720),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816600 (
+	.A(n_53716),
+	.B(n_74482),
+	.Y(n_53720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816601 (
+	.A(n_67748),
+	.B(n_53522),
+	.Y(n_53716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g816604 (
+	.A(n_53731),
+	.B(n_74608),
+	.C(n_49120),
+	.X(n_43608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816605 (
+	.A(n_53726),
+	.B(n_53730),
+	.Y(n_53731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816606 (
+	.A(n_67759),
+	.B(n_53725),
+	.Y(n_53726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816608 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1047 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_878 ),
+	.Y(n_53725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g816609 (
+	.A1(n_53725),
+	.A2(n_67759),
+	.B1(n_67760),
+	.Y(n_53730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g197 (
+	.A(n_69360),
+	.B(n_69359),
+	.Y(n_49120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816619 (
+	.A(n_67762),
+	.Y(n_53750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816622 (
+	.A(n_53744),
+	.B(n_53746),
+	.C(n_53747),
+	.Y(n_53748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816623 (
+	.A(n_53742),
+	.B(n_53743),
+	.C(n_53725),
+	.Y(n_53744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816624 (
+	.A(n_67759),
+	.Y(n_53742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816625 (
+	.A(n_67760),
+	.Y(n_53743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816626 (
+	.A(n_53742),
+	.B(n_53745),
+	.C(n_67760),
+	.Y(n_53746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816627 (
+	.A(n_53725),
+	.Y(n_53745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816628 (
+	.A(n_67759),
+	.B(n_53745),
+	.C(n_53743),
+	.Y(n_53747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816667 (
+	.A(n_53793),
+	.B(n_53796),
+	.Y(n_53797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816668 (
+	.A(n_50820),
+	.B(n_49455),
+	.C(n_48045),
+	.Y(n_53793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g816669 (
+	.A(n_53791),
+	.Y(n_48045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816670 (
+	.A(n_46284),
+	.B(n_46285),
+	.Y(n_53791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g816671 (
+	.A1(n_52837),
+	.A2(n_46280),
+	.B1(n_66432),
+	.X(n_53796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816675 (
+	.A(n_50820),
+	.B(n_49455),
+	.Y(n_53798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g101 (
+	.A(n_52420),
+	.B(n_54114),
+	.Y(n_53802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816682 (
+	.A(n_54596),
+	.B(n_45398),
+	.Y(n_53805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g816683 (
+	.A(n_53810),
+	.B(n_53811),
+	.C(n_53812),
+	.D(n_55954),
+	.Y(n_53814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816684 (
+	.A(n_53808),
+	.B(n_68835),
+	.Y(n_53810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816685 (
+	.A(n_53807),
+	.Y(n_53808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816686 (
+	.A(n_42949),
+	.B(n_74035),
+	.Y(n_53807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816688 (
+	.A(n_54940),
+	.B(n_49067),
+	.C(n_53807),
+	.Y(n_53811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816689 (
+	.A(n_70599),
+	.B(n_46314),
+	.Y(n_53812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816691 (
+	.A(n_53810),
+	.B(n_53811),
+	.Y(n_49182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816697 (
+	.A(n_48872),
+	.B(n_53220),
+	.C(n_52059),
+	.Y(n_53819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816700 (
+	.A(n_53823),
+	.B(n_43951),
+	.Y(n_53826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816701 (
+	.A(n_52282),
+	.B(n_17970),
+	.Y(n_53823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816705 (
+	.A(n_46932),
+	.B(n_46936),
+	.Y(n_53829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816720 (
+	.A(n_54226),
+	.Y(n_53847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816724 (
+	.A(n_53849),
+	.B(n_53850),
+	.Y(n_53851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g816725 (
+	.A1(n_46994),
+	.A2(n_47001),
+	.B1(n_47005),
+	.Y(n_53849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816726 (
+	.A(n_47001),
+	.B(n_46994),
+	.Y(n_53850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816727 (
+	.A(n_53860),
+	.B(n_53863),
+	.C(n_36715),
+	.Y(n_53869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816728 (
+	.A(n_53853),
+	.B(n_47693),
+	.Y(n_53860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816729 (
+	.A(n_48383),
+	.B(n_66525),
+	.Y(n_53853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816730 (
+	.A(n_53858),
+	.Y(n_47693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816731 (
+	.A(n_53856),
+	.B(n_67767),
+	.Y(n_53858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816732 (
+	.A(n_53855),
+	.B(n_16210),
+	.Y(n_53856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816733 (
+	.A(n_16511),
+	.B(n_44443),
+	.Y(n_53855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816735 (
+	.A(n_53861),
+	.B(n_73725),
+	.Y(n_53863), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g816736 (
+	.A(n_66363),
+	.B(n_47682),
+	.Y(n_53861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816740 (
+	.A(n_44443),
+	.B(n_16511),
+	.C(n_53865),
+	.Y(n_36715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816741 (
+	.A(n_53864),
+	.Y(n_53865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g816742 (
+	.A1(n_49744),
+	.A2(n_15648),
+	.B1_N(n_16210),
+	.Y(n_53864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816743 (
+	.A(n_53860),
+	.B(n_36715),
+	.Y(n_53870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816754 (
+	.A(n_68682),
+	.B(n_57272),
+	.Y(n_53882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g816768 (
+	.A(n_53899),
+	.Y(n_53900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816769 (
+	.A(n_53919),
+	.B(n_73822),
+	.Y(n_53899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816773 (
+	.A(n_53909),
+	.Y(n_53910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816774 (
+	.A(n_53936),
+	.B(n_48917),
+	.Y(n_53909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816778 (
+	.A(n_17860),
+	.B(n_53905),
+	.C(n_74908),
+	.Y(n_48907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816780 (
+	.A(n_72081),
+	.B(n_44490),
+	.Y(n_53905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816784 (
+	.A(n_53919),
+	.B(n_73822),
+	.C(n_57301),
+	.Y(n_53936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816785 (
+	.A(n_53914),
+	.B(n_66441),
+	.Y(n_53919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816786 (
+	.A(n_47382),
+	.B(n_50972),
+	.Y(n_53914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g816799 (
+	.A(n_68039),
+	.B(n_32040),
+	.X(n_53926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816800 (
+	.A(n_48917),
+	.B(n_45817),
+	.Y(n_53928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816804 (
+	.A(n_53926),
+	.Y(n_53937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g903 (
+	.A(n_53952),
+	.B(n_38809),
+	.Y(n_53954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g904 (
+	.A(n_53942),
+	.B(n_53948),
+	.C(n_53951),
+	.Y(n_53952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g905 (
+	.A_N(n_49164),
+	.B(n_69443),
+	.Y(n_53942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816806 (
+	.A(n_52405),
+	.B(n_50408),
+	.Y(n_49164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g911 (
+	.A(n_53945),
+	.B(n_53947),
+	.Y(n_53948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g917 (
+	.A(n_53943),
+	.B(n_53944),
+	.Y(n_53945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g925 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1758),
+	.B(n_66764),
+	.Y(n_53943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816807 (
+	.A(n_67709),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_3),
+	.Y(n_53944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816808 (
+	.A(n_66798),
+	.Y(n_53947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g913 (
+	.A(n_69442),
+	.B(n_52411),
+	.Y(n_53951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g909 (
+	.A(n_53943),
+	.B(n_66798),
+	.C(n_53944),
+	.Y(n_38809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816813 (
+	.A(n_68274),
+	.B(n_68047),
+	.Y(n_53960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g816815 (
+	.A1(n_48758),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1986 ),
+	.B1(n_66063),
+	.Y(n_53957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816818 (
+	.A(n_53962),
+	.B(n_53963),
+	.Y(n_53964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816819 (
+	.A(n_48669),
+	.B(n_53961),
+	.C(n_48670),
+	.Y(n_53962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816820 (
+	.A(n_69182),
+	.B(n_48675),
+	.Y(n_53961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816821 (
+	.A(n_53957),
+	.Y(n_53963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816827 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2309 ),
+	.B(n_66435),
+	.Y(n_53968), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816829 (
+	.A(n_51814),
+	.B(n_51816),
+	.Y(n_53970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816831 (
+	.A(n_56011),
+	.B(n_48670),
+	.Y(n_53973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816840 (
+	.A(n_53970),
+	.Y(n_53985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816841 (
+	.A(n_52650),
+	.B(n_51380),
+	.Y(n_53994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816847 (
+	.A(n_53990),
+	.B(n_53991),
+	.Y(n_53992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816848 (
+	.A(n_51202),
+	.B(n_67598),
+	.Y(n_53990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816849 (
+	.A(n_51207),
+	.B(n_43318),
+	.Y(n_53991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816850 (
+	.A(n_55017),
+	.B(n_53992),
+	.Y(n_53995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816853 (
+	.A(n_53997),
+	.B(n_53998),
+	.Y(n_54001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816854 (
+	.A(n_68424),
+	.B(n_56043),
+	.C(n_44490),
+	.Y(n_53997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816856 (
+	.A(n_54235),
+	.B(n_44490),
+	.Y(n_53998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816857 (
+	.A(n_74928),
+	.B(n_54005),
+	.Y(n_54007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt816861 (
+	.A(n_54004),
+	.Y(n_54005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816862 (
+	.A(n_31374),
+	.B(n_54235),
+	.Y(n_54004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816867 (
+	.A(n_54017),
+	.B(n_54027),
+	.Y(n_54028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816868 (
+	.A(n_54016),
+	.Y(n_54017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g618 (
+	.A(n_55328),
+	.B(n_54015),
+	.Y(n_54016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816870 (
+	.A(n_73506),
+	.B(n_46188),
+	.Y(n_54015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816871 (
+	.A(n_54020),
+	.B(n_62061),
+	.Y(n_54027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816872 (
+	.A(n_59601),
+	.B(n_54019),
+	.Y(n_54020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816874 (
+	.A(n_51810),
+	.B(n_69077),
+	.Y(n_54019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g623 (
+	.A(n_65854),
+	.Y(n_54021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816879 (
+	.A(n_46188),
+	.B(n_45356),
+	.C(n_73503),
+	.Y(n_54023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816883 (
+	.A(n_18479),
+	.B(n_69182),
+	.Y(n_54030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g816884 (
+	.A(n_67769),
+	.B(n_67917),
+	.X(n_54036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g816893 (
+	.A(n_54030),
+	.Y(n_54041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g816899 (
+	.A(n_54049),
+	.Y(n_47620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816900 (
+	.A(FE_DBTN72_n_31641),
+	.B(FE_DBTN67_n_44490),
+	.Y(n_54049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816905 (
+	.A(n_70216),
+	.B(n_73733),
+	.Y(n_54053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816909 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_54055),
+	.Y(n_54056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816911 (
+	.A(n_17270),
+	.Y(n_54055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816914 (
+	.A(n_54064),
+	.Y(n_54065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g816915 (
+	.A1(n_17270),
+	.A2(n_47526),
+	.B1(n_54159),
+	.Y(n_54064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816919 (
+	.A(n_54072),
+	.B(n_54073),
+	.Y(n_54074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816920 (
+	.A(n_73828),
+	.B(n_46803),
+	.C(n_46802),
+	.Y(n_54072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816922 (
+	.A(n_54067),
+	.Y(n_54068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g352 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_87),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_104),
+	.Y(n_54067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816923 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_220),
+	.B(n_52179),
+	.Y(n_54069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816924 (
+	.A(n_54069),
+	.B(n_54068),
+	.Y(n_54073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g353 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1782),
+	.B(n_58593),
+	.Y(n_54078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g816930 (
+	.A(n_52171),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1783),
+	.X(n_54080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g354 (
+	.A(n_46803),
+	.B(n_46802),
+	.Y(n_54088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816936 (
+	.A(n_51854),
+	.B(n_54089),
+	.C(n_52710),
+	.Y(n_54090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816937 (
+	.A(n_65897),
+	.B(n_44490),
+	.Y(n_54089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816938 (
+	.A(n_54095),
+	.B(n_67771),
+	.Y(n_54100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816939 (
+	.A(n_68090),
+	.B(n_66074),
+	.C(n_66078),
+	.Y(n_54095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816941 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ),
+	.Y(n_54091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816943 (
+	.A(n_46763),
+	.Y(n_54093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816948 (
+	.A(n_54104),
+	.B(n_54109),
+	.Y(n_54110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g816949 (
+	.A(n_51854),
+	.B(n_54089),
+	.Y(n_54104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g816951 (
+	.A(n_65898),
+	.B(n_48670),
+	.Y(n_54102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g816952 (
+	.A(n_52710),
+	.Y(n_54109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g816953 (
+	.A1(n_52705),
+	.A2(n_52704),
+	.B1(n_54107),
+	.X(n_52710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816956 (
+	.A(n_52706),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2103 ),
+	.Y(n_54107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g227 (
+	.A1(n_68090),
+	.A2(n_54112),
+	.B1(n_54113),
+	.X(n_54114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g816957 (
+	.A(n_54091),
+	.B(n_54093),
+	.Y(n_54112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g816958 (
+	.A(n_54093),
+	.B(n_54091),
+	.Y(n_54113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g816976 (
+	.A(n_56034),
+	.B(n_63963),
+	.C(n_44490),
+	.Y(n_54147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g816983 (
+	.A(n_51640),
+	.B(n_52582),
+	.C(n_52583),
+	.Y(n_54141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g83 (
+	.A(n_73983),
+	.B(FE_DBTN86_n_47526),
+	.Y(n_54158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817004 (
+	.A(n_47531),
+	.B(n_47537),
+	.Y(n_54159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817009 (
+	.A(FE_DBTN80_n_39663),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_54171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817010 (
+	.A(n_54191),
+	.B(n_54193),
+	.Y(n_54194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817011 (
+	.A(n_74485),
+	.B(n_66092),
+	.C(n_54181),
+	.Y(n_54191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt188 (
+	.A(n_54172),
+	.Y(n_54173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817014 (
+	.A(n_69040),
+	.B(n_17804),
+	.Y(n_54172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817016 (
+	.A(n_67773),
+	.Y(n_54181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g817019 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_54178),
+	.B1(n_17804),
+	.C1(n_49732),
+	.X(n_54179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt817021 (
+	.A(n_51711),
+	.Y(n_54178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g817024 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_31266),
+	.B1(n_54186),
+	.Y(n_54187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817026 (
+	.A(n_48872),
+	.B(n_53220),
+	.C(n_52059),
+	.Y(n_31266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817027 (
+	.A(n_31266),
+	.B(n_70562),
+	.C(n_43951),
+	.Y(n_54186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817029 (
+	.A(n_67773),
+	.B(n_32832),
+	.Y(n_54193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g817030 (
+	.A(n_54187),
+	.B(n_54172),
+	.Y(n_32832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817033 (
+	.A(n_74485),
+	.B(n_54181),
+	.Y(n_54197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817044 (
+	.A(n_54207),
+	.B(n_54214),
+	.Y(n_54215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817045 (
+	.A(n_75238),
+	.B(n_75239),
+	.Y(n_54207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g817048 (
+	.A(n_67774),
+	.Y(n_54214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g817051 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_29466),
+	.C(FE_DBTN67_n_44490),
+	.X(n_54212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817059 (
+	.A(n_54207),
+	.B(n_49449),
+	.Y(n_54221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817060 (
+	.A(n_66831),
+	.B(n_54225),
+	.Y(n_54226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817062 (
+	.A(n_46979),
+	.B(n_46982),
+	.Y(n_54225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g564 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_29466),
+	.Y(n_54235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817070 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_67659),
+	.Y(n_54237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817071 (
+	.A(n_54248),
+	.B(n_31641),
+	.Y(n_54252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817072 (
+	.A(n_66437),
+	.B(n_54243),
+	.C(n_70219),
+	.Y(n_54248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g817078 (
+	.A(n_66966),
+	.B(n_57656),
+	.Y(n_54243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817084 (
+	.A(n_54056),
+	.B(n_54159),
+	.Y(n_31641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g817094 (
+	.A(n_54260),
+	.Y(n_43951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817095 (
+	.A(n_54237),
+	.B(n_44368),
+	.Y(n_54260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817101 (
+	.A(n_54268),
+	.B(n_54269),
+	.C(n_54270),
+	.Y(n_54271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817102 (
+	.A(n_35043),
+	.B(n_55284),
+	.Y(n_54268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817103 (
+	.A(n_39061),
+	.B(n_68387),
+	.Y(n_54269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817104 (
+	.A(FE_DBTN17_n_70953),
+	.B(n_30599),
+	.Y(n_54270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817105 (
+	.A(n_75249),
+	.Y(n_52389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817131 (
+	.A(n_54297),
+	.B(n_43937),
+	.Y(n_54302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817132 (
+	.A(n_70255),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1543 ),
+	.Y(n_54297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817134 (
+	.A(n_71017),
+	.B(n_54299),
+	.Y(n_43938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817135 (
+	.A(n_54298),
+	.Y(n_54299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817136 (
+	.A(FE_DBTN11_n_68980),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(n_54298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817137 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1854 ),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_54304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817140 (
+	.A(FE_DBTN53_n_49347),
+	.B(n_68980),
+	.Y(n_54305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817143 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1854 ),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_54312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g817145 (
+	.A_N(n_54319),
+	.B(n_66949),
+	.C(n_54323),
+	.Y(n_54324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g817146 (
+	.A(n_45649),
+	.B(n_54315),
+	.C(n_45650),
+	.D(n_54318),
+	.X(n_54319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817148 (
+	.A(n_47958),
+	.B(n_45646),
+	.Y(n_54315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817149 (
+	.A(n_66438),
+	.B(n_66793),
+	.Y(n_54318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817153 (
+	.A(n_45649),
+	.B(n_54315),
+	.C(n_45650),
+	.Y(n_54320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817155 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1758),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_3),
+	.Y(n_54323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817156 (
+	.A(n_54324),
+	.Y(n_54325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g817157 (
+	.A(n_45649),
+	.B(n_54315),
+	.C(n_54318),
+	.D(n_45650),
+	.Y(n_54326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817168 (
+	.A(n_54341),
+	.Y(n_54342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817169 (
+	.A(FE_DBTN36_n_57301),
+	.B(FE_DBTN45_n_51788),
+	.C(FE_DBTN29_n_55918),
+	.Y(n_54341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817174 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_67659),
+	.Y(n_54345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817175 (
+	.A(n_54348),
+	.B(n_49997),
+	.Y(n_54349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817176 (
+	.A(n_75235),
+	.B(n_45668),
+	.C(n_49995),
+	.Y(n_54348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817177 (
+	.A(n_73579),
+	.Y(n_54354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817179 (
+	.A(n_69776),
+	.Y(n_54352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt817182 (
+	.A(n_56053),
+	.Y(n_31684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817185 (
+	.A(n_53271),
+	.B(n_54361),
+	.Y(n_53274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817186 (
+	.A(n_74852),
+	.B(n_26591),
+	.Y(n_54361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817188 (
+	.A(n_54365),
+	.B(n_16210),
+	.C(n_47556),
+	.Y(n_54366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817189 (
+	.A(n_54364),
+	.Y(n_54365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817190 (
+	.A(n_51440),
+	.B(n_74748),
+	.Y(n_54364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817191 (
+	.A(n_48934),
+	.B(n_69550),
+	.C(n_69518),
+	.Y(n_54368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817193 (
+	.A(n_69550),
+	.Y(n_37925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g817197 (
+	.A_N(n_54373),
+	.B(n_54376),
+	.C(n_54378),
+	.Y(n_54379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g817198 (
+	.A(n_69981),
+	.B_N(FE_DBTN67_n_44490),
+	.Y(n_54373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817199 (
+	.A(n_31572),
+	.B(n_31641),
+	.Y(n_54376), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817202 (
+	.A(n_18428),
+	.B(n_53998),
+	.Y(n_54378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817204 (
+	.A(n_74005),
+	.Y(n_50408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817206 (
+	.A(n_18513),
+	.Y(n_54380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817208 (
+	.A(n_18491),
+	.B(n_54380),
+	.Y(n_54384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g817209 (
+	.A(n_49099),
+	.B(n_54386),
+	.C(n_52620),
+	.D(n_47280),
+	.Y(n_54388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817210 (
+	.A(n_45015),
+	.B(n_51801),
+	.Y(n_54386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817215 (
+	.A(n_58702),
+	.B(n_53225),
+	.Y(n_54393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817217 (
+	.A(n_57398),
+	.B(n_72080),
+	.Y(n_54396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817223 (
+	.A(n_68391),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_54399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817224 (
+	.A(n_51098),
+	.B(n_54405),
+	.Y(n_54406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817225 (
+	.A(n_68900),
+	.B(n_68898),
+	.Y(n_54405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817228 (
+	.A(n_16856),
+	.Y(n_54402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817230 (
+	.A(n_54407),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.Y(n_54408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817231 (
+	.A(n_68280),
+	.B(n_67256),
+	.Y(n_54407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817236 (
+	.A(n_74749),
+	.B(n_23880),
+	.Y(n_54412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817237 (
+	.A(n_54415),
+	.B(n_52565),
+	.Y(n_54416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817238 (
+	.A(n_65631),
+	.B(n_73999),
+	.C(n_44490),
+	.Y(n_54415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817240 (
+	.A(n_65631),
+	.B(n_73999),
+	.Y(n_54417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817241 (
+	.A(n_54420),
+	.B(n_75235),
+	.Y(n_54423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g817242 (
+	.A(n_45668),
+	.B(n_66440),
+	.X(n_54420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817248 (
+	.A(n_54430),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_351),
+	.Y(n_54431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817249 (
+	.A(n_54429),
+	.Y(n_54430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817250 (
+	.A(n_54425),
+	.B(n_54428),
+	.Y(n_54429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817251 (
+	.A(n_48033),
+	.B(n_48032),
+	.Y(n_54425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817252 (
+	.A(n_48041),
+	.B(n_48043),
+	.Y(n_54428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817253 (
+	.A(n_48033),
+	.Y(n_48041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817254 (
+	.A(n_48032),
+	.Y(n_48043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g817257 (
+	.A1(n_15361),
+	.A2(n_15472),
+	.B1(n_66950),
+	.Y(n_54436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817260 (
+	.A(n_53109),
+	.B(n_54437),
+	.C(n_53110),
+	.Y(n_54438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817261 (
+	.A(n_50042),
+	.B(n_51432),
+	.C(n_50183),
+	.Y(n_54437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g11 (
+	.A(n_51244),
+	.B(n_54439),
+	.Y(n_54440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817262 (
+	.A(n_54919),
+	.B(n_70003),
+	.Y(n_54439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817263 (
+	.A(n_49951),
+	.B(n_54441),
+	.C(n_73997),
+	.Y(n_54442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817264 (
+	.A(n_46656),
+	.B(n_46661),
+	.Y(n_54441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817267 (
+	.A(n_52593),
+	.B(n_55992),
+	.Y(n_54443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817268 (
+	.A(n_55993),
+	.Y(n_54447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817273 (
+	.A(n_15483),
+	.B(n_54453),
+	.Y(n_54454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817274 (
+	.A(n_37532),
+	.B(n_15977),
+	.Y(n_54453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817280 (
+	.A(n_54458),
+	.B(n_53409),
+	.Y(n_54460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817281 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ),
+	.Y(n_54458), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g817290 (
+	.A1_N(n_53409),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ),
+	.B1(n_53409),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ),
+	.Y(n_54468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817294 (
+	.A(addinc_ADD_UNS_OP_2_n_434),
+	.B(addinc_ADD_UNS_OP_2_n_332),
+	.Y(n_54474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817299 (
+	.A(n_54479),
+	.B(n_48513),
+	.Y(n_54481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817300 (
+	.A(n_44007),
+	.B(n_23937),
+	.Y(n_54479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 fopt76 (
+	.A(n_67778),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817316 (
+	.A(n_16731),
+	.B(n_74747),
+	.Y(n_54499), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt817323 (
+	.A(n_35517),
+	.Y(n_45645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817324 (
+	.A(FE_DBTN49_n_72075),
+	.B(n_54064),
+	.Y(n_35517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817325 (
+	.A(n_54506),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.Y(n_54507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817326 (
+	.A(n_55588),
+	.B(n_69983),
+	.Y(n_54506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817332 (
+	.A(n_73652),
+	.Y(n_40828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817333 (
+	.A(n_54515),
+	.Y(n_54516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817334 (
+	.A(n_54514),
+	.B(n_69040),
+	.Y(n_54515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817335 (
+	.A(n_31682),
+	.B(n_44490),
+	.Y(n_54514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817339 (
+	.A(n_54520),
+	.B(n_68393),
+	.Y(n_53193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817340 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.B(n_57267),
+	.Y(n_54520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g817343 (
+	.A1(n_53029),
+	.A2(n_54581),
+	.B1(n_48318),
+	.Y(n_54523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817347 (
+	.A(addinc_ADD_UNS_OP_2_n_1837),
+	.B(n_54530),
+	.Y(n_54531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g817348 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1028),
+	.B(n_53695),
+	.Y(n_54530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817350 (
+	.A(FE_DBTN58_n_48855),
+	.B(n_46472),
+	.Y(n_53695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt28 (
+	.A(n_53695),
+	.Y(n_54532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g9 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_86 ),
+	.B(n_54534),
+	.Y(n_54535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g10 (
+	.A(n_54533),
+	.Y(n_54534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817351 (
+	.A(n_70060),
+	.B(n_70064),
+	.Y(n_54533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g817352 (
+	.A(n_54536),
+	.B(n_59193),
+	.Y(n_54537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g817353 (
+	.A(n_56699),
+	.B(n_12944),
+	.Y(n_54536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817357 (
+	.A(n_54541),
+	.Y(n_54542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g817358 (
+	.A_N(n_34146),
+	.B(n_49325),
+	.C(n_49830),
+	.Y(n_54541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817359 (
+	.A(n_49830),
+	.B(n_49325),
+	.Y(n_54543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g817360 (
+	.A_N(n_36338),
+	.B(n_73189),
+	.C(n_14102),
+	.Y(n_54545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817362 (
+	.A(n_73189),
+	.B(n_14102),
+	.Y(n_54546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817373 (
+	.A(n_54558),
+	.B(n_54560),
+	.Y(n_54561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817374 (
+	.A(n_54557),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_54558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817375 (
+	.A(n_53275),
+	.B(n_38974),
+	.C(n_70937),
+	.Y(n_54557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g39 (
+	.A_N(n_52997),
+	.B(n_75245),
+	.Y(n_54560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g817379 (
+	.A1(FE_DBTN79_n_19051),
+	.A2(n_30173),
+	.B1(n_50156),
+	.Y(n_54563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817389 (
+	.A(n_15925),
+	.B(n_54574),
+	.Y(n_54575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g817390 (
+	.A_N(n_34198),
+	.B(n_54573),
+	.Y(n_54574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817391 (
+	.A(n_44085),
+	.B(n_41577),
+	.Y(n_54573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817393 (
+	.A(n_25398),
+	.Y(n_54581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817394 (
+	.A(n_54578),
+	.B(n_66446),
+	.Y(n_25398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817395 (
+	.A(soc_top_data_rdata[13]),
+	.B(n_48272),
+	.Y(n_54578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817397 (
+	.A(n_70321),
+	.B(n_74056),
+	.Y(n_35043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817401 (
+	.A(n_34176),
+	.B(n_69276),
+	.Y(n_54583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817411 (
+	.A(n_52419),
+	.B(n_52416),
+	.C(n_68246),
+	.Y(n_54596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817422 (
+	.A(n_54609),
+	.B(n_67631),
+	.Y(n_54610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817423 (
+	.A(n_55890),
+	.B(n_55899),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1773 ),
+	.Y(n_54609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817430 (
+	.A(n_54617),
+	.B(n_54616),
+	.Y(n_54618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817431 (
+	.A(n_13802),
+	.Y(n_54616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817432 (
+	.A(FE_DBTN55_n_54967),
+	.B(n_53058),
+	.Y(n_54617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817436 (
+	.A(n_54623),
+	.B(n_35082),
+	.Y(n_54624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817437 (
+	.A(n_47322),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1247 ),
+	.Y(n_54623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817442 (
+	.A(n_34176),
+	.B(n_69276),
+	.Y(n_54625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817445 (
+	.A(n_67781),
+	.Y(n_54632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817453 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1445 ),
+	.B(n_69336),
+	.Y(n_54640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817460 (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.Y(n_54645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817461 (
+	.A(n_54650),
+	.B(n_54583),
+	.Y(n_54651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g817462 (
+	.A(n_54649),
+	.Y(n_54650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817463 (
+	.A(n_68597),
+	.B(n_47224),
+	.Y(n_54649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817467 (
+	.A(FE_DBTN57_n_73965),
+	.B(FE_DBTN43_n_52455),
+	.C(FE_DBTN11_n_68980),
+	.Y(n_54655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817474 (
+	.A(n_33796),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_588),
+	.Y(n_54664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817475 (
+	.A(n_69930),
+	.B(n_74053),
+	.Y(n_33796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817480 (
+	.A(n_46942),
+	.B(n_68485),
+	.C(n_51596),
+	.Y(n_54666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817483 (
+	.A(n_54676),
+	.B(n_54677),
+	.Y(n_52035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817484 (
+	.A(n_68416),
+	.B(FE_DBTN95_n_63318),
+	.Y(n_54676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817488 (
+	.A(FE_DBTN62_n_50512),
+	.B(n_63720),
+	.Y(n_54671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g817489 (
+	.A(n_51098),
+	.B(n_50085),
+	.X(n_54677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817494 (
+	.A(n_46883),
+	.B(n_67638),
+	.Y(n_54681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g817501 (
+	.A(n_54689),
+	.Y(n_54690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817502 (
+	.A(n_53417),
+	.B(n_73188),
+	.Y(n_54689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817506 (
+	.A(n_54694),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_54695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817507 (
+	.A(n_52957),
+	.B(n_73553),
+	.Y(n_54694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817512 (
+	.A(n_54702),
+	.B(n_75245),
+	.Y(n_54703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817513 (
+	.A(n_54701),
+	.B(n_70959),
+	.Y(n_54702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817514 (
+	.A(FE_DBTN9_n_69283),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_831 ),
+	.Y(n_54701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817515 (
+	.A(n_52927),
+	.B(n_53137),
+	.C(n_54707),
+	.Y(n_54708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817516 (
+	.A(n_68331),
+	.Y(n_54707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817520 (
+	.A(n_54710),
+	.B(n_52884),
+	.Y(n_54711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817521 (
+	.A(n_52455),
+	.B(n_54709),
+	.Y(n_54710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817522 (
+	.A(n_70788),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1253 ),
+	.Y(n_54709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g817523 (
+	.A1(n_54712),
+	.A2(n_69605),
+	.B1(n_73965),
+	.Y(n_54713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817524 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1707 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_54712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817525 (
+	.A(n_54712),
+	.B(n_69605),
+	.Y(n_54714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817526 (
+	.A(n_59606),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1455 ),
+	.Y(n_54717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817528 (
+	.A(n_35142),
+	.B(n_75250),
+	.Y(n_54715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817531 (
+	.A(n_49279),
+	.B(n_49280),
+	.Y(n_54718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817532 (
+	.A(n_54724),
+	.B(n_54725),
+	.Y(n_54726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817533 (
+	.A(n_59206),
+	.B(n_54723),
+	.Y(n_54724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817535 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1955 ),
+	.B(n_54722),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1679 ),
+	.Y(n_54723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817536 (
+	.A(n_35031),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_54722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817537 (
+	.A(n_26446),
+	.B(n_64744),
+	.Y(n_54725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817539 (
+	.A(n_54731),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1287 ),
+	.Y(n_54732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817540 (
+	.A(n_54729),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_54731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817541 (
+	.A(n_65752),
+	.B(n_54728),
+	.Y(n_54729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817542 (
+	.A(n_68591),
+	.B(n_52455),
+	.Y(n_54728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817552 (
+	.A(n_26458),
+	.B(n_64744),
+	.Y(n_54740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817553 (
+	.A(n_69462),
+	.Y(n_54742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817555 (
+	.A(n_54746),
+	.Y(n_54747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817556 (
+	.A(n_54743),
+	.B(n_54744),
+	.C(n_54745),
+	.Y(n_54746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817557 (
+	.A(n_54640),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_54743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817558 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1659 ),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_54744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817559 (
+	.A(n_35940),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_54745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817560 (
+	.A(n_54750),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1756 ),
+	.Y(n_54751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817561 (
+	.A(n_54749),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_54750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817562 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1551 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1469 ),
+	.Y(n_54749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817563 (
+	.A(n_54753),
+	.B(n_53004),
+	.Y(n_54754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817564 (
+	.A(n_54752),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_54753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817565 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1012 ),
+	.B(n_39071),
+	.Y(n_54752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817572 (
+	.A(n_68733),
+	.B(n_52455),
+	.Y(n_54759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817573 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1220 ),
+	.B(n_54762),
+	.Y(n_54763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g817574 (
+	.A1(n_50482),
+	.A2(n_50483),
+	.B1(FE_DBTN6_n_75245),
+	.Y(n_54762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g817578 (
+	.A(n_54768),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ),
+	.C(n_35524),
+	.D(n_49373),
+	.Y(n_54769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817579 (
+	.A(n_54767),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_54768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817580 (
+	.A(n_36122),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1453 ),
+	.Y(n_54767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817583 (
+	.A(n_66717),
+	.B(n_50118),
+	.Y(n_54771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817585 (
+	.A(n_54778),
+	.Y(n_54779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817586 (
+	.A(n_54776),
+	.B(n_44490),
+	.Y(n_54778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817587 (
+	.A(n_70508),
+	.B(n_17828),
+	.Y(n_54776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817589 (
+	.A(n_57317),
+	.B(n_69235),
+	.Y(n_54774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g817591 (
+	.A(n_54781),
+	.B(n_54041),
+	.Y(n_54782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817592 (
+	.A(n_54780),
+	.B(n_48670),
+	.Y(n_54781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817593 (
+	.A(n_47728),
+	.B(n_18361),
+	.C(n_44490),
+	.Y(n_54780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817594 (
+	.A(n_46891),
+	.B(n_54784),
+	.Y(n_54785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817595 (
+	.A(n_54783),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_54784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817596 (
+	.A(n_18135),
+	.B(n_58132),
+	.C(n_74601),
+	.Y(n_54783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817602 (
+	.A(n_40200),
+	.B(n_18557),
+	.Y(n_54789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817603 (
+	.A(n_54806),
+	.B(n_54789),
+	.Y(n_54792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817604 (
+	.A(n_55205),
+	.B(n_54793),
+	.Y(n_54794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g817605 (
+	.A1(FE_DBTN20_n_58706),
+	.A2(n_49449),
+	.B1(FE_DBTN67_n_44490),
+	.Y(n_54793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817610 (
+	.A(n_54805),
+	.Y(n_54806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817611 (
+	.A(n_54799),
+	.B(n_54804),
+	.Y(n_54805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817612 (
+	.A(n_49776),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_54799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817613 (
+	.A(n_67026),
+	.B(n_55990),
+	.Y(n_54804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817619 (
+	.A(n_57722),
+	.B(n_74892),
+	.C(n_67851),
+	.Y(n_54812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817625 (
+	.A(n_56275),
+	.Y(n_54815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817631 (
+	.A(n_70223),
+	.B(n_52818),
+	.Y(n_54822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g817634 (
+	.A1(n_55251),
+	.A2(n_51586),
+	.B1(n_32799),
+	.Y(n_54825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817635 (
+	.A(n_69136),
+	.B(n_69135),
+	.Y(n_51586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g817639 (
+	.A_N(n_67593),
+	.B(n_68289),
+	.C(n_43008),
+	.Y(n_54826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817640 (
+	.A(n_68289),
+	.B(n_43008),
+	.Y(n_54829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g817642 (
+	.A(n_54830),
+	.B_N(n_18155),
+	.Y(n_54831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g817643 (
+	.A(n_48670),
+	.B(FE_DBTN67_n_44490),
+	.X(n_54830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt817646 (
+	.A(n_54436),
+	.Y(n_54833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817652 (
+	.A(n_71316),
+	.B(n_73681),
+	.Y(n_54840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817683 (
+	.A(n_46547),
+	.B(n_54879),
+	.Y(n_54880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817684 (
+	.A(n_74884),
+	.Y(n_54879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817697 (
+	.A(n_39351),
+	.B(n_54892),
+	.Y(n_33432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817698 (
+	.A(n_54889),
+	.B(n_54890),
+	.Y(n_39351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817699 (
+	.A(FE_DBTN48_n_51233),
+	.B(n_43414),
+	.Y(n_54889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817700 (
+	.A(n_51233),
+	.B(n_43413),
+	.Y(n_54890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817701 (
+	.A(n_54349),
+	.B(n_50871),
+	.Y(n_54892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817702 (
+	.A(n_54899),
+	.B(n_71041),
+	.Y(n_54901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817703 (
+	.A(n_54898),
+	.B(n_49767),
+	.C(n_50627),
+	.Y(n_54899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817704 (
+	.A(n_56281),
+	.B(n_71044),
+	.Y(n_54898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817710 (
+	.A(n_49767),
+	.B(n_50627),
+	.Y(n_48918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817711 (
+	.A(n_57662),
+	.B(n_54907),
+	.Y(n_54908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817715 (
+	.A(n_54906),
+	.Y(n_54907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g817716 (
+	.A(n_67743),
+	.B(n_46364),
+	.X(n_54906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817724 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_92),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1794),
+	.Y(n_54912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817727 (
+	.A(n_70003),
+	.B(n_54920),
+	.C(n_54919),
+	.Y(n_54923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817728 (
+	.A(n_30937),
+	.B(n_18344),
+	.Y(n_54919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817729 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2740 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2457 ),
+	.Y(n_54920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817732 (
+	.A(n_70003),
+	.B(n_54919),
+	.Y(n_54924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817733 (
+	.A(n_54983),
+	.B(n_54985),
+	.Y(n_54930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817735 (
+	.A(n_43077),
+	.B(n_52565),
+	.Y(n_54925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817736 (
+	.A(n_54997),
+	.B(n_49449),
+	.Y(n_54926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817740 (
+	.A(n_54933),
+	.B(n_44490),
+	.Y(n_36644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817741 (
+	.A(n_43951),
+	.B(FE_DBTN37_n_54235),
+	.C(n_54932),
+	.Y(n_54933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817743 (
+	.A(FE_DBTN39_n_53218),
+	.B(n_51062),
+	.Y(n_54931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817747 (
+	.A(n_43951),
+	.B(FE_DBTN37_n_54235),
+	.Y(n_54939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817749 (
+	.A(n_52569),
+	.B(n_52566),
+	.Y(n_54940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g817750 (
+	.A1(n_54941),
+	.A2(n_69182),
+	.B1(n_57971),
+	.Y(n_54946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817751 (
+	.A(n_58134),
+	.B(n_57729),
+	.C(n_53826),
+	.Y(n_54941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817755 (
+	.A(n_68836),
+	.Y(n_54944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g817768 (
+	.A(n_73655),
+	.B(FE_DBTN33_n_65995),
+	.C(n_68614),
+	.Y(n_54967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817773 (
+	.A(n_53072),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_54963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817777 (
+	.A(n_54969),
+	.B(n_54970),
+	.Y(n_54971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817778 (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [29]),
+	.Y(n_54969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817779 (
+	.A(FE_DBTN41_n_55284),
+	.B(n_33634),
+	.Y(n_54970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g817786 (
+	.A_N(n_49265),
+	.B(n_54990),
+	.Y(n_54991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817788 (
+	.A(n_49262),
+	.B(n_49263),
+	.Y(n_54981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817789 (
+	.A(n_54983),
+	.B(n_54985),
+	.C(n_54989),
+	.Y(n_54990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817790 (
+	.A(n_54925),
+	.B(n_54926),
+	.Y(n_54983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817791 (
+	.A(n_54984),
+	.Y(n_54985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817792 (
+	.A(n_47729),
+	.B(n_43091),
+	.Y(n_54984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817793 (
+	.A(n_67784),
+	.Y(n_54989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817798 (
+	.A(n_54995),
+	.B(n_54996),
+	.Y(n_54997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817799 (
+	.A(n_54992),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_54995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817800 (
+	.A(n_46936),
+	.B(n_46932),
+	.Y(n_54992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817802 (
+	.A(n_54159),
+	.B(n_54158),
+	.Y(n_54993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817803 (
+	.A(n_53819),
+	.B(n_54993),
+	.Y(n_54996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817809 (
+	.A(n_66792),
+	.B(n_66791),
+	.Y(n_44490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817814 (
+	.A(n_68567),
+	.B(n_55014),
+	.Y(n_55015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817817 (
+	.A(n_55009),
+	.Y(n_55010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817818 (
+	.A(n_66304),
+	.B(n_48659),
+	.Y(n_55009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817819 (
+	.A(n_55013),
+	.Y(n_55014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g817820 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1687 ),
+	.B(n_55012),
+	.Y(n_55013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g817821 (
+	.A(n_43254),
+	.B(n_67594),
+	.X(n_55012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817822 (
+	.A(n_66093),
+	.B(n_55015),
+	.Y(n_55017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817824 (
+	.A(n_68567),
+	.Y(n_55019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g817825 (
+	.A(n_47698),
+	.B(n_55014),
+	.X(n_55020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g817826 (
+	.A_N(n_55021),
+	.B(n_68566),
+	.Y(n_55022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817827 (
+	.A(n_48659),
+	.B(n_66304),
+	.C(n_55013),
+	.Y(n_55021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817830 (
+	.A(n_74487),
+	.B(n_55030),
+	.Y(n_55031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817832 (
+	.A(n_55025),
+	.Y(n_55026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817833 (
+	.A(FE_DBTN79_n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[12]),
+	.Y(n_55025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g817834 (
+	.A1(n_53268),
+	.A2(FE_DBTN5_n_70976),
+	.B1(n_66046),
+	.X(n_55030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817839 (
+	.A(n_55025),
+	.B(n_55034),
+	.Y(n_53181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g817840 (
+	.A1(n_53268),
+	.A2(FE_DBTN5_n_70976),
+	.B1(FE_DBTN79_n_19051),
+	.X(n_55034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817846 (
+	.A(n_43090),
+	.B(n_74928),
+	.Y(n_55038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g817850 (
+	.A_N(n_48346),
+	.B(n_20807),
+	.C(n_25165),
+	.X(n_51697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817851 (
+	.A(n_55051),
+	.Y(n_55052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g817852 (
+	.A1(n_50274),
+	.A2(n_55050),
+	.B1(n_22181),
+	.Y(n_55051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt817853 (
+	.A(n_55049),
+	.Y(n_55050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817854 (
+	.A(n_55047),
+	.B(n_55048),
+	.Y(n_55049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817855 (
+	.A(soc_top_data_rdata[27]),
+	.B(n_51697),
+	.Y(n_55047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g817856 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.B1(n_23169),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.C1(n_23568),
+	.Y(n_55048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g817859 (
+	.A1(n_55049),
+	.A2(n_47063),
+	.B1(n_66455),
+	.Y(n_44023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817862 (
+	.A(n_55065),
+	.B(n_16741),
+	.Y(n_55066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g817863 (
+	.A(n_55061),
+	.B(n_56269),
+	.Y(n_55065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817864 (
+	.A(n_49611),
+	.B(n_66456),
+	.Y(n_55061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g817869 (
+	.A(n_55062),
+	.Y(n_55063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817870 (
+	.A(n_44105),
+	.B(n_16382),
+	.Y(n_55062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817873 (
+	.A(n_73429),
+	.B(n_73428),
+	.Y(n_55072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817877 (
+	.A(n_54396),
+	.B(n_43090),
+	.Y(n_55068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817879 (
+	.A(n_65693),
+	.B(n_44942),
+	.Y(n_55073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817887 (
+	.A(n_49762),
+	.B(n_49763),
+	.Y(n_55083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g817895 (
+	.A(n_19109),
+	.B(n_69684),
+	.Y(n_55088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817896 (
+	.A(n_70975),
+	.B(n_70974),
+	.Y(n_55092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817899 (
+	.A(n_53704),
+	.B(n_55097),
+	.Y(n_55098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g817900 (
+	.A1(n_73083),
+	.A2(n_67805),
+	.B1(n_55096),
+	.Y(n_55097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817901 (
+	.A(n_15962),
+	.B(n_15965),
+	.C(n_55095),
+	.Y(n_55096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g817902 (
+	.A1(n_27425),
+	.A2(n_15362),
+	.B1(n_15624),
+	.B2(n_25133),
+	.Y(n_55095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817903 (
+	.A(n_15483),
+	.B(n_66457),
+	.Y(n_55101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817907 (
+	.A(n_49507),
+	.B(n_49508),
+	.Y(n_55103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817908 (
+	.A(n_55107),
+	.B(n_14111),
+	.Y(n_55108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817909 (
+	.A(FE_DBTN122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2),
+	.B(n_55103),
+	.Y(n_55107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817912 (
+	.A(n_52820),
+	.B(n_55107),
+	.Y(n_55109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817913 (
+	.A(n_51100),
+	.B(FE_DBTN52_n_55103),
+	.Y(n_55111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817915 (
+	.A(n_55103),
+	.B(n_51101),
+	.Y(n_55112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817937 (
+	.A(n_55136),
+	.B(n_55137),
+	.C(n_55139),
+	.Y(n_55140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g817938 (
+	.A(n_58677),
+	.B(n_55038),
+	.X(n_55136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817939 (
+	.A(n_54001),
+	.B(n_54007),
+	.Y(n_55137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g817940 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ),
+	.A2_N(n_46111),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ),
+	.B2(n_46111),
+	.Y(n_55139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817942 (
+	.A(n_55141),
+	.B(n_55142),
+	.Y(n_55143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817943 (
+	.A(n_55136),
+	.B(n_55137),
+	.Y(n_55141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g817944 (
+	.A(n_55139),
+	.Y(n_55142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817945 (
+	.A(n_55146),
+	.B(n_55137),
+	.Y(n_55147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g817946 (
+	.A(n_55145),
+	.B_N(n_58677),
+	.Y(n_55146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817947 (
+	.A(n_55038),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2389 ),
+	.Y(n_55145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g126 (
+	.A(n_55148),
+	.B(n_55149),
+	.Y(n_55150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817950 (
+	.A(n_49174),
+	.B(n_51159),
+	.Y(n_55148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817951 (
+	.A(n_51622),
+	.B(FE_DBTN107_n_51159),
+	.Y(n_55149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817952 (
+	.A(n_35444),
+	.B(FE_DBTN51_n_52672),
+	.Y(n_55151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt817964 (
+	.A(n_52671),
+	.Y(n_35444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g817966 (
+	.A(n_53992),
+	.B(n_55015),
+	.C(n_66093),
+	.Y(n_55164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817979 (
+	.A(n_54393),
+	.B(n_54214),
+	.Y(n_55177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817981 (
+	.A(n_55184),
+	.Y(n_55185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g817982 (
+	.A(n_56727),
+	.B(FE_DBTN31_n_56725),
+	.Y(n_55184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817983 (
+	.A(n_56727),
+	.B(FE_DBTN31_n_56725),
+	.C(n_51312),
+	.Y(n_55186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g817985 (
+	.A(n_55191),
+	.B(n_55192),
+	.Y(n_55193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817986 (
+	.A(n_55190),
+	.Y(n_55191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g817987 (
+	.A(n_55187),
+	.B(n_55188),
+	.C(n_60170),
+	.Y(n_55190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g817988 (
+	.A(n_48225),
+	.B(n_67805),
+	.Y(n_55187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g817989 (
+	.A(n_16550),
+	.Y(n_55188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g817991 (
+	.A(n_55098),
+	.B(n_47425),
+	.Y(n_55192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g817999 (
+	.A_N(FE_DBTN37_n_54235),
+	.B(n_55204),
+	.Y(n_55205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g818000 (
+	.A(n_57720),
+	.B(n_74893),
+	.C(n_70094),
+	.Y(n_55204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818002 (
+	.A(n_55204),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_55208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g818009 (
+	.A(n_54792),
+	.B(n_38188),
+	.Y(n_55214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g818011 (
+	.A(n_55215),
+	.B(n_55214),
+	.Y(n_55216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g818012 (
+	.A_N(n_68954),
+	.B(addinc_ADD_UNS_OP_2_n_420),
+	.Y(n_55215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 addinc_ADD_UNS_OP_2_g818013 (
+	.A1(n_37367),
+	.A2(n_66763),
+	.B1(n_55216),
+	.B2(addinc_ADD_UNS_OP_2_n_332),
+	.C1(n_39737),
+	.X(n_55218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g818014 (
+	.A1(n_37367),
+	.A2(addinc_ADD_UNS_OP_2_n_554),
+	.B1(n_55216),
+	.X(n_55220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g818024 (
+	.A(n_68880),
+	.B(n_45201),
+	.Y(n_55229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818025 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_495),
+	.B(n_68517),
+	.Y(n_55230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818026 (
+	.A(n_55231),
+	.B(n_49592),
+	.Y(n_55232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 WALLACE_CSA_DUMMY_OP_groupi_g818027 (
+	.A(n_55229),
+	.B(n_55230),
+	.Y(n_55231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818028 (
+	.A(n_49467),
+	.B(n_55231),
+	.Y(n_55234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g818030 (
+	.A(n_49592),
+	.B(n_55231),
+	.X(n_55235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818031 (
+	.A(n_55238),
+	.B(n_54214),
+	.Y(n_55239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818032 (
+	.A(n_55236),
+	.B(n_58976),
+	.Y(n_55238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818033 (
+	.A(n_54666),
+	.B(FE_DBTN49_n_72075),
+	.Y(n_55236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818036 (
+	.A(n_55238),
+	.B(n_49449),
+	.Y(n_55241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g818038 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_55238),
+	.B1_N(n_73864),
+	.X(n_55244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818042 (
+	.A(FE_DBTN108_n_46794),
+	.B(n_55247),
+	.Y(n_55248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818043 (
+	.A(n_56016),
+	.B(n_55912),
+	.Y(n_55247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g818044 (
+	.A1(FE_DBTN108_n_46794),
+	.A2(n_55247),
+	.B1(n_55248),
+	.Y(n_55250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g818046 (
+	.A(n_55247),
+	.B(n_46794),
+	.Y(n_55251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818047 (
+	.A(n_46794),
+	.B(n_55247),
+	.Y(n_32799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt818048 (
+	.A(n_55247),
+	.Y(n_55253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g818071 (
+	.A_N(FE_DBTN66_n_35437),
+	.B(n_55278),
+	.Y(n_55279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818072 (
+	.A(n_55276),
+	.B(n_69980),
+	.Y(n_55278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818073 (
+	.A(n_55072),
+	.B(n_55073),
+	.Y(n_55276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818075 (
+	.A(FE_DBTN66_n_35437),
+	.B(n_55278),
+	.Y(n_55281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g818078 (
+	.A(n_55278),
+	.Y(n_55282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818079 (
+	.A(n_52971),
+	.B(FE_DBTN42_n_52962),
+	.Y(n_55284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818083 (
+	.A(FE_DBTN41_n_55284),
+	.B(n_44189),
+	.Y(n_55289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g818089 (
+	.A(n_74899),
+	.B(n_58080),
+	.Y(n_55293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818095 (
+	.A(n_44462),
+	.B(n_35662),
+	.Y(n_55298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g818098  (
+	.A(n_55305),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_55306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g818099  (
+	.A(n_55303),
+	.B(n_55304),
+	.Y(n_55305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g818100  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1417 ),
+	.B(n_52455),
+	.Y(n_55303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g818101  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1394 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_55304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818112 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_67659),
+	.Y(n_55315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818121 (
+	.A(n_55327),
+	.B(n_50663),
+	.Y(n_55328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818122 (
+	.A(n_65789),
+	.B(n_70268),
+	.Y(n_55327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818126 (
+	.A(n_55327),
+	.B(n_34971),
+	.Y(n_55331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g818127  (
+	.A(n_55332),
+	.B(n_55333),
+	.CI(n_55334),
+	.COUT(n_42989),
+	.SUM(n_55336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g818128  (
+	.A1(n_67800),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2965 ),
+	.B2(n_43245),
+	.X(n_55332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g818129  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_512 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2966 ),
+	.B2(n_71086),
+	.X(n_55333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g818130  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_999 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_884 ),
+	.Y(n_55334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g818131 (
+	.A(n_43128),
+	.B(n_55336),
+	.C(n_43000),
+	.Y(n_55337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818135 (
+	.A(n_55336),
+	.Y(n_55341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818140 (
+	.A(n_69419),
+	.Y(n_55347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818334 (
+	.A(n_56831),
+	.B(n_56832),
+	.Y(n_55522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818338 (
+	.A(n_73831),
+	.B(n_55522),
+	.Y(addinc_ADD_UNS_OP_2_n_1970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818401 (
+	.A(n_55587),
+	.Y(n_55588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818402 (
+	.A(n_69982),
+	.B(n_53998),
+	.Y(n_55587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt761 (
+	.A(n_56836),
+	.Y(n_55741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818538 (
+	.A(n_69208),
+	.B(n_72051),
+	.Y(n_55738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818546 (
+	.A(n_68235),
+	.B(n_55771),
+	.Y(n_55772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818550 (
+	.A(n_74009),
+	.B(n_73995),
+	.Y(n_55758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818554 (
+	.A(n_67922),
+	.Y(n_49678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g466 (
+	.A(n_73739),
+	.B(n_50534),
+	.Y(n_55762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818556 (
+	.A(addinc_ADD_UNS_OP_2_n_10),
+	.B(addinc_ADD_UNS_OP_2_n_38),
+	.Y(n_55763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g818558 (
+	.A(n_55758),
+	.Y(n_55765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g818559 (
+	.A(n_55770),
+	.B(n_73739),
+	.C(n_50534),
+	.Y(n_55771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g818560 (
+	.A(n_67700),
+	.B(n_49659),
+	.Y(n_55770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818561 (
+	.A(n_74008),
+	.B(n_55765),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_1751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818565 (
+	.A(n_67790),
+	.Y(n_55785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818567 (
+	.A(n_71093),
+	.Y(n_55776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt818568 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2930 ),
+	.Y(n_55777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g818569 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_841 ),
+	.A2(n_33121),
+	.B1(n_66960),
+	.X(n_55782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g818573 (
+	.A_N(n_67791),
+	.B(n_55842),
+	.Y(n_55843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818576 (
+	.A(n_55789),
+	.B(n_55793),
+	.Y(n_55794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g407 (
+	.A(n_49893),
+	.B(n_49885),
+	.C(n_53375),
+	.D(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.Y(n_55789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g818578 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_412 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_425 ),
+	.Y(n_43995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818579 (
+	.A(n_43995),
+	.B(n_67792),
+	.Y(n_55793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g818583 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ),
+	.A2_N(n_74607),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ),
+	.B2(n_74607),
+	.Y(n_55820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818584 (
+	.A(n_55800),
+	.B(n_66961),
+	.Y(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g818585 (
+	.A(n_55796),
+	.B(FE_DBTN102_n_55797),
+	.C(n_55799),
+	.Y(n_55800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g818586 (
+	.A(n_53331),
+	.B(n_46641),
+	.Y(n_55796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818589 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_413 ),
+	.B(n_73974),
+	.Y(n_55797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818590 (
+	.A(n_33103),
+	.Y(n_55799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g818599 (
+	.A1_N(n_45468),
+	.A2_N(n_29557),
+	.B1(n_45468),
+	.B2(n_29557),
+	.Y(n_55810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g818604 (
+	.A(n_38736),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_407 ),
+	.Y(n_55815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g389 (
+	.A(n_67796),
+	.Y(n_55842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818609 (
+	.A(n_70996),
+	.Y(n_55828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818610 (
+	.A(n_33142),
+	.Y(n_55824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818612 (
+	.A(n_65239),
+	.B(n_36729),
+	.Y(n_55826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g818614 (
+	.A1_N(n_55829),
+	.A2_N(n_67797),
+	.B1(n_55829),
+	.B2(n_67797),
+	.Y(n_55837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g818615 (
+	.A1_N(n_66714),
+	.A2_N(n_33115),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_332 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_800 ),
+	.Y(n_55829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g394 (
+	.A(n_55849),
+	.B(n_55850),
+	.Y(n_55851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g395 (
+	.A1(n_55848),
+	.A2(n_70996),
+	.B1(n_55829),
+	.Y(n_55849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818622 (
+	.A(n_67797),
+	.Y(n_55848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818623 (
+	.A(n_70996),
+	.B(n_55848),
+	.Y(n_55850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818627 (
+	.A(n_49893),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.Y(n_55856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt818628 (
+	.A(n_43995),
+	.Y(n_49893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g419 (
+	.A(n_69464),
+	.Y(n_55862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818632 (
+	.A(n_55796),
+	.Y(n_55864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g418 (
+	.A(FE_DBTN102_n_55797),
+	.B(n_55799),
+	.Y(n_55865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g818635 (
+	.A(n_33103),
+	.B(n_55797),
+	.Y(n_55866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g818638 (
+	.A(n_55869),
+	.B(n_71342),
+	.C(n_55873),
+	.D(n_71343),
+	.Y(n_55875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818639 (
+	.A(n_73692),
+	.B(n_47575),
+	.Y(n_55869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818641 (
+	.A(n_53798),
+	.Y(n_55870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt818642 (
+	.A(n_48049),
+	.Y(n_55871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818643 (
+	.A(n_53092),
+	.B(n_67656),
+	.Y(n_55873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818645 (
+	.A(n_47578),
+	.B(n_47580),
+	.Y(n_55876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818646 (
+	.A(n_54991),
+	.B(n_48977),
+	.Y(n_55877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818648 (
+	.A(n_55869),
+	.B(n_55873),
+	.Y(n_55879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818650 (
+	.A(n_55876),
+	.B(n_55877),
+	.Y(n_55883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g818658 (
+	.A(n_55890),
+	.B(n_55891),
+	.C(n_69040),
+	.Y(n_55895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g818659 (
+	.A_N(n_48675),
+	.B(n_44490),
+	.Y(n_55890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818661 (
+	.A(n_48675),
+	.B(n_70562),
+	.Y(n_55891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818664 (
+	.A(n_55898),
+	.Y(n_55899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818665 (
+	.A(n_55891),
+	.B(n_69040),
+	.Y(n_55898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818666 (
+	.A(n_55905),
+	.B(n_55911),
+	.Y(n_55912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818667 (
+	.A(FE_DBTN30_n_56013),
+	.B(n_55904),
+	.Y(n_55905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818671 (
+	.A(n_54879),
+	.B(n_70089),
+	.Y(n_55901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818672 (
+	.A(n_53973),
+	.B(n_54831),
+	.Y(n_55904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g818673 (
+	.A(n_55910),
+	.Y(n_55911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818674 (
+	.A(n_55908),
+	.B(n_66944),
+	.Y(n_55910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818675 (
+	.A(n_53968),
+	.B(n_53985),
+	.Y(n_55908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818679 (
+	.A(n_55917),
+	.B(FE_DBTN29_n_55918),
+	.Y(n_55920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818680 (
+	.A(n_55915),
+	.B(n_74734),
+	.Y(n_55917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818681 (
+	.A(n_55913),
+	.B(n_55914),
+	.Y(n_55915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818682 (
+	.A(n_72074),
+	.B(n_71035),
+	.Y(n_55913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g1071 (
+	.A(n_49228),
+	.B(n_49229),
+	.Y(n_55914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g818685 (
+	.A(addinc_ADD_UNS_OP_2_n_762),
+	.B(n_43960),
+	.C(addinc_ADD_UNS_OP_2_n_785),
+	.D(n_50826),
+	.Y(n_55918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818711 (
+	.A(n_44294),
+	.B(n_73732),
+	.Y(n_31571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818715 (
+	.A(n_31571),
+	.Y(n_31572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g818716 (
+	.A(n_55955),
+	.B(n_49182),
+	.Y(n_55956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818717 (
+	.A(n_55954),
+	.B(n_53812),
+	.Y(n_55955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818718 (
+	.A(n_46319),
+	.B(n_46320),
+	.Y(n_55954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818720 (
+	.A(n_66099),
+	.B(n_66755),
+	.Y(n_55960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818722 (
+	.A(n_55234),
+	.B(n_48779),
+	.Y(n_48781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818731 (
+	.A(n_55971),
+	.B(n_69234),
+	.Y(n_55972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g818732 (
+	.A(n_55970),
+	.Y(n_55971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g818733 (
+	.A(n_55969),
+	.B(n_35750),
+	.C(n_57260),
+	.Y(n_55970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818734 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_16876),
+	.Y(n_55969), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818740 (
+	.A(n_55977),
+	.Y(n_55978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818741 (
+	.A(n_55976),
+	.B(n_57260),
+	.Y(n_55977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818742 (
+	.A(FE_DBTN85_n_53112),
+	.B(n_49670),
+	.Y(n_55976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818743 (
+	.A(n_55983),
+	.B(n_43578),
+	.Y(n_55984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818744 (
+	.A(n_66964),
+	.B(n_54919),
+	.Y(n_55983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g34 (
+	.A(n_70000),
+	.B(n_43519),
+	.Y(n_55981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818750 (
+	.A(n_55239),
+	.B(n_55068),
+	.Y(n_55985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818751 (
+	.A(n_52593),
+	.B(n_55992),
+	.Y(n_55993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818752 (
+	.A(n_17844),
+	.B(n_55990),
+	.Y(n_55992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g818754 (
+	.A(n_56782),
+	.B(n_17931),
+	.C(n_53223),
+	.Y(n_55990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt818756 (
+	.A(n_55990),
+	.Y(n_55994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt818761 (
+	.A(n_55999),
+	.Y(n_56000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818762 (
+	.A(n_52249),
+	.B(n_46893),
+	.Y(n_55999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818769 (
+	.A(n_56006),
+	.B(n_52350),
+	.Y(n_56007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818770 (
+	.A(n_56005),
+	.B(n_54214),
+	.Y(n_56006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818771 (
+	.A(n_56788),
+	.B(n_18233),
+	.Y(n_56005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g818773 (
+	.A(FE_DBTN30_n_56013),
+	.B(n_55904),
+	.C(n_55910),
+	.Y(n_56016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818775 (
+	.A(n_56012),
+	.B(n_55901),
+	.Y(n_56013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818776 (
+	.A(n_56011),
+	.B(n_69182),
+	.Y(n_56012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g818777 (
+	.A(n_63961),
+	.B(n_58123),
+	.C(n_56853),
+	.Y(n_56011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818783 (
+	.A(n_18479),
+	.B(n_70562),
+	.Y(n_56017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818788 (
+	.A(n_37554),
+	.B(n_19051),
+	.Y(n_56022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818791 (
+	.A(n_51239),
+	.B(n_56031),
+	.Y(n_51241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818792 (
+	.A(n_57386),
+	.B(n_56030),
+	.Y(n_56031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818793 (
+	.A(n_57389),
+	.B(n_70258),
+	.Y(n_56030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g818795 (
+	.A(n_56034),
+	.B(n_63963),
+	.C(n_44490),
+	.Y(n_56035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818796 (
+	.A(n_56033),
+	.B(n_63953),
+	.Y(n_56034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818797 (
+	.A(n_69382),
+	.B(n_46548),
+	.Y(n_56033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818799 (
+	.A(n_56034),
+	.B(n_63963),
+	.Y(n_56036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt818804 (
+	.A(n_57370),
+	.Y(n_56043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818810 (
+	.A(n_56052),
+	.B(n_51394),
+	.Y(n_56053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818811 (
+	.A(n_56051),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_56052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g818812 (
+	.A(n_56050),
+	.B(n_51389),
+	.Y(n_56051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g818813 (
+	.A(n_56049),
+	.Y(n_56050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818814 (
+	.A(n_17924),
+	.B(n_52167),
+	.Y(n_56049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818815 (
+	.A(n_56058),
+	.B(n_44448),
+	.Y(n_56059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818816 (
+	.A(n_44364),
+	.B(n_56057),
+	.Y(n_56058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818817 (
+	.A(n_56056),
+	.Y(n_56057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g818818 (
+	.A_N(n_50195),
+	.B(n_56055),
+	.Y(n_56056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g818819 (
+	.A(n_50759),
+	.B(n_53883),
+	.C(n_36717),
+	.Y(n_56055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818823 (
+	.A(n_56062),
+	.B(n_25276),
+	.Y(n_56063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818824 (
+	.A(n_56061),
+	.B(n_19051),
+	.Y(n_56062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818825 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_40109),
+	.Y(n_56061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818826 (
+	.A(n_56063),
+	.Y(n_50978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818829 (
+	.A(n_58643),
+	.B(n_70222),
+	.Y(n_56068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g818831 (
+	.A(n_54053),
+	.B(n_66086),
+	.Y(n_56066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818836 (
+	.A(n_50692),
+	.B(n_48928),
+	.Y(n_38438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818839 (
+	.A(n_38438),
+	.Y(n_38437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g818846 (
+	.A_N(n_66461),
+	.B(n_56084),
+	.Y(n_31465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g818848 (
+	.A(n_69692),
+	.B(n_15343),
+	.Y(n_56084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818850 (
+	.A(n_24450),
+	.B(n_56082),
+	.Y(n_15343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g818851 (
+	.A(n_19196),
+	.Y(n_56082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 WALLACE_CSA_DUMMY_OP_groupi_g818854 (
+	.A(n_73828),
+	.B(n_54073),
+	.COUT(WALLACE_CSA_DUMMY_OP_groupi_n_1545),
+	.SUM(UNCONNECTED320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g818862 (
+	.A(n_50586),
+	.B(soc_top_u_top_u_core_load_store_unit_i_data_type_q[0]),
+	.X(n_19107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt818877 (
+	.A(n_56300),
+	.Y(n_56117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g818987 (
+	.A(n_56268),
+	.B(n_55063),
+	.C(n_67669),
+	.Y(n_56269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g818988 (
+	.A(n_56267),
+	.B(n_67805),
+	.Y(n_56268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g818989 (
+	.A(FE_DBTN65_n_50154),
+	.B(n_69520),
+	.C(n_50158),
+	.Y(n_56267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g818990 (
+	.A_N(n_16489),
+	.B(n_56268),
+	.C(n_44567),
+	.Y(n_56271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt819001 (
+	.A(n_70264),
+	.Y(n_56281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819010 (
+	.A(n_23777),
+	.B(n_23708),
+	.Y(n_56288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g819011 (
+	.A1(n_56293),
+	.A2(n_53263),
+	.B1(n_53264),
+	.C1(n_53265),
+	.X(n_53268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g819013 (
+	.A(n_56293),
+	.Y(n_56294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g819015 (
+	.A(n_56293),
+	.B(n_59958),
+	.Y(n_56295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g819016 (
+	.A1(n_56293),
+	.A2(n_19165),
+	.B1(n_48510),
+	.X(n_56296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g819018 (
+	.A1_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[19]),
+	.A2_N(FE_DBTN79_n_19051),
+	.B1(FE_DBTN79_n_19051),
+	.B2(n_56299),
+	.Y(n_56300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g819019 (
+	.A(n_56298),
+	.B_N(FE_DBTN76_n_70132),
+	.Y(n_56299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g819020 (
+	.A1(n_67574),
+	.A2(n_38199),
+	.B1_N(n_24200),
+	.X(n_56298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g819021 (
+	.A(n_56299),
+	.Y(n_56302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819023 (
+	.A(n_56305),
+	.B(n_56310),
+	.Y(n_25169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819024 (
+	.A(n_56303),
+	.B(FE_DBTN77_n_23711),
+	.Y(n_56305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819025 (
+	.A(soc_top_data_rdata[23]),
+	.B(n_38207),
+	.Y(n_56303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819027 (
+	.A(n_47033),
+	.B(n_56305),
+	.Y(n_56308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g819029 (
+	.A1(n_56305),
+	.A2(n_47033),
+	.B1(n_57311),
+	.Y(n_56309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4_4 g819030 (
+	.A(n_19003),
+	.B(n_19006),
+	.C(n_20741),
+	.D(n_19007),
+	.X(n_56310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g819031 (
+	.A1(soc_top_data_rdata[18]),
+	.A2(n_38207),
+	.B1_N(n_23718),
+	.X(n_56311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g819032 (
+	.A1(n_53263),
+	.A2(n_56312),
+	.B1(n_48281),
+	.Y(n_56313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819033 (
+	.A(n_56310),
+	.B(n_56311),
+	.Y(n_56312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g819034 (
+	.A1(n_56312),
+	.A2(n_56674),
+	.B1(n_20854),
+	.Y(n_56314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g819035 (
+	.A(n_56312),
+	.Y(n_56316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt819037 (
+	.A(n_56319),
+	.Y(n_56321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819039 (
+	.A(n_56317),
+	.B(n_56318),
+	.Y(n_56319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819040 (
+	.A(n_55204),
+	.B(n_54235),
+	.Y(n_56317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819041 (
+	.A(FE_DBTN20_n_58706),
+	.B(n_49449),
+	.Y(n_56318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819042 (
+	.A(n_56319),
+	.B(n_47620),
+	.Y(n_56322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g819053 (
+	.A(n_59956),
+	.B(n_66463),
+	.Y(n_56333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819057 (
+	.A(n_56333),
+	.B(n_69692),
+	.Y(n_56337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g819063 (
+	.A0(n_59954),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.S(n_1254),
+	.X(n_56344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819070 (
+	.A(n_64065),
+	.B(n_55112),
+	.Y(n_56350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819075 (
+	.A(n_70127),
+	.B(n_44029),
+	.Y(n_56356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g819078 (
+	.A(n_71314),
+	.B(n_16837),
+	.Y(n_56361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819080 (
+	.A(n_54412),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_56358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819081 (
+	.A(n_54499),
+	.Y(n_56359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819145 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_751 ),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_56421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819410 (
+	.A(n_47028),
+	.Y(n_56668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819413 (
+	.A(n_47028),
+	.B(n_69683),
+	.Y(n_56671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819416 (
+	.A(n_56288),
+	.B(n_56310),
+	.Y(n_56293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_4 g819417 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[1]),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.C(n_19031),
+	.X(n_56674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g819418 (
+	.A(n_19184),
+	.B(n_50586),
+	.X(n_56675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819425 (
+	.A(n_56682),
+	.B(n_54703),
+	.Y(n_56683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g819426 (
+	.A_N(n_75245),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1130 ),
+	.Y(n_56682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g819429 (
+	.A_N(n_31531),
+	.B(n_57267),
+	.Y(n_56685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819436 (
+	.A(n_58696),
+	.B(n_74784),
+	.Y(n_56712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g819443 (
+	.A1(n_32979),
+	.A2(n_52928),
+	.B1(n_13875),
+	.X(n_56694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819444 (
+	.A(n_54618),
+	.B(n_35295),
+	.Y(n_56695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g819446 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1952 ),
+	.B(n_40534),
+	.C(n_69463),
+	.Y(n_56698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g819447 (
+	.A_N(n_64743),
+	.B(FE_DBTN55_n_54967),
+	.C(n_58044),
+	.Y(n_56699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g196 (
+	.A(n_58048),
+	.B(n_56704),
+	.Y(n_56705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819450 (
+	.A(n_51946),
+	.B(n_67739),
+	.Y(n_56702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819451 (
+	.A(n_64744),
+	.B(n_54746),
+	.Y(n_56704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g819452 (
+	.A(n_56708),
+	.B(n_56709),
+	.X(n_56710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819453 (
+	.A(n_14186),
+	.B(n_25284),
+	.Y(n_56708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g819454 (
+	.A0(n_27096),
+	.A1(n_27097),
+	.S(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ),
+	.X(n_56709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g819455 (
+	.A(n_56702),
+	.Y(n_56714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819462 (
+	.A(n_68589),
+	.Y(n_56722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819467 (
+	.A(FE_DBTN31_n_56725),
+	.B(n_56727),
+	.Y(n_56728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819469 (
+	.A(n_55177),
+	.B(n_56840),
+	.Y(n_56725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819470 (
+	.A(n_18245),
+	.B(n_52093),
+	.Y(n_56727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819475 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ),
+	.B(n_51312),
+	.Y(n_56732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819506 (
+	.A(n_56769),
+	.B(n_17927),
+	.Y(n_56770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819507 (
+	.A(FE_DBTN39_n_53218),
+	.B(n_69996),
+	.Y(n_56769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819515 (
+	.A(n_73561),
+	.B(n_53112),
+	.Y(n_51057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819518 (
+	.A(n_58533),
+	.B(n_69235),
+	.Y(n_56782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819521 (
+	.A(n_50501),
+	.B(n_55978),
+	.Y(n_56784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g819522 (
+	.A1(n_56787),
+	.A2(n_69999),
+	.B1_N(n_54993),
+	.Y(n_56788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g819523 (
+	.A1(n_56784),
+	.A2(n_69209),
+	.B1(n_56786),
+	.Y(n_56787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819525 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_50572),
+	.Y(n_56786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g819526 (
+	.A(n_56787),
+	.B(n_69999),
+	.Y(n_56789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819531 (
+	.A(n_52765),
+	.B(n_48129),
+	.Y(n_56794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g819533 (
+	.A1(n_56795),
+	.A2(n_56796),
+	.B1(n_56794),
+	.Y(n_56797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt819534 (
+	.A(n_55738),
+	.Y(n_56795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819535 (
+	.A(n_55522),
+	.B(n_73831),
+	.Y(n_56796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819536 (
+	.A(n_46423),
+	.B(n_46424),
+	.Y(n_56799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819537 (
+	.A(n_54610),
+	.B(n_46419),
+	.Y(n_56800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g819538 (
+	.A(n_56801),
+	.B(n_58670),
+	.Y(n_56802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g819539 (
+	.A(n_56800),
+	.B(n_56799),
+	.Y(n_56801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g819564  (
+	.A(n_55895),
+	.B(n_67891),
+	.Y(n_56825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819568 (
+	.A(n_37375),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1772 ),
+	.Y(n_56831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g819569  (
+	.A(n_57660),
+	.B(n_68074),
+	.Y(n_56832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819573 (
+	.A(n_72050),
+	.B(n_55738),
+	.Y(n_56836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819576 (
+	.A(n_56839),
+	.B(n_43090),
+	.Y(n_56840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819577 (
+	.A(n_58703),
+	.B(n_58975),
+	.Y(n_56839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819578 (
+	.A(n_56839),
+	.B(n_17679),
+	.Y(n_56841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819579 (
+	.A(n_56839),
+	.B(n_66409),
+	.Y(n_56842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g819580 (
+	.A1_N(n_55315),
+	.A2_N(n_56839),
+	.B1(n_55315),
+	.B2(n_18197),
+	.Y(n_56843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g819582 (
+	.A(n_50115),
+	.B(n_57327),
+	.C(n_47756),
+	.Y(n_56847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819585 (
+	.A(n_57327),
+	.B(n_69040),
+	.Y(n_56848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819587 (
+	.A(n_56849),
+	.B(n_57426),
+	.Y(n_56851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819588 (
+	.A(n_51836),
+	.Y(n_56849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819590 (
+	.A(n_56851),
+	.B(n_43951),
+	.Y(n_56853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt819592 (
+	.A(n_55877),
+	.Y(n_56855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819593 (
+	.A(n_56857),
+	.B(n_45709),
+	.Y(n_56858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g819594 (
+	.A(n_56856),
+	.B(n_56855),
+	.Y(n_56857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 addinc_ADD_UNS_OP_2_g819595 (
+	.A(n_55876),
+	.Y(n_56856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819598 (
+	.A(n_56860),
+	.B(n_56861),
+	.Y(n_56862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819599 (
+	.A(n_56728),
+	.B(n_66464),
+	.Y(n_56860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819600 (
+	.A(n_55185),
+	.B(n_52258),
+	.Y(n_56861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819606 (
+	.A(n_74840),
+	.B(n_55298),
+	.Y(n_56869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt819607 (
+	.A(n_52505),
+	.Y(n_56870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g819609 (
+	.A(n_56869),
+	.B(n_48580),
+	.C(n_56870),
+	.Y(n_56871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g819610 (
+	.A1(n_57436),
+	.A2(addinc_ADD_UNS_OP_2_n_1037),
+	.B1(n_56871),
+	.Y(n_56873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819611 (
+	.A(n_17708),
+	.B(n_17623),
+	.Y(n_56874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819616 (
+	.A(n_56851),
+	.B(n_54993),
+	.Y(n_56879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819617 (
+	.A(n_56880),
+	.B(n_43090),
+	.Y(n_56881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819618 (
+	.A(n_56879),
+	.B(n_70093),
+	.Y(n_56880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_4 g819621 (
+	.A1(n_16156),
+	.A2(n_16157),
+	.A3(n_16601),
+	.B1(n_16556),
+	.Y(n_56884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819622 (
+	.A(n_51443),
+	.B(n_47546),
+	.Y(n_56885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819623 (
+	.A(n_56886),
+	.Y(n_56887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g819624 (
+	.A(n_56885),
+	.B(n_56884),
+	.Y(n_56886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt819634 (
+	.A(n_56333),
+	.Y(n_56911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819640 (
+	.A(n_44180),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_22 ),
+	.Y(n_56917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819642 (
+	.A(n_57314),
+	.B(n_62746),
+	.Y(n_56922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g819650 (
+	.A(n_56799),
+	.B(n_56800),
+	.Y(n_56929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g819656 (
+	.A1(n_56935),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_65946),
+	.Y(n_56938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g819657 (
+	.A(addinc_ADD_UNS_OP_2_n_578),
+	.B(n_51931),
+	.Y(n_56935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g819684 (
+	.A(n_57336),
+	.Y(n_56961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819697 (
+	.A(n_53722),
+	.B(n_56978),
+	.Y(n_56979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g819698 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_104),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_87),
+	.Y(n_56978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt819699 (
+	.A(n_54771),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 addinc_ADD_UNS_OP_2_g819700 (
+	.A(n_51742),
+	.Y(WALLACE_CSA_DUMMY_OP_groupi_n_87), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt819701 (
+	.A(n_56978),
+	.Y(n_56981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g819703  (
+	.A(n_56984),
+	.B(n_52455),
+	.Y(n_56985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g819704  (
+	.A(n_56982),
+	.B(n_56983),
+	.Y(n_56984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g819705  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1180 ),
+	.B(n_75245),
+	.Y(n_56982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g819706  (
+	.A(n_70771),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_56983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g819713 (
+	.A(n_43764),
+	.Y(n_56989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g819996 (
+	.A(n_57251),
+	.B(n_54441),
+	.C(n_49951),
+	.Y(n_57252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g819997 (
+	.A(n_45337),
+	.B_N(n_73997),
+	.Y(n_57251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt820000 (
+	.A(n_69208),
+	.Y(n_52765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820003 (
+	.A(n_57274),
+	.B(n_57277),
+	.Y(n_57278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820004 (
+	.A(n_57270),
+	.B(n_57273),
+	.Y(n_57274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820005 (
+	.A(n_57266),
+	.B(n_57269),
+	.Y(n_57270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820006 (
+	.A(n_57258),
+	.B(n_57265),
+	.Y(n_57266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820007 (
+	.A(n_45050),
+	.B(n_17126),
+	.Y(n_57258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820008 (
+	.A(n_57259),
+	.B(n_57264),
+	.Y(n_57265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820009 (
+	.A(n_48964),
+	.B(n_48386),
+	.Y(n_57259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt423 (
+	.A(n_67801),
+	.Y(n_57264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820014 (
+	.A(n_57267),
+	.B(n_67801),
+	.Y(n_57269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820015 (
+	.A(n_57259),
+	.Y(n_57267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820016 (
+	.A(n_68682),
+	.B(n_57272),
+	.Y(n_57273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820018 (
+	.A(n_17087),
+	.B(n_71313),
+	.Y(n_57272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820019 (
+	.A(n_68683),
+	.B(n_53883),
+	.Y(n_57277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt419 (
+	.A(n_57272),
+	.Y(n_53883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820020 (
+	.A(n_48964),
+	.B(n_48386),
+	.C(n_67801),
+	.Y(n_57279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820028 (
+	.A(n_57366),
+	.B(FE_DBTN36_n_57301),
+	.Y(n_57303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820034 (
+	.A(n_50336),
+	.B(n_66441),
+	.Y(n_57301), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g131 (
+	.A(n_67802),
+	.Y(n_49858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g820038 (
+	.A1(n_56668),
+	.A2(n_66308),
+	.B1(n_57310),
+	.Y(n_57311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820039 (
+	.A(soc_top_data_rdata[15]),
+	.B(n_57309),
+	.Y(n_57310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g820040 (
+	.A(n_56668),
+	.B_N(n_48272),
+	.Y(n_57309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g820041 (
+	.A(n_62501),
+	.B(n_49550),
+	.Y(n_57316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820043 (
+	.A(n_56917),
+	.B(n_65779),
+	.Y(n_57314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820050 (
+	.A(n_46964),
+	.B(n_55972),
+	.Y(n_57317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820052 (
+	.A(n_57326),
+	.B(n_58121),
+	.Y(n_57327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820053 (
+	.A(n_57325),
+	.B(n_55322),
+	.Y(n_57326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820054 (
+	.A(n_75238),
+	.B(n_75239),
+	.Y(n_57325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820056 (
+	.A(n_48933),
+	.B(n_50692),
+	.Y(n_57323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g820058 (
+	.A(n_57329),
+	.B(n_51340),
+	.C(n_50842),
+	.D(n_69296),
+	.Y(n_57332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820059 (
+	.A(n_57328),
+	.B(n_31109),
+	.Y(n_57329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820060 (
+	.A(n_51387),
+	.B(n_48670),
+	.Y(n_57328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820064 (
+	.A(n_55109),
+	.B(FE_DBTN25_n_57340),
+	.Y(n_57342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820066 (
+	.A(n_57339),
+	.B(n_51883),
+	.Y(n_57340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820067 (
+	.A(n_57338),
+	.Y(n_57339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820068 (
+	.A(n_57337),
+	.B(n_14318),
+	.Y(n_57338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820069 (
+	.A(n_57336),
+	.B(n_68393),
+	.Y(n_57337), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820070 (
+	.A(n_14102),
+	.B(n_68687),
+	.Y(n_57336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820072 (
+	.A(n_49535),
+	.B(n_66006),
+	.Y(n_57348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g820078 (
+	.A(n_57349),
+	.B(n_57350),
+	.Y(n_57351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g820079 (
+	.A(n_19235),
+	.B(n_56293),
+	.Y(n_57349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g820080 (
+	.A1(n_56668),
+	.A2(n_39020),
+	.B1(n_22182),
+	.Y(n_57350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820087 (
+	.A(n_69213),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_57360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g820089 (
+	.A(n_52582),
+	.B(n_52583),
+	.C(n_69213),
+	.Y(n_57361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g820090 (
+	.A(FE_DBTN26_n_69241),
+	.B(n_53900),
+	.C(n_57364),
+	.Y(n_57365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820091 (
+	.A(FE_DBTN24_n_71054),
+	.B(n_57363),
+	.Y(n_57364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820093 (
+	.A(n_49970),
+	.B(n_49971),
+	.C(n_48853),
+	.Y(n_57363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820094 (
+	.A(FE_DBTN26_n_69241),
+	.B(n_57364),
+	.Y(n_57366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820095 (
+	.A(n_50846),
+	.B(n_50529),
+	.Y(n_57367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820097 (
+	.A(n_58120),
+	.B(n_44371),
+	.Y(n_57370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820101 (
+	.A(FE_DBTN95_n_63318),
+	.B(n_14378),
+	.Y(n_57371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g820102 (
+	.A(n_46168),
+	.B(n_46169),
+	.Y(n_57372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820111 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_68),
+	.B(n_70259),
+	.Y(n_57386), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820113 (
+	.A(n_56728),
+	.B(n_43584),
+	.Y(n_57383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820114 (
+	.A(n_55186),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ),
+	.Y(n_57384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g820117 (
+	.A(n_70259),
+	.Y(n_57389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g820118 (
+	.A(n_70580),
+	.Y(n_57390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt820119 (
+	.A(n_57392),
+	.Y(n_57393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820120 (
+	.A(n_57390),
+	.B(n_46665),
+	.Y(n_57392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820123 (
+	.A(n_57397),
+	.B(n_54993),
+	.Y(n_57398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820124 (
+	.A(n_57395),
+	.B(n_57396),
+	.Y(n_57397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820125 (
+	.A(n_69234),
+	.B(n_59753),
+	.Y(n_57395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820126 (
+	.A(n_17941),
+	.B(FE_DBTN83_n_69234),
+	.Y(n_57396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820130 (
+	.A(n_53992),
+	.B(n_52650),
+	.Y(n_57402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g820132 (
+	.A(n_74821),
+	.Y(n_48580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820134 (
+	.A(n_55164),
+	.Y(n_57406), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820137 (
+	.A(FE_DBTN51_n_52672),
+	.B(n_35444),
+	.Y(n_57407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820138 (
+	.A(n_52672),
+	.B(n_52671),
+	.Y(n_57408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820140 (
+	.A(n_58950),
+	.B(n_73985),
+	.Y(n_57412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g820143 (
+	.A(n_68772),
+	.B(n_57412),
+	.Y(n_57414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820147 (
+	.A(n_57418),
+	.B(n_65841),
+	.Y(n_57420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820148 (
+	.A(n_54971),
+	.B(n_54651),
+	.Y(n_57418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820150 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_57420),
+	.Y(n_57422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820151 (
+	.A(n_57425),
+	.Y(n_57426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820152 (
+	.A(n_57423),
+	.B(n_57424),
+	.Y(n_57425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820153 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_50572),
+	.Y(n_57423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820154 (
+	.A(FE_DBTN82_n_59754),
+	.B(n_17764),
+	.Y(n_57424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g820155 (
+	.A1(n_70125),
+	.A2(n_70231),
+	.B1_N(n_50405),
+	.Y(n_57427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820156 (
+	.A(n_66923),
+	.B(n_51930),
+	.Y(n_57428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820157 (
+	.A(n_57429),
+	.B(n_58036),
+	.Y(n_57430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820158 (
+	.A(n_57428),
+	.B(n_57427),
+	.Y(n_57429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g820160 (
+	.A(n_44889),
+	.B(n_37939),
+	.Y(n_57432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820164 (
+	.A(n_48580),
+	.B(n_56870),
+	.Y(n_57436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820165 (
+	.A(n_57438),
+	.Y(n_57439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g820166 (
+	.A(n_57436),
+	.B(n_57437),
+	.Y(n_57438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g820167 (
+	.A(FE_DBTN103_n_74843),
+	.B(addinc_ADD_UNS_OP_2_n_837),
+	.Y(n_57437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820170 (
+	.A(n_57432),
+	.B(n_70261),
+	.Y(n_57443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g820194 (
+	.A(n_57473),
+	.B(n_50826),
+	.Y(n_57474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820195 (
+	.A(n_57471),
+	.B(n_57472),
+	.Y(n_57473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820196 (
+	.A(n_57948),
+	.B(n_55741),
+	.Y(n_57471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820197 (
+	.A(n_56797),
+	.Y(n_57472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g820204 (
+	.A(n_34302),
+	.B(n_66466),
+	.Y(n_57482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820209 (
+	.A(n_57485),
+	.B(n_57486),
+	.Y(n_57487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt820210 (
+	.A(n_56800),
+	.Y(n_57485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g820211 (
+	.A(n_56799),
+	.Y(n_57486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820214 (
+	.A(n_50867),
+	.B(n_55244),
+	.Y(n_57491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820215 (
+	.A(addinc_ADD_UNS_OP_2_n_100),
+	.B(addinc_ADD_UNS_OP_2_n_51),
+	.Y(n_57493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g820216  (
+	.A(n_57491),
+	.Y(addinc_ADD_UNS_OP_2_n_100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g820218 (
+	.A(addinc_ADD_UNS_OP_2_n_100),
+	.B(addinc_ADD_UNS_OP_2_n_51),
+	.X(n_57495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g820219 (
+	.A(addinc_ADD_UNS_OP_2_n_100),
+	.B(addinc_ADD_UNS_OP_2_n_51),
+	.Y(n_57497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820385 (
+	.A(n_47624),
+	.B(n_58057),
+	.Y(n_57656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_1 g820390 (
+	.A1(n_70221),
+	.A2(n_58057),
+	.A3(n_58626),
+	.B1(FE_DBTN72_n_31641),
+	.Y(n_57657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820391 (
+	.A(n_71721),
+	.B(n_57659),
+	.Y(n_57660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820393 (
+	.A(n_58052),
+	.B(n_58051),
+	.C(n_44490),
+	.Y(n_57659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820394 (
+	.A(n_57659),
+	.B(n_58055),
+	.Y(n_57662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g820452 (
+	.A(n_55315),
+	.Y(n_55322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt820454 (
+	.A(n_54931),
+	.Y(n_54932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820455 (
+	.A(n_57397),
+	.B(n_57718),
+	.Y(n_57719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g820456 (
+	.A(n_57717),
+	.Y(n_57718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820457 (
+	.A(n_55322),
+	.B(FE_DBTN32_n_54993),
+	.Y(n_57717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820458 (
+	.A(n_56851),
+	.B(n_57718),
+	.Y(n_57720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820459 (
+	.A(n_51822),
+	.B(n_57718),
+	.Y(n_57721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820460 (
+	.A(n_53823),
+	.B(n_57718),
+	.Y(n_57722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820462 (
+	.A(n_55990),
+	.B(n_57718),
+	.Y(n_57724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820464 (
+	.A(n_68423),
+	.B(n_57718),
+	.Y(n_57726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820466 (
+	.A(n_44633),
+	.B(n_57718),
+	.Y(n_57728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820467 (
+	.A(n_53819),
+	.B(n_57718),
+	.Y(n_57729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820469 (
+	.A(n_54932),
+	.B(n_55322),
+	.C(FE_DBTN32_n_54993),
+	.Y(n_57730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 WALLACE_CSA_DUMMY_OP_groupi_g820569 (
+	.A(n_55955),
+	.B(n_49182),
+	.Y(n_57822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g820570 (
+	.A(n_55248),
+	.B(n_47354),
+	.C(n_51861),
+	.Y(n_57823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g820574 (
+	.A(n_62254),
+	.B(n_52103),
+	.Y(n_57828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820658 (
+	.A(n_51719),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_57902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g820659 (
+	.A_N(n_51719),
+	.B(n_44490),
+	.Y(n_57903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820698 (
+	.A(n_72089),
+	.B(n_56929),
+	.Y(n_57948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820702 (
+	.A(n_56825),
+	.B(n_57943),
+	.Y(n_57944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820703 (
+	.A(n_57941),
+	.B(n_57942),
+	.Y(n_57943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820704 (
+	.A(n_55895),
+	.Y(n_57941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820705 (
+	.A(n_67891),
+	.Y(n_57942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820708 (
+	.A(n_57951),
+	.B(n_54302),
+	.Y(n_57952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g65 (
+	.A(n_57950),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ),
+	.Y(n_57951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g66 (
+	.A(n_57949),
+	.B(n_68734),
+	.Y(n_57950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820709 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1414 ),
+	.B(n_52455),
+	.Y(n_57949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820710 (
+	.A(n_49005),
+	.B(n_49006),
+	.Y(n_57955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820712 (
+	.A(n_52595),
+	.B(n_57955),
+	.Y(n_57957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820718 (
+	.A(n_48091),
+	.Y(n_57963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820719 (
+	.A(n_47173),
+	.Y(n_57964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g820721 (
+	.A(n_69929),
+	.Y(n_57967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820724 (
+	.A(n_57970),
+	.B(n_54944),
+	.Y(n_57971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820725 (
+	.A(n_54815),
+	.B(n_43090),
+	.Y(n_57970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820727 (
+	.A(n_49065),
+	.B(n_57970),
+	.Y(n_57972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820728 (
+	.A(n_56281),
+	.B(n_71044),
+	.Y(n_57973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt820729 (
+	.A(n_57975),
+	.Y(n_57976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820730 (
+	.A(n_57974),
+	.B(n_57973),
+	.Y(n_57975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g820731 (
+	.A(n_66837),
+	.B(FE_DBTN56_n_49767),
+	.C(n_49770),
+	.Y(n_57974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g820732  (
+	.A(n_57979),
+	.B(n_75245),
+	.Y(n_57980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g820733  (
+	.A(n_57977),
+	.B(n_57978),
+	.Y(n_57979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g820734  (
+	.A(n_70516),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_57977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g820735  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_827 ),
+	.B(n_65952),
+	.Y(n_57978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt820745 (
+	.A(n_68796),
+	.Y(n_57993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g820771 (
+	.A(n_50028),
+	.B(n_66466),
+	.C(n_51286),
+	.Y(n_58026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820774 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_865),
+	.B(n_66466),
+	.Y(n_58028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820780 (
+	.A(n_66970),
+	.B(n_52216),
+	.Y(n_58036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g820784 (
+	.A(n_52222),
+	.B(n_66970),
+	.Y(n_58037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820791 (
+	.A(n_38753),
+	.B(n_74627),
+	.Y(n_58044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820795 (
+	.A(n_68627),
+	.B(n_56702),
+	.C(n_53294),
+	.Y(n_58048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g820796 (
+	.A(n_53294),
+	.B(n_49295),
+	.C(n_68627),
+	.Y(n_58050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820798 (
+	.A(n_56880),
+	.B(n_55315),
+	.Y(n_58051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820799 (
+	.A(n_56053),
+	.B(n_55322),
+	.Y(n_58052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820801 (
+	.A(n_58051),
+	.B(n_58052),
+	.Y(n_58053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g820802 (
+	.A1(n_58053),
+	.A2(FE_DBTN64_n_50136),
+	.B1(n_69981),
+	.Y(n_58055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt820803 (
+	.A(n_58053),
+	.Y(n_58057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 WALLACE_CSA_DUMMY_OP_groupi_g820805 (
+	.A_N(n_58060),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_11),
+	.Y(n_58062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820807 (
+	.A(n_58058),
+	.B(n_58059),
+	.Y(n_58060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820808 (
+	.A(n_57957),
+	.B(n_52596),
+	.Y(n_58058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820809 (
+	.A(n_52598),
+	.B(n_42833),
+	.Y(n_58059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g820810 (
+	.A(n_58060),
+	.B(n_68015),
+	.Y(n_58063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g820811 (
+	.A(n_68015),
+	.B(n_58060),
+	.Y(n_37939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820814 (
+	.A(n_47157),
+	.B(n_58069),
+	.Y(n_58070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g820815  (
+	.A(n_58067),
+	.B(n_58068),
+	.Y(n_58069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820816 (
+	.A(n_49965),
+	.B(n_67708),
+	.Y(n_58067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820817 (
+	.A(n_51235),
+	.B(n_66305),
+	.Y(n_58068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g820820 (
+	.A(n_74712),
+	.B(n_58069),
+	.C(n_47157),
+	.Y(n_58074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g820823 (
+	.A(n_58069),
+	.B(n_47157),
+	.Y(n_58076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g820829 (
+	.A1_N(n_69905),
+	.A2_N(n_74899),
+	.B1(n_69905),
+	.B2(n_74899),
+	.Y(n_58083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt820831 (
+	.A(n_69905),
+	.Y(n_58080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 addinc_ADD_UNS_OP_2_g7195_dup820834 (
+	.A1(n_45911),
+	.A2(n_45915),
+	.B1_N(n_49033),
+	.Y(n_38726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820835 (
+	.A(n_68887),
+	.B(n_68886),
+	.Y(n_49033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g820836 (
+	.A(n_45911),
+	.B(n_49033),
+	.Y(n_58090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g820841 (
+	.A(FE_DBTN22_n_58069),
+	.B(n_45252),
+	.Y(n_58094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 addinc_ADD_UNS_OP_2_g820843 (
+	.A(n_47157),
+	.Y(n_45252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820845 (
+	.A(n_53994),
+	.B(n_53995),
+	.Y(n_58098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g820846 (
+	.A(n_66934),
+	.B(n_58100),
+	.Y(n_58101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820847 (
+	.A(n_58098),
+	.B(n_58099),
+	.Y(n_58100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g820848 (
+	.A(n_46200),
+	.B(n_46204),
+	.Y(n_58099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g820849 (
+	.A(n_53616),
+	.B(n_58100),
+	.Y(n_58103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g820851 (
+	.A(n_58100),
+	.Y(n_58104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820859 (
+	.A(n_58114),
+	.B(n_75245),
+	.Y(n_58116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820861 (
+	.A(n_58112),
+	.B(n_58113),
+	.Y(n_58114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820862 (
+	.A(FE_DBTN9_n_69283),
+	.B(n_44313),
+	.Y(n_58112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820863 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_753 ),
+	.B(n_65405),
+	.Y(n_58113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g820864 (
+	.A(n_58114),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_58117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820865 (
+	.A(n_58119),
+	.B(n_57367),
+	.Y(n_58120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 fopt820866 (
+	.A(n_58118),
+	.Y(n_58119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820867 (
+	.A(n_54345),
+	.B(n_49653),
+	.Y(n_58118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g820868 (
+	.A1(n_70508),
+	.A2(n_58119),
+	.B1(FE_DBTN67_n_44490),
+	.Y(n_58121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820870 (
+	.A(n_56051),
+	.B(n_58119),
+	.Y(n_58123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820871 (
+	.A(n_54666),
+	.B(n_58119),
+	.Y(n_58124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820872 (
+	.A(n_70508),
+	.B(n_58119),
+	.Y(n_58126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820875 (
+	.A(n_52069),
+	.B(n_58119),
+	.Y(n_58128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820876 (
+	.A(n_50740),
+	.B(n_58119),
+	.Y(n_58129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g820877 (
+	.A(n_46547),
+	.B(n_58119),
+	.Y(n_58130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820878 (
+	.A(n_17841),
+	.B(n_58119),
+	.Y(n_58131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820879 (
+	.A(n_47328),
+	.B(n_58119),
+	.Y(n_58132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g820881 (
+	.A(n_53829),
+	.B(n_58119),
+	.Y(n_58134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g821297 (
+	.A_N(n_55876),
+	.B(n_56855),
+	.Y(n_58519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g821300 (
+	.A(n_68245),
+	.B(n_58522),
+	.Y(n_58523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821302 (
+	.A(n_13881),
+	.B(n_12982),
+	.Y(n_58522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g821303 (
+	.A(n_68682),
+	.B(n_53883),
+	.Y(n_58525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821304 (
+	.A(n_58527),
+	.B(n_44993),
+	.Y(n_58528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821305 (
+	.A(n_44991),
+	.B(n_58525),
+	.Y(n_58527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g821306 (
+	.A(n_17165),
+	.Y(n_44991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g821307 (
+	.A(n_15483),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.X(n_57260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g821308 (
+	.A1(n_69234),
+	.A2(n_51057),
+	.B1(n_58532),
+	.B2(FE_DBTN83_n_69234),
+	.Y(n_58533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g821309 (
+	.A(n_58530),
+	.B(n_58531),
+	.C(n_57260),
+	.Y(n_58532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821310 (
+	.A(FE_DBTN84_n_53113),
+	.B(n_16841),
+	.Y(n_58530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821311 (
+	.A(n_53112),
+	.B(n_33475),
+	.Y(n_58531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g821312 (
+	.A(n_58532),
+	.Y(n_58534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt821318 (
+	.A(n_46690),
+	.Y(n_58540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt821320 (
+	.A(n_58060),
+	.Y(n_58543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt821339 (
+	.A(n_33107),
+	.Y(n_58554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt821360 (
+	.A(n_59272),
+	.Y(n_58593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt821388 (
+	.A(n_53279),
+	.Y(n_58626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt821389 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.Y(n_58628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt821400 (
+	.A(n_56066),
+	.Y(n_58643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt821406 (
+	.A(n_48881),
+	.Y(n_58647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g821422 (
+	.A(n_58666),
+	.B(n_58949),
+	.Y(n_58668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821423 (
+	.A(n_51636),
+	.B(n_67635),
+	.Y(n_58666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 addinc_ADD_UNS_OP_2_g821425 (
+	.A(n_58668),
+	.B(n_57944),
+	.Y(n_58670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt821426 (
+	.A(n_58668),
+	.Y(n_39341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g821429 (
+	.A1(n_58675),
+	.A2(n_70562),
+	.B1_N(n_69040),
+	.Y(n_58676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821430 (
+	.A(n_56043),
+	.B(n_68424),
+	.Y(n_58675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821432 (
+	.A(n_58675),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_58677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g821433 (
+	.A(n_58675),
+	.B(n_31641),
+	.Y(n_58679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g821446 (
+	.A(n_56698),
+	.B(FE_DBTN63_n_56699),
+	.Y(n_58691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g821447 (
+	.A(n_56705),
+	.Y(n_58692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g821448 (
+	.A_N(n_70063),
+	.B(n_43389),
+	.C(n_41539),
+	.Y(n_58695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g821451 (
+	.A(n_70063),
+	.B(n_56710),
+	.Y(n_58696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821456 (
+	.A(FE_DBTN49_n_72075),
+	.B(n_47328),
+	.Y(n_58702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821458 (
+	.A(n_52069),
+	.B(FE_DBTN49_n_72075),
+	.Y(n_58703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g821460 (
+	.A(n_58533),
+	.B(n_73732),
+	.Y(n_58706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821722 (
+	.A(n_46125),
+	.B(n_44490),
+	.Y(n_58946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821723 (
+	.A(n_58948),
+	.B(n_67636),
+	.Y(n_58949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g821724 (
+	.A(FE_DBTN46_n_66808),
+	.B(n_58946),
+	.Y(n_58948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821726 (
+	.A(n_58948),
+	.B(n_46142),
+	.Y(n_58950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g821728  (
+	.A(n_70062),
+	.B(n_70076),
+	.Y(n_58955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g821730 (
+	.A(n_33510),
+	.Y(n_58952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g821734 (
+	.A(n_70062),
+	.Y(n_58958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g821735 (
+	.A(FE_DBTN57_n_73965),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_58959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g821738  (
+	.A_N(n_58959),
+	.B(n_52455),
+	.Y(n_58961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g821740  (
+	.A1(n_58961),
+	.A2(n_67021),
+	.B1(n_49311),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2212 ),
+	.C1(n_35886),
+	.X(n_58964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g821743  (
+	.A(n_58961),
+	.Y(n_58967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g821745 (
+	.A(n_54931),
+	.B(n_72075),
+	.Y(n_58970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821751 (
+	.A(n_44633),
+	.B(n_72075),
+	.Y(n_58975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821752 (
+	.A(n_51822),
+	.B(n_72075),
+	.Y(n_58976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821754 (
+	.A(n_59576),
+	.B(FE_DBTN19_n_58978),
+	.Y(n_56275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g821756 (
+	.A(n_49653),
+	.B(n_69235),
+	.Y(n_58978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g821978 (
+	.A(n_64745),
+	.Y(n_53294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g821980 (
+	.A1(n_68623),
+	.A2(n_12949),
+	.B1(n_40586),
+	.X(n_59186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821982 (
+	.A1(n_68623),
+	.A2(n_12833),
+	.B1(n_13016),
+	.Y(n_59187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g821983 (
+	.A1(n_35280),
+	.A2(n_68623),
+	.B1(n_65834),
+	.Y(n_59188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g821984 (
+	.A1(n_12942),
+	.A2(n_68623),
+	.B1(n_40576),
+	.Y(n_59189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g821985 (
+	.A(n_68623),
+	.B(n_13380),
+	.Y(n_59190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821986 (
+	.A1(n_68623),
+	.A2(n_12944),
+	.B1(n_13046),
+	.Y(n_59191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821987 (
+	.A1(n_68623),
+	.A2(n_53120),
+	.B1(n_40584),
+	.Y(n_59192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821988 (
+	.A1(n_68623),
+	.A2(n_70780),
+	.B1(n_53119),
+	.Y(n_59193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821989 (
+	.A1(n_68623),
+	.A2(n_12837),
+	.B1(n_13021),
+	.Y(n_59194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g821990 (
+	.A1(n_68623),
+	.A2(n_12939),
+	.B1(n_40577),
+	.Y(n_59195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821991 (
+	.A1(n_68623),
+	.A2(n_12838),
+	.B1(n_40585),
+	.Y(n_59196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g821992 (
+	.A1_N(n_52047),
+	.A2_N(n_64745),
+	.B1(n_12831),
+	.B2(n_68623),
+	.Y(n_59197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g821997 (
+	.A1(n_12948),
+	.A2(n_68623),
+	.B1(n_53063),
+	.Y(n_59202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g821999 (
+	.A1(n_68623),
+	.A2(n_12836),
+	.B1(n_52498),
+	.Y(n_59204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g822001 (
+	.A(n_68623),
+	.Y(n_59206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g822002 (
+	.A1(n_54747),
+	.A2(n_68623),
+	.B1(n_40572),
+	.Y(n_59207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822003 (
+	.A(n_59208),
+	.B(n_54740),
+	.Y(n_59209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g822004 (
+	.A(n_69462),
+	.B(n_68627),
+	.C(n_53294),
+	.Y(n_59208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g822041 (
+	.A(n_49854),
+	.B(n_70964),
+	.Y(n_59242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g822042  (
+	.A(n_59244),
+	.B(n_70157),
+	.Y(n_59245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g822043 (
+	.A(n_65952),
+	.B(n_59242),
+	.Y(n_59244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g822063 (
+	.A_N(n_35734),
+	.B(FE_DBTN19_n_58978),
+	.Y(n_59263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g822070  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2153 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2769 ),
+	.Y(n_54075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822071 (
+	.A(n_51211),
+	.B(n_51762),
+	.Y(n_59272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g822072 (
+	.A(n_57414),
+	.B(n_59273),
+	.Y(n_59274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g822073 (
+	.A(n_54075),
+	.B(n_59272),
+	.Y(n_59273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt822074 (
+	.A(n_59273),
+	.Y(n_40824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g822076 (
+	.A(n_73990),
+	.Y(n_59277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822397 (
+	.A(n_51673),
+	.B(n_59576),
+	.Y(n_59577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822398 (
+	.A(n_59574),
+	.B(n_59575),
+	.Y(n_59576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822399 (
+	.A(n_56874),
+	.B(FE_DBTN83_n_69234),
+	.Y(n_59574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g822400 (
+	.A(FE_DBTN12_n_68911),
+	.B(n_45180),
+	.C(n_66289),
+	.Y(n_59575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822401 (
+	.A(n_59576),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_59578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g822416 (
+	.A(n_50030),
+	.B(n_50031),
+	.C(n_59600),
+	.Y(n_59601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822417 (
+	.A(n_59598),
+	.B(n_46701),
+	.Y(n_59600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822418 (
+	.A(n_46690),
+	.B(n_66466),
+	.Y(n_59598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g822420 (
+	.A(n_50031),
+	.B(n_59600),
+	.C(n_50028),
+	.Y(n_59603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822422 (
+	.A(n_54715),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_59606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g822425  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1367 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_59607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g822427  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1426 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_59610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g822431  (
+	.A(n_54709),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_59613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g822432  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_59614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g822583 (
+	.A1(FE_DBTN71_n_69235),
+	.A2(n_51264),
+	.B1(n_53347),
+	.Y(n_59753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g822584 (
+	.A_N(n_69234),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_59754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g822798 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_59953),
+	.Y(n_59954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g822800 (
+	.A1(n_59958),
+	.A2(n_36879),
+	.B1(n_24006),
+	.C1(n_50489),
+	.D1(n_66351),
+	.X(n_59953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g822801 (
+	.A(n_59954),
+	.B(n_19051),
+	.Y(n_59956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822804 (
+	.A(n_38199),
+	.B(soc_top_u_top_u_core_load_store_unit_i_rdata_offset_q[0]),
+	.Y(n_59958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g822805 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_67803),
+	.Y(n_59964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g822808 (
+	.A1(n_73275),
+	.A2(n_53029),
+	.B1(n_44098),
+	.B2(n_53639),
+	.X(n_59959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g822809 (
+	.A(n_23682),
+	.B(n_47565),
+	.Y(n_59960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g823023 (
+	.A(n_60163),
+	.B(n_60164),
+	.Y(n_39713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g823024 (
+	.A(n_44319),
+	.B(n_67805),
+	.Y(n_60163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g823025 (
+	.A1(n_25130),
+	.A2(n_15624),
+	.B1(n_27426),
+	.B2(n_15362),
+	.Y(n_60164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g823026 (
+	.A(n_60169),
+	.Y(n_60170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g823027 (
+	.A(n_60168),
+	.B(n_60163),
+	.C(n_60164),
+	.Y(n_60169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g823028 (
+	.A(n_55031),
+	.Y(n_60168), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g823160 (
+	.A(n_53300),
+	.B(n_68801),
+	.Y(n_60294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g823163 (
+	.A(n_68651),
+	.B(n_67805),
+	.Y(n_60292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt823166 (
+	.A(n_68801),
+	.Y(n_60296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 drc_bufs823562 (
+	.A(n_25282),
+	.Y(n_655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g823894 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(soc_top_u_top_u_core_alu_operand_b_ex[1]),
+	.Y(n_61001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g823895 (
+	.A(n_25184),
+	.Y(n_61002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g823896 (
+	.A(n_25176),
+	.B(n_6202),
+	.C(n_1278),
+	.Y(n_61003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g823901 (
+	.A(n_9399),
+	.B(n_5001),
+	.Y(n_61025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g823905 (
+	.A_N(n_9745),
+	.B(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_valid_q[0]),
+	.C(n_130),
+	.Y(n_61026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g823953 (
+	.A(soc_top_u_top_u_core_pc_set),
+	.Y(n_61102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823954 (
+	.A1(n_9382),
+	.A2(n_25611),
+	.B1(n_73721),
+	.B2(soc_top_u_top_u_core_pc_if[5]),
+	.C1(n_1410),
+	.X(n_61104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823956 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[28]),
+	.B1(n_25634),
+	.B2(n_9382),
+	.C1(n_3905),
+	.X(n_61105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823957 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[31]),
+	.B1(n_25637),
+	.B2(n_9382),
+	.C1(n_3886),
+	.X(n_61106), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823958 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[25]),
+	.B1(n_25631),
+	.B2(n_9382),
+	.C1(n_3884),
+	.X(n_61107), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823959 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[29]),
+	.B1(n_9382),
+	.B2(n_25635),
+	.C1(n_4700),
+	.X(n_61108), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823960 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[27]),
+	.B1(n_25633),
+	.B2(n_9382),
+	.C1(n_3906),
+	.X(n_61109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823961 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[30]),
+	.B1(n_25636),
+	.B2(n_9382),
+	.C1(n_3896),
+	.X(n_61110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823962 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[26]),
+	.B1(n_25632),
+	.B2(n_9382),
+	.C1(n_3908),
+	.X(n_61111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823963 (
+	.A1(n_9382),
+	.A2(n_25608),
+	.B1(n_73721),
+	.B2(soc_top_u_top_u_core_pc_if[2]),
+	.C1(n_1425),
+	.X(n_61112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823964 (
+	.A1(n_9382),
+	.A2(n_25613),
+	.B1(n_73721),
+	.B2(soc_top_u_top_u_core_pc_if[7]),
+	.C1(n_1704),
+	.X(n_61113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823965 (
+	.A1(n_9382),
+	.A2(n_25612),
+	.B1(n_73721),
+	.B2(soc_top_u_top_u_core_pc_if[6]),
+	.C1(n_1708),
+	.X(n_61114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823966 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[23]),
+	.B1(n_9382),
+	.B2(n_25629),
+	.C1(n_3882),
+	.X(n_61115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823967 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[18]),
+	.B1(n_9382),
+	.B2(n_25624),
+	.C1(n_3877),
+	.X(n_61116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823968 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[20]),
+	.B1(n_9382),
+	.B2(n_25626),
+	.C1(n_3879),
+	.X(n_61117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823969 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[21]),
+	.B1(n_9382),
+	.B2(n_25627),
+	.C1(n_3880),
+	.X(n_61118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823970 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[17]),
+	.B1(n_9382),
+	.B2(n_25623),
+	.C1(n_3876),
+	.X(n_61119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823971 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[11]),
+	.B1(n_9382),
+	.B2(n_25617),
+	.C1(n_3803),
+	.X(n_61121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823972 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[15]),
+	.B1(n_9382),
+	.B2(n_25621),
+	.C1(n_3874),
+	.X(n_61122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823973 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[14]),
+	.B1(n_9382),
+	.B2(n_25620),
+	.C1(n_3873),
+	.X(n_61123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823974 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[19]),
+	.B1(n_9382),
+	.B2(n_25625),
+	.C1(n_3878),
+	.X(n_61124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823975 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[12]),
+	.B1(n_9382),
+	.B2(n_25618),
+	.C1(n_3871),
+	.X(n_61125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823976 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[22]),
+	.B1(n_9382),
+	.B2(n_25628),
+	.C1(n_3881),
+	.X(n_61126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823977 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[24]),
+	.B1(n_9382),
+	.B2(n_25630),
+	.C1(n_3883),
+	.X(n_61127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823978 (
+	.A1(n_9382),
+	.A2(n_25614),
+	.B1(n_73721),
+	.B2(soc_top_u_top_u_core_pc_if[8]),
+	.C1(n_1697),
+	.X(n_61128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823979 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[16]),
+	.B1(n_9382),
+	.B2(n_25622),
+	.C1(n_3875),
+	.X(n_61129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823980 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[4]),
+	.B1(n_9382),
+	.B2(n_25610),
+	.C1(n_1338),
+	.X(n_61130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823981 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[3]),
+	.B1(n_9382),
+	.B2(n_25609),
+	.C1(n_1634),
+	.X(n_61131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823982 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[10]),
+	.B1(n_9382),
+	.B2(n_25616),
+	.C1(n_3870),
+	.X(n_61132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823983 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[13]),
+	.B1(n_9382),
+	.B2(n_25619),
+	.C1(n_3872),
+	.X(n_61133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g823984 (
+	.A1(n_73721),
+	.A2(soc_top_u_top_u_core_pc_if[9]),
+	.B1(n_9382),
+	.B2(n_25615),
+	.C1(n_1684),
+	.X(n_61134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g823985 (
+	.A(n_73721),
+	.Y(n_61135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g824021 (
+	.A_N(n_1929),
+	.B(n_1955),
+	.Y(n_61170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g824022 (
+	.A(soc_top_u_top_u_core_id_in_ready),
+	.B(n_6189),
+	.Y(n_61171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g824024 (
+	.A(n_61170),
+	.B(n_61171),
+	.X(n_61172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g824025 (
+	.A1(n_9983),
+	.A2(n_61172),
+	.B1(n_9740),
+	.Y(n_61174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g824028 (
+	.A1(n_9760),
+	.A2(n_61172),
+	.B1(n_61486),
+	.C1(n_63174),
+	.X(n_61177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824030 (
+	.A(n_10045),
+	.B(n_61172),
+	.Y(n_61179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824031 (
+	.A(n_61172),
+	.B_N(n_9731),
+	.Y(n_61180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824032 (
+	.A(n_9755),
+	.B(n_61172),
+	.Y(n_61181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824033 (
+	.A(n_61172),
+	.B_N(n_9408),
+	.Y(n_61182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824034 (
+	.A(n_9406),
+	.B(n_61172),
+	.Y(n_61183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824035 (
+	.A(n_6686),
+	.B(n_61172),
+	.Y(n_61184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824036 (
+	.A(n_8966),
+	.B(n_61172),
+	.Y(n_61185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g824037 (
+	.A1(n_61172),
+	.A2(n_9759),
+	.B1(n_9730),
+	.C1(n_9607),
+	.D1(n_29980),
+	.Y(n_61186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g824039 (
+	.A1(n_61172),
+	.A2(n_8970),
+	.B1(n_61533),
+	.X(n_61187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_1 g824040 (
+	.A1(n_61172),
+	.A2(n_10513),
+	.B1(n_3825),
+	.B2(n_10056),
+	.C1(n_61485),
+	.X(n_61189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824048 (
+	.A(n_61171),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[18]),
+	.Y(n_61197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g824049 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.B1(n_61528),
+	.B2(n_5609),
+	.C1(n_61179),
+	.Y(n_61198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g824078 (
+	.A(n_61227),
+	.B(n_61228),
+	.Y(n_61229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g824079 (
+	.A(n_19027),
+	.Y(n_61227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g824080 (
+	.A(n_29416),
+	.B(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.X(n_61228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g824119 (
+	.A(n_2451),
+	.B(n_63833),
+	.Y(n_61279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g824122 (
+	.A(FE_DBTN18_n_61279),
+	.B(n_122),
+	.C(n_25365),
+	.Y(n_61284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824123 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [9]),
+	.Y(n_61285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824124 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [10]),
+	.Y(n_61287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824126 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [8]),
+	.Y(n_61288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824127 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [9]),
+	.Y(n_61289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824128 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_a_D [11]),
+	.Y(n_61290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824129 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [8]),
+	.Y(n_61291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824130 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [10]),
+	.Y(n_61292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824131 (
+	.A(FE_DBTN18_n_61279),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Exp_b_D [11]),
+	.Y(n_61293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g824132 (
+	.A1(FE_DBTN18_n_61279),
+	.A2(n_29280),
+	.B1_N(n_6700),
+	.X(n_61294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g824164 (
+	.A(n_64765),
+	.Y(n_61328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g824177  (
+	.A(n_65405),
+	.B(n_30603),
+	.Y(n_61340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g824286 (
+	.A1(n_67806),
+	.A2(n_70132),
+	.B1(n_19051),
+	.Y(n_61453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_g824295  (
+	.A1(n_74046),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_7 ),
+	.B1(n_54575),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_8 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_378_60_n_9 ),
+	.C2(n_16940),
+	.Y(n_61459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g824298 (
+	.A1(n_16940),
+	.A2(n_13350),
+	.B1(n_61469),
+	.Y(n_61461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g824299 (
+	.A(n_66912),
+	.B(n_16940),
+	.Y(n_61462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g824303 (
+	.A(n_29971),
+	.B(n_61467),
+	.Y(n_61466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g824305 (
+	.A(soc_top_u_top_u_core_lsu_wdata[18]),
+	.B(n_61467),
+	.C(n_61466),
+	.Y(n_61468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g824307 (
+	.A1(n_1030),
+	.A2(n_30399),
+	.B1(n_61467),
+	.X(n_61469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g824322 (
+	.A_N(n_6698),
+	.B(n_5323),
+	.Y(n_61483), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824323 (
+	.A(n_7875),
+	.B(n_5596),
+	.Y(n_61484), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g824324 (
+	.A(n_9729),
+	.Y(n_61485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g824325 (
+	.A(n_8977),
+	.B(n_9398),
+	.X(n_61486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g824326 (
+	.A(n_61486),
+	.B(n_61487),
+	.C(n_66973),
+	.Y(n_61492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g824327 (
+	.A0(n_10987),
+	.A1(soc_top_u_top_u_core_illegal_c_insn_id),
+	.S(n_61171),
+	.Y(n_61487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g824352 (
+	.A1(n_1580),
+	.A2(n_2852),
+	.B1(n_1288),
+	.B2(n_2871),
+	.C1(n_2750),
+	.X(n_61513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824353 (
+	.A(n_9398),
+	.B_N(n_62051),
+	.Y(n_61514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824357 (
+	.A(n_61513),
+	.B(n_61514),
+	.Y(n_61515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824358 (
+	.A(soc_top_u_top_u_core_instr_rdata_id[9]),
+	.B(n_61171),
+	.Y(n_61517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824360 (
+	.A(n_61518),
+	.B(n_61519),
+	.Y(n_61520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g824361 (
+	.A(n_9766),
+	.B(n_61184),
+	.X(n_61518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g824362 (
+	.A1(n_1580),
+	.A2(n_2861),
+	.B1(n_1288),
+	.B2(n_2828),
+	.C1(n_2759),
+	.X(n_61519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824363 (
+	.A(n_2813),
+	.B(n_61171),
+	.Y(n_61524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g824364 (
+	.A1(n_61525),
+	.A2(n_5326),
+	.B1(n_61172),
+	.C1(n_29980),
+	.D1(n_9914),
+	.Y(n_61527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g824366 (
+	.A(n_61524),
+	.Y(n_61525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g824367 (
+	.A1(n_61525),
+	.A2(n_10069),
+	.B1(n_10879),
+	.Y(n_61528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824369 (
+	.A(n_6688),
+	.B(n_61525),
+	.Y(n_61530), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824370 (
+	.A(n_61525),
+	.B_N(n_62051),
+	.Y(n_61531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_2 g824371 (
+	.A1(n_5325),
+	.A2(n_61525),
+	.B1(n_9730),
+	.C1(n_61486),
+	.X(n_61532), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_2 g824372 (
+	.A1(n_9758),
+	.A2(n_9786),
+	.A3(n_8970),
+	.B1(n_61525),
+	.X(n_61533), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111ai_1 g824374 (
+	.A1(n_5329),
+	.A2(n_10059),
+	.B1(n_10874),
+	.C1(n_10421),
+	.D1(n_66472),
+	.Y(n_61543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g824378 (
+	.A1(n_9729),
+	.A2(n_61531),
+	.B1(n_5597),
+	.X(n_61535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824379 (
+	.A(n_61171),
+	.B(soc_top_u_top_u_core_instr_rdata_alu_id[27]),
+	.Y(n_61537), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824380 (
+	.A(n_62692),
+	.B(n_61539),
+	.Y(n_61540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g824382 (
+	.A1(n_67221),
+	.A2(n_1579),
+	.B1(n_67239),
+	.B2(n_1283),
+	.C1(n_2668),
+	.Y(n_61539), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g824403 (
+	.A(n_130),
+	.B(n_10291),
+	.C_N(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.Y(n_61563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g824421 (
+	.A(n_61585),
+	.B(n_61587),
+	.Y(n_61588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g824422 (
+	.A1(n_52483),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B1(n_61584),
+	.Y(n_61585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g115 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.A2(n_39324),
+	.B1(n_61583),
+	.Y(n_61584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824423 (
+	.A(n_74868),
+	.B(n_58967),
+	.Y(n_61583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g824424 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1797 ),
+	.A2(FE_DBTN53_n_49347),
+	.B1(n_61586),
+	.Y(n_61587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824425 (
+	.A(n_70173),
+	.B(n_33304),
+	.Y(n_61586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824427 (
+	.A(n_56699),
+	.B(n_12948),
+	.Y(n_61589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g824428 (
+	.A1(n_68623),
+	.A2(n_53064),
+	.B1(n_61590),
+	.Y(n_61591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824429 (
+	.A(n_26454),
+	.B(n_64744),
+	.Y(n_61590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g824447  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_745 ),
+	.B(n_65405),
+	.Y(n_61611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g824455 (
+	.A1(n_61618),
+	.A2(n_61621),
+	.B1(n_61624),
+	.Y(n_61625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g824456 (
+	.A1(n_67240),
+	.A2(n_1287),
+	.B1(n_4178),
+	.Y(n_61618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g824457 (
+	.A1(n_61171),
+	.A2(soc_top_u_top_u_core_instr_rdata_alu_id[17]),
+	.B1(n_61620),
+	.X(n_61621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824459 (
+	.A(n_61172),
+	.B_N(n_10404),
+	.Y(n_61620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g118 (
+	.A(n_61187),
+	.B(n_10058),
+	.C(n_74492),
+	.Y(n_61624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824512 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_203),
+	.Y(n_61680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824513 (
+	.A(n_61677),
+	.B(n_61678),
+	.Y(n_61679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824514 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_419),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_172),
+	.Y(n_61677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824515 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_204),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_186),
+	.X(n_61678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824516 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_92),
+	.Y(n_61681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824517 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.Y(n_61682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824518 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_199),
+	.Y(n_61683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824519 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_200),
+	.Y(n_61684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824520 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_176),
+	.Y(n_61685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824521 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
+	.Y(n_61686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824522 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163),
+	.Y(n_61687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824523 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_180),
+	.Y(n_61688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824524 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_28),
+	.Y(n_61689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824525 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_201),
+	.Y(n_61690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824526 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_120),
+	.B(n_61679),
+	.X(n_61692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824528 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_105),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_401),
+	.Y(n_61693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g824529 (
+	.A(n_61679),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_163),
+	.C(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_168),
+	.Y(n_61694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt824555 (
+	.A(n_54655),
+	.Y(n_61720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g824556 (
+	.A1(n_61725),
+	.A2(n_25427),
+	.B1_N(n_61727),
+	.Y(n_61728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g824557 (
+	.A_N(n_25595),
+	.B(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.Y(n_61725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g824558 (
+	.A1(soc_top_u_top_u_core_id_stage_i_lsu_req_dec),
+	.A2(n_61726),
+	.B1(n_61725),
+	.B2(n_311795_BAR),
+	.Y(n_61727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g824559 (
+	.A1(soc_top_u_top_u_core_out_valid_fpu2c),
+	.A2(soc_top_u_top_u_core_is_fp_instr),
+	.B1(n_25440),
+	.Y(n_61726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g824600 (
+	.A(n_61768),
+	.Y(n_61769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824601 (
+	.A(n_13562),
+	.B(n_67155),
+	.Y(n_61768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g824602 (
+	.A(n_61772),
+	.B(n_61773),
+	.C(n_61769),
+	.Y(n_61774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824603 (
+	.A(n_25090),
+	.B(n_73723),
+	.Y(n_61772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g44 (
+	.A_N(n_73723),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_LOD_Ua_n_534 ),
+	.Y(n_61773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824605 (
+	.A(n_61772),
+	.B(n_61773),
+	.Y(n_61776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g824621 (
+	.A1_N(n_73669),
+	.A2_N(n_35615),
+	.B1(n_73669),
+	.B2(n_35615),
+	.Y(n_61795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 g824652 (
+	.A(n_65193),
+	.Y(n_61826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g824653 (
+	.A(n_26928),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_150 ),
+	.Y(n_61827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g824654 (
+	.A1(n_61829),
+	.A2(n_26832),
+	.B1(n_61828),
+	.B2(n_907),
+	.X(n_61830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g824655 (
+	.A(n_61828),
+	.Y(n_61829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g824656 (
+	.A(n_61826),
+	.B(n_61827),
+	.Y(n_61828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g824657 (
+	.A(n_61828),
+	.B(n_66103),
+	.X(n_61831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g824669 (
+	.A(n_61886),
+	.B(n_24526),
+	.C(n_61841),
+	.Y(n_61842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g824670 (
+	.A(\soc_top_lsu_to_xbar[a_address] [16]),
+	.B(n_67809),
+	.Y(n_61841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824684 (
+	.A(n_61856),
+	.B(n_61857),
+	.Y(n_61858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g824685 (
+	.A(n_15203),
+	.B(n_15190),
+	.Y(n_61856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824686 (
+	.A(n_15188),
+	.B(n_15194),
+	.Y(n_61857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfsbp_1 \soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.rf_wdata_wb_q_reg[31]  (
+	.CLK(CTS_102),
+	.D(n_63694),
+	.Q(soc_top_u_top_u_core_rf_wdata_fwd_wb[31]),
+	.Q_N(n_18907),
+	.SET_B(io_oeb[0]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g824710 (
+	.A(n_61881),
+	.B(n_67809),
+	.Y(n_61886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g824711 (
+	.A(\soc_top_lsu_to_xbar[a_address] [18]),
+	.Y(n_61881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824791 (
+	.A(n_34576),
+	.B(n_54542),
+	.Y(n_61963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_1 g824806 (
+	.A(soc_top_u_top_u_core_id_stage_i_jump_in_dec),
+	.B(soc_top_u_top_u_core_id_stage_i_branch_in_dec),
+	.C(n_27232),
+	.X(n_61978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g824807 (
+	.A(n_24540),
+	.B(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.X(n_61979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g824857  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(n_37906),
+	.C(n_30144),
+	.Y(n_62030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g824878 (
+	.A(n_66475),
+	.B_N(n_62051),
+	.Y(n_62052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824881 (
+	.A(n_5327),
+	.B(n_6688),
+	.Y(n_62051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 WALLACE_CSA_DUMMY_OP_groupi_g824885 (
+	.A(n_62059),
+	.B(n_51256),
+	.Y(n_62060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g824886 (
+	.A(n_62057),
+	.B(n_62058),
+	.Y(n_62059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g824887 (
+	.A(n_62056),
+	.Y(n_62057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g824888 (
+	.A(n_54021),
+	.B(n_45242),
+	.C(n_57823),
+	.D(n_70269),
+	.Y(n_62056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g824889 (
+	.A(n_54023),
+	.Y(n_62058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g824890 (
+	.A(n_62059),
+	.Y(n_62061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g824892 (
+	.A(n_62062),
+	.B(n_62067),
+	.C(n_62068),
+	.Y(n_62069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824893 (
+	.A(n_10049),
+	.B(n_5324),
+	.Y(n_62062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g824894 (
+	.A1(n_62063),
+	.A2(n_63174),
+	.B1(n_62065),
+	.C1(n_62213),
+	.X(n_62067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g824895 (
+	.A1(n_61513),
+	.A2(n_5526),
+	.B1(n_6672),
+	.Y(n_62063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g824897 (
+	.A(n_61181),
+	.B(n_5601),
+	.Y(n_62065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g824899 (
+	.A1(n_61184),
+	.A2(n_5326),
+	.B1(n_10186),
+	.Y(n_62068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt824905 (
+	.A(n_9726),
+	.Y(n_62074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g824915 (
+	.A(n_486),
+	.B(n_62088),
+	.Y(n_62089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g824917 (
+	.A1(n_25451),
+	.A2(soc_top_u_top_u_core_cs_registers_i_n_7142),
+	.B1_N(n_62087),
+	.Y(n_62088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g824918 (
+	.A(soc_top_u_top_u_core_id_stage_i_illegal_insn_dec),
+	.B(n_25445),
+	.Y(n_62087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825036 (
+	.A(n_62207),
+	.B(n_29974),
+	.C(n_10517),
+	.Y(n_62208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825037 (
+	.A(n_62205),
+	.B(n_62206),
+	.Y(n_62207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825038 (
+	.A(n_61532),
+	.B(n_10056),
+	.Y(n_62205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825039 (
+	.A1(n_1580),
+	.A2(n_2840),
+	.B1(n_1284),
+	.B2(n_2820),
+	.C1(n_2718),
+	.X(n_62206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g825040 (
+	.A(n_62205),
+	.Y(n_62209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825044 (
+	.A(n_62074),
+	.B(n_5324),
+	.Y(n_62213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g825046 (
+	.A1(n_6698),
+	.A2(n_61182),
+	.B1(soc_top_u_top_u_core_instr_rdata_id[14]),
+	.B2(n_61171),
+	.C1(n_10119),
+	.C2(n_5595),
+	.Y(n_62214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g825048 (
+	.A1(n_61181),
+	.A2(n_61519),
+	.B1(n_6672),
+	.B2(n_61185),
+	.Y(n_62215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g825068 (
+	.A(n_62238),
+	.B(n_3772),
+	.Y(n_62239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825069 (
+	.A(n_62236),
+	.B(n_62237),
+	.Y(n_62238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825070 (
+	.A(n_4269),
+	.B(n_5084),
+	.C(n_66197),
+	.Y(n_62236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g825071 (
+	.A(n_65193),
+	.B(soc_top_u_top_u_core_fp_alu_op_mod),
+	.X(n_62237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825084 (
+	.A(n_62252),
+	.B(n_57822),
+	.Y(n_57826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g825085 (
+	.A(n_62250),
+	.B(n_62251),
+	.Y(n_62252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g825086 (
+	.A(n_69136),
+	.B(n_69144),
+	.Y(n_62250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825087 (
+	.A(n_69137),
+	.B(n_69135),
+	.Y(n_62251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g825088 (
+	.A(n_57823),
+	.B(n_62252),
+	.C(n_57822),
+	.Y(n_62254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g825090 (
+	.A(n_62255),
+	.B(n_62250),
+	.C(n_62251),
+	.Y(n_62256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt825091 (
+	.A(n_57822),
+	.Y(n_62255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825138 (
+	.A(FE_DBTN16_n_74792),
+	.B(n_70065),
+	.Y(n_62300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g825155 (
+	.A1(n_62317),
+	.A2(n_62318),
+	.B1(n_61468),
+	.Y(n_62319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g825156 (
+	.A(n_62316),
+	.Y(n_62317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_2 g825157 (
+	.A(n_62315),
+	.B(n_16415),
+	.C(n_16940),
+	.Y(n_62316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825158 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_6 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_4 ),
+	.Y(n_62315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825159 (
+	.A(n_16415),
+	.B(n_16940),
+	.Y(n_62318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g825160 (
+	.A_N(n_54453),
+	.B(n_62316),
+	.Y(n_61467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825164 (
+	.A(n_62323),
+	.B(n_62324),
+	.Y(n_62325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825165 (
+	.A(n_43371),
+	.B(n_62746),
+	.Y(n_62323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825166 (
+	.A(n_11153),
+	.B(n_12748),
+	.Y(n_62324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825199  (
+	.A1(FE_DBTN69_n_65405),
+	.A2(n_41413),
+	.B1(n_65405),
+	.B2(n_30585),
+	.Y(n_62384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825202  (
+	.A(n_65405),
+	.B(n_47830),
+	.Y(n_62387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825203  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_770 ),
+	.B(n_65405),
+	.Y(n_62388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825204  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_764 ),
+	.B(n_65405),
+	.Y(n_62389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g825216 (
+	.A(n_62401),
+	.B(n_62402),
+	.C(n_62403),
+	.D(n_62404),
+	.X(n_62405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825217 (
+	.A(n_30290),
+	.B(n_21406),
+	.Y(n_62401), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g825218 (
+	.A1(n_19350),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[31] [8]),
+	.B1(n_29399),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[30] [8]),
+	.C1(n_21411),
+	.Y(n_62402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g825219 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[14] [8]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[13] [8]),
+	.C1(n_21408),
+	.Y(n_62403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g825220 (
+	.A1(n_20552),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[21] [8]),
+	.B1(n_20304),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[20] [8]),
+	.C1(n_21415),
+	.Y(n_62404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825231 (
+	.A(n_62417),
+	.B(n_72913),
+	.Y(n_62419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825232 (
+	.A(n_72912),
+	.B(n_70060),
+	.Y(n_62417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt825240 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_rounded_abs [23]),
+	.Y(n_62424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g825241 (
+	.A(n_43391),
+	.Y(n_62425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825245  (
+	.A(n_74495),
+	.B(n_75245),
+	.Y(n_62434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825248  (
+	.A(n_50600),
+	.B(n_53698),
+	.Y(n_62431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g825249  (
+	.A_N(FE_DBTN123_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_29),
+	.B(FE_DBTN17_n_70953),
+	.Y(n_62432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_1 g825267 (
+	.A(n_27234),
+	.B_N(\soc_top_u_top_u_core_wb_stage_i_g_writeback_stage.wb_valid_q ),
+	.X(n_62451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g825268 (
+	.A1(n_62454),
+	.A2(n_25419),
+	.A3(n_62455),
+	.B1(n_24613),
+	.Y(n_62456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g825269 (
+	.A(n_65051),
+	.B(n_62453),
+	.Y(n_62454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_0 g825271 (
+	.A(soc_top_u_top_u_core_csr_access),
+	.B(n_62451),
+	.X(n_62453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g825272 (
+	.A(soc_top_u_top_u_core_cs_registers_i_n_6024_BAR),
+	.B(n_62454),
+	.Y(n_62455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g825273 (
+	.A_N(n_62454),
+	.B(n_25490),
+	.Y(n_62457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825284 (
+	.A(n_62469),
+	.B(n_62470),
+	.Y(n_62471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g825285 (
+	.A(n_9411),
+	.B(n_62468),
+	.Y(n_62469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g825286 (
+	.A(n_62467),
+	.B_N(n_961),
+	.Y(n_62468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825287 (
+	.A(n_6402),
+	.B(n_672),
+	.Y(n_62467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g825288 (
+	.A_N(n_62467),
+	.B(n_6241),
+	.Y(n_62470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825314 (
+	.A(n_68257),
+	.B(n_62746),
+	.Y(n_62500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825317 (
+	.A(n_34576),
+	.B(n_54542),
+	.C(n_65714),
+	.Y(n_62498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g825318 (
+	.A(n_57314),
+	.B(n_68257),
+	.Y(n_62501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825319 (
+	.A(n_68257),
+	.B(n_57314),
+	.Y(n_62502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825332 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.B(n_61568),
+	.Y(n_62513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825333 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [24]),
+	.B(n_10291),
+	.Y(n_62515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825339 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.B(n_61568),
+	.Y(n_62520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825340 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [20]),
+	.B(n_10291),
+	.Y(n_62522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825346 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.B(n_61568),
+	.Y(n_62527), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825347 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [26]),
+	.B(n_10291),
+	.Y(n_62529), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825361 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B(n_61568),
+	.Y(n_62542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825362 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [11]),
+	.B(n_10291),
+	.Y(n_62544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825413 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [9]),
+	.B(n_10291),
+	.Y(n_62595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825424 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [28]),
+	.B(n_10291),
+	.Y(n_62606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825435 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [7]),
+	.B(n_10291),
+	.Y(n_62617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825442 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [5]),
+	.B(n_10291),
+	.Y(n_62624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825449 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [12]),
+	.B(n_10291),
+	.Y(n_62631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g825454 (
+	.A(n_67221),
+	.B(n_10594),
+	.Y(n_62634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825462 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.B(n_61568),
+	.Y(n_62643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825463 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [23]),
+	.B(n_10291),
+	.Y(n_62645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825470 (
+	.A(n_66492),
+	.B(n_62654),
+	.C(n_62656),
+	.Y(n_62657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825473 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.B(n_61568),
+	.Y(n_62654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825474 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [22]),
+	.B(n_10291),
+	.Y(n_62656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825485 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [6]),
+	.B(n_10291),
+	.Y(n_62667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825491 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.B(n_61568),
+	.Y(n_62672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825492 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [19]),
+	.B(n_10291),
+	.Y(n_62674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g825510 (
+	.A(n_10050),
+	.B(n_10056),
+	.Y(n_62692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825511 (
+	.A1(n_1288),
+	.A2(n_2844),
+	.B1(n_1580),
+	.B2(n_2824),
+	.C1(n_2741),
+	.X(n_62693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825512 (
+	.A1(n_1288),
+	.A2(n_2830),
+	.B1(n_1580),
+	.B2(n_2855),
+	.C1(n_2754),
+	.X(n_62694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825513 (
+	.A(n_66495),
+	.B(n_62697),
+	.C(n_62699),
+	.Y(n_62700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825516 (
+	.A(n_62692),
+	.B(n_62693),
+	.Y(n_62697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825517 (
+	.A(n_62698),
+	.B(n_62694),
+	.Y(n_62699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825518 (
+	.A(n_10058),
+	.B(n_61187),
+	.C(n_63174),
+	.Y(n_62698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g825545  (
+	.A(n_70070),
+	.B(n_69594),
+	.Y(n_62742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g825552 (
+	.A_N(n_10051),
+	.B(n_1930),
+	.C(soc_top_u_top_u_core_is_fp_instr),
+	.X(n_62746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825553 (
+	.A(n_62749),
+	.B(n_62747),
+	.Y(n_62750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g825554 (
+	.A1(n_12193),
+	.A2(n_3313),
+	.B1(n_12529),
+	.Y(n_62747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825555 (
+	.A(n_62748),
+	.B(n_62746),
+	.Y(n_62749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g825556  (
+	.A(n_70057),
+	.B(n_72874),
+	.Y(n_62748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g825579 (
+	.A(n_64738),
+	.Y(n_62772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825597 (
+	.A(n_15137),
+	.B(n_29834),
+	.Y(n_62787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g825598 (
+	.A(n_62790),
+	.B(n_62792),
+	.Y(n_62793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g825599 (
+	.A(n_64955),
+	.B(n_14833),
+	.Y(n_62790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g825600 (
+	.A(n_62791),
+	.B(n_62787),
+	.C(n_66550),
+	.Y(n_62792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g825601 (
+	.A(n_14833),
+	.Y(n_62791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt825865 (
+	.A(n_61172),
+	.Y(n_63049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g825904 (
+	.A(n_61026),
+	.B(n_61025),
+	.X(n_63103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g825910 (
+	.A1(n_63568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [17]),
+	.B1(n_64765),
+	.B2(\soc_top_iccm_to_xbar[d_data] [17]),
+	.C1(n_63103),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.Y(n_63109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825911 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [31]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [31]),
+	.B2(n_63103),
+	.C1(n_10943),
+	.X(n_63110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825912 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [30]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [30]),
+	.B2(n_63103),
+	.C1(n_10942),
+	.X(n_63111), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825913 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [29]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [29]),
+	.B2(n_63103),
+	.C1(n_10941),
+	.X(n_63112), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825914 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [28]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.B2(n_63103),
+	.C1(n_10940),
+	.X(n_63113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825915 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [27]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.B2(n_63103),
+	.C1(n_10939),
+	.X(n_63114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825916 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [26]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [26]),
+	.B2(n_63103),
+	.C1(n_10938),
+	.X(n_63115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825917 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [25]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [25]),
+	.B2(n_63103),
+	.C1(n_10937),
+	.X(n_63116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825918 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [24]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [24]),
+	.B2(n_63103),
+	.C1(n_10934),
+	.X(n_63117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825919 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [23]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [23]),
+	.B2(n_63103),
+	.C1(n_10931),
+	.X(n_63118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825920 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [22]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [22]),
+	.B2(n_63103),
+	.C1(n_10974),
+	.X(n_63119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825921 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [21]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [21]),
+	.B2(n_63103),
+	.C1(n_10936),
+	.X(n_63120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825922 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [20]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [20]),
+	.B2(n_63103),
+	.C1(n_10935),
+	.X(n_63121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825923 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [19]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [19]),
+	.B2(n_63103),
+	.C1(n_10933),
+	.X(n_63122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825924 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [18]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [18]),
+	.B2(n_63103),
+	.C1(n_10932),
+	.X(n_63123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825925 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [15]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.B2(n_63103),
+	.C1(n_10889),
+	.X(n_63124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825926 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [14]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [14]),
+	.B2(n_63103),
+	.C1(n_10890),
+	.X(n_63125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825927 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [13]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.B2(n_63103),
+	.C1(n_10891),
+	.X(n_63126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825928 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [12]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.B2(n_63103),
+	.C1(n_10892),
+	.X(n_63127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825929 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [11]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [11]),
+	.B2(n_63103),
+	.C1(n_10893),
+	.X(n_63128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825930 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [10]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [10]),
+	.B2(n_63103),
+	.C1(n_10894),
+	.X(n_63129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825931 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [9]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.B2(n_63103),
+	.C1(n_10895),
+	.X(n_63130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825932 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [8]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [8]),
+	.B2(n_63103),
+	.C1(n_10896),
+	.X(n_63131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825933 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [7]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.B2(n_63103),
+	.C1(n_10897),
+	.X(n_63132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825934 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [6]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.B2(n_63103),
+	.C1(n_10898),
+	.X(n_63133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825935 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [5]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.B2(n_63103),
+	.C1(n_10899),
+	.X(n_63134), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825936 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [4]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [4]),
+	.B2(n_63103),
+	.C1(n_10900),
+	.X(n_63135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825937 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [3]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.B2(n_63103),
+	.C1(n_10901),
+	.X(n_63136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g825938 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [2]),
+	.A2(n_63568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.B2(n_63103),
+	.C1(n_10902),
+	.X(n_63137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g825939 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[2]),
+	.Y(n_63138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g825941 (
+	.A1(n_6649),
+	.A2(n_66978),
+	.B1(n_6647),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.Y(n_63143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g825943 (
+	.A(n_23352),
+	.B(n_23436),
+	.Y(n_63140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g825945 (
+	.A1(n_66978),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [2]),
+	.B2(n_5880),
+	.C1(n_6654),
+	.C2(\soc_top_xbar_to_timer[a_address] [2]),
+	.Y(n_63144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g825946 (
+	.A1(n_66978),
+	.A2(n_6656),
+	.B1(n_27479),
+	.B2(n_8959),
+	.C1(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.C2(n_4992),
+	.Y(n_63145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g825947 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B1(n_6651),
+	.B2(n_66978),
+	.Y(n_63146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g825948 (
+	.A1(n_27481),
+	.A2(n_6656),
+	.B1(n_66978),
+	.B2(n_8959),
+	.C1(n_4738),
+	.C2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [2]),
+	.Y(n_63147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g825949 (
+	.A1(n_66978),
+	.A2(FE_DBTN114_soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel_1),
+	.B1(soc_top_u_top_u_core_pc_id[2]),
+	.B2(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.X(n_63148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g825950 (
+	.A1(n_66978),
+	.A2(n_18842),
+	.B1(n_23603),
+	.B2(n_23316),
+	.Y(n_63149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g825951 (
+	.A1(n_66978),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [3]),
+	.B2(n_19611),
+	.X(n_63150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g825952 (
+	.A1(n_22222),
+	.A2(n_66978),
+	.B1(n_22221),
+	.B2(soc_top_u_top_u_core_lsu_addr_last[2]),
+	.Y(n_63151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt825976 (
+	.A(n_61185),
+	.Y(n_63174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g826015 (
+	.A1(n_61568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.B1(n_63443),
+	.B2(\soc_top_iccm_to_xbar[d_data] [17]),
+	.C1(n_10291),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [17]),
+	.Y(n_63238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g826016 (
+	.A(n_130),
+	.B(n_10291),
+	.Y(n_61568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826017 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [6]),
+	.B(n_61568),
+	.Y(n_63240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826019 (
+	.A(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [7]),
+	.B(n_61568),
+	.Y(n_63241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826056 (
+	.A(n_15115),
+	.B(n_63279),
+	.Y(n_63280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826058 (
+	.A(n_15119),
+	.B(n_15100),
+	.Y(n_63279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826093 (
+	.A(n_63316),
+	.Y(n_63317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826094 (
+	.A(n_44044),
+	.B(n_54671),
+	.Y(n_63316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826095 (
+	.A(n_54671),
+	.B(n_44044),
+	.Y(n_63318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g826222 (
+	.A(n_10594),
+	.Y(n_63443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826225 (
+	.A(n_67246),
+	.B(n_10594),
+	.Y(n_63447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826227 (
+	.A(n_67236),
+	.B(n_10594),
+	.Y(n_63448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826228 (
+	.A(n_67229),
+	.B(n_10594),
+	.Y(n_63449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_8 g826348 (
+	.A(n_278),
+	.B(n_63103),
+	.Y(n_63568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g826349 (
+	.A1(n_64765),
+	.A2(n_63570),
+	.B1(n_63103),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [0]),
+	.X(n_63571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g826351 (
+	.A1(n_13432),
+	.A2(n_25298),
+	.B1(n_13570),
+	.Y(n_63570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g826359 (
+	.A1(n_13433),
+	.A2(n_25298),
+	.B1(n_13571),
+	.Y(n_63580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826396 (
+	.A(n_67809),
+	.Y(n_63617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g826398 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_114),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_286),
+	.Y(n_63618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826464 (
+	.A(n_63691),
+	.B(n_63693),
+	.Y(n_63694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g826465 (
+	.A1(n_63688),
+	.A2(n_67826),
+	.B1(n_63690),
+	.Y(n_63691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g826466 (
+	.A(n_64033),
+	.Y(n_63688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g826468 (
+	.A1(n_3312),
+	.A2(n_11646),
+	.B1(n_12033),
+	.Y(n_63690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826469 (
+	.A(n_64033),
+	.B(n_63692),
+	.Y(n_63693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g826470 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_final_sign ),
+	.B(n_62746),
+	.X(n_63692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826478 (
+	.A(n_71340),
+	.B(n_63705),
+	.Y(n_63706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g826481 (
+	.A(n_43811),
+	.Y(n_63702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826482 (
+	.A(n_63704),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.Y(n_63705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g826483 (
+	.A_N(n_55103),
+	.B(n_46493),
+	.Y(n_63704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt826498 (
+	.A(n_69253),
+	.Y(n_63720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826582 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1159 ),
+	.B(n_63802),
+	.Y(n_63803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826583 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_828 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_500 ),
+	.Y(n_63802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g826608 (
+	.A1(n_14909),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B1(n_14588),
+	.Y(n_63828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g826609 (
+	.A1(n_14821),
+	.A2(n_25110),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.Y(n_63829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_2 g826610 (
+	.A(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.B(n_25356),
+	.C_N(soc_top_u_top_u_core_fp_alu_operator[2]),
+	.X(n_63830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g826611 (
+	.A(n_63828),
+	.B(n_63831),
+	.X(n_63832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g826612 (
+	.A(n_63829),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_unit_ready ),
+	.C(n_63830),
+	.X(n_63831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826613 (
+	.A(n_63832),
+	.Y(n_63833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g826614 (
+	.A1(n_267),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sqrt_enable_S ),
+	.B1(n_63832),
+	.Y(n_63834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g826615 (
+	.A0(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Sign_z_D ),
+	.A1(n_24845),
+	.S(n_63832),
+	.X(n_63835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g826685 (
+	.A(n_67027),
+	.B(n_63929),
+	.C(n_63930),
+	.Y(n_63931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g826687  (
+	.A(n_69335),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_63929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g826688  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1498 ),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_63930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g826712 (
+	.A(n_63953),
+	.Y(n_31374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826713 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_67659),
+	.Y(n_63953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826718 (
+	.A(FE_DBTN20_n_58706),
+	.B(n_31374),
+	.Y(n_63961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g826721 (
+	.A(n_54141),
+	.B(n_58970),
+	.C(n_31374),
+	.Y(n_63963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826723 (
+	.A(n_55238),
+	.B(n_31374),
+	.Y(n_51721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826724 (
+	.A(n_56005),
+	.B(n_31374),
+	.Y(n_63966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826725 (
+	.A(n_56005),
+	.B(n_31374),
+	.Y(n_63967), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826787 (
+	.A(n_65556),
+	.B(n_73676),
+	.Y(n_64033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826803 (
+	.A(n_64049),
+	.B(n_75245),
+	.Y(n_64050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g826804  (
+	.A(n_64047),
+	.B(n_64048),
+	.Y(n_64049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g826805  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_762 ),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_64047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826806 (
+	.A(n_50815),
+	.B(n_54651),
+	.Y(n_64048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g826807  (
+	.A(n_64049),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_64051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g826815 (
+	.A(n_16695),
+	.B(n_16518),
+	.Y(n_64059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826816 (
+	.A(n_64061),
+	.Y(n_64062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826817 (
+	.A(n_64059),
+	.B(n_64060),
+	.Y(n_64061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826818 (
+	.A(n_16561),
+	.B(n_16552),
+	.Y(n_64060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826819 (
+	.A(n_64063),
+	.B(n_64064),
+	.Y(n_64065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826820 (
+	.A(n_68354),
+	.B(n_51409),
+	.Y(n_64063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826821 (
+	.A(n_46493),
+	.B(n_51412),
+	.Y(n_64064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826847 (
+	.A(n_64090),
+	.B(n_64091),
+	.Y(n_64092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826848 (
+	.A(n_64089),
+	.Y(n_64090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826849 (
+	.A(n_38822),
+	.B(n_35502),
+	.Y(n_64089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826850 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_925 ),
+	.Y(n_64091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_1 g826908 (
+	.A1(n_22224),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B1(n_72814),
+	.C1(n_64179),
+	.Y(n_64180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g826909 (
+	.A_N(n_21382),
+	.B(n_64178),
+	.Y(n_64179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826910 (
+	.A(n_64177),
+	.B(n_29697),
+	.Y(n_64178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g826911 (
+	.A(n_64175),
+	.B(n_64176),
+	.Y(n_64177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g826912 (
+	.A(soc_top_u_top_u_core_multdiv_operator_ex[1]),
+	.B(n_64174),
+	.Y(n_64175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g826913 (
+	.A(n_18757),
+	.B(n_18900),
+	.X(n_64174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g826914 (
+	.A1(n_64893),
+	.A2(n_23605),
+	.B1(n_29689),
+	.B2(n_23633),
+	.C1(n_23539),
+	.Y(n_64176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g826915 (
+	.A1(n_63317),
+	.A2(n_13308),
+	.B1(n_52366),
+	.X(n_64181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g826916 (
+	.A1(n_63317),
+	.A2(n_32982),
+	.B1(n_51413),
+	.X(n_64182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826917 (
+	.A(n_64183),
+	.B(n_13597),
+	.Y(n_64184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g826918 (
+	.A(n_64182),
+	.B(n_64181),
+	.Y(n_64183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826949 (
+	.A(n_64215),
+	.Y(n_64216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g826950 (
+	.A(n_66528),
+	.B(n_44036),
+	.C(n_64214),
+	.Y(n_64215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g826951 (
+	.A(n_64213),
+	.Y(n_64214), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g826952 (
+	.A(n_69705),
+	.B(n_50590),
+	.Y(n_64213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g826962 (
+	.A(n_64222),
+	.Y(n_64223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g826963 (
+	.A(n_70757),
+	.B(n_73045),
+	.C(n_45590),
+	.Y(n_64222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt827340 (
+	.A(n_61171),
+	.Y(n_64575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g827347 (
+	.A(n_64644),
+	.B(n_64643),
+	.Y(n_64645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827348 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_156 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_121 ),
+	.Y(n_64643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g827349 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_158 ),
+	.Y(n_64644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827385  (
+	.A(n_64679),
+	.Y(n_64680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827386 (
+	.A(n_29812),
+	.B(n_15213),
+	.Y(n_64679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827387  (
+	.A(n_14000),
+	.B(n_64680),
+	.X(n_64682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827388  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_515 ),
+	.B(n_66705),
+	.C(n_64680),
+	.Y(n_64684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827390  (
+	.A1(n_27006),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(n_66697),
+	.X(n_64685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827391  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1506 ),
+	.C(n_64680),
+	.D(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(n_64686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827393  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_454 ),
+	.B(n_64680),
+	.Y(n_64688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827394  (
+	.A1(n_27008),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(n_66704),
+	.X(n_64689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827395  (
+	.A1(n_27007),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(n_66699),
+	.X(n_64690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827396  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_444 ),
+	.B(n_64680),
+	.Y(n_64691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827397  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_458 ),
+	.B(n_64680),
+	.Y(n_64692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827398  (
+	.A1(n_26984),
+	.A2(n_27000),
+	.B1(n_64680),
+	.C1(n_66703),
+	.X(n_64693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827399  (
+	.A1(n_29847),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1503 ),
+	.X(n_64694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827400  (
+	.A1(n_29869),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_533 ),
+	.X(n_64695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827401  (
+	.A1(n_26984),
+	.A2(n_27001),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1516 ),
+	.X(n_64696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827402  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_522 ),
+	.B(n_64680),
+	.Y(n_64697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827403  (
+	.A1(n_27002),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1502 ),
+	.X(n_64698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827404  (
+	.A1(n_29851),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_451 ),
+	.X(n_64699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_g827405  (
+	.A1(n_29841),
+	.A2(n_26984),
+	.B1(n_64680),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_529 ),
+	.X(n_64700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827406 (
+	.A(n_27471),
+	.B(n_27406),
+	.Y(n_64701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827407 (
+	.A(n_27472),
+	.B(n_27407),
+	.Y(n_64702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827408 (
+	.A(n_64703),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_94),
+	.Y(n_64704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827409 (
+	.A(n_64702),
+	.B(n_64701),
+	.Y(n_64703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827410 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_151),
+	.A2(n_64703),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_144),
+	.X(n_64705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g55 (
+	.A1(n_64720),
+	.A2(n_64721),
+	.B1(n_64722),
+	.Y(n_64723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g57 (
+	.A(n_66984),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_187),
+	.Y(n_64720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827425 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166),
+	.B(n_64704),
+	.Y(n_64721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g827426 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_166),
+	.A2(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_193),
+	.B1(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_397),
+	.Y(n_64722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827427 (
+	.A(n_64724),
+	.B(n_64725),
+	.Y(n_64726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827428 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_284),
+	.Y(n_64724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_g827429 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_283),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_129),
+	.Y(n_64725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g827430 (
+	.A1(n_5322),
+	.A2(n_64726),
+	.B1(n_29991),
+	.B2(n_2407),
+	.Y(n_64727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g827431 (
+	.A1(n_27508),
+	.A2(n_6655),
+	.B1(n_6654),
+	.B2(n_64726),
+	.C1(n_5880),
+	.C2(\soc_top_u_top_u_core_imd_val_q_ex[1] [30]),
+	.Y(n_64728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g827432 (
+	.A1(n_10295),
+	.A2(\soc_top_u_top_u_core_imd_val_q_ex[0] [30]),
+	.B1(n_9640),
+	.B2(n_64726),
+	.C1(n_10632),
+	.X(n_64729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g827433 (
+	.A1(n_9380),
+	.A2(n_25673),
+	.B1(n_4485),
+	.B2(n_64726),
+	.Y(n_64730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g827434 (
+	.A(n_64726),
+	.Y(n_64731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g827435 (
+	.A(\soc_top_lsu_to_xbar[a_address] [20]),
+	.B(\soc_top_lsu_to_xbar[a_address] [25]),
+	.C(n_64726),
+	.D(\soc_top_lsu_to_xbar[a_address] [21]),
+	.Y(n_64732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g827436 (
+	.A_N(n_24528),
+	.B(n_64726),
+	.Y(n_64733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g827437 (
+	.A1(n_64726),
+	.A2(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B1(n_64734),
+	.X(n_64735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827438 (
+	.A(\soc_top_lsu_to_xbar[a_address] [28]),
+	.B(n_64726),
+	.Y(n_64734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827439 (
+	.A(n_64736),
+	.B(n_64737),
+	.Y(n_64738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827440 (
+	.A(n_14240),
+	.B(n_26894),
+	.Y(n_64736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827441 (
+	.A(n_14217),
+	.B(n_26904),
+	.Y(n_64737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g827443 (
+	.A(n_54312),
+	.B(FE_DBTN40_n_57952),
+	.C(n_64741),
+	.Y(n_64742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g827444 (
+	.A(n_68264),
+	.B(n_64740),
+	.Y(n_64741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827445 (
+	.A(n_54305),
+	.Y(n_64740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g827446 (
+	.A(n_54312),
+	.B(FE_DBTN40_n_57952),
+	.C(n_64741),
+	.Y(n_64743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g323_827447 (
+	.A(n_54312),
+	.B(FE_DBTN40_n_57952),
+	.C(n_64741),
+	.Y(n_64744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g827448 (
+	.A_N(n_57952),
+	.B(n_54304),
+	.C(n_64741),
+	.Y(n_64745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g827466 (
+	.A1(n_13434),
+	.A2(n_25298),
+	.B1(n_13572),
+	.Y(n_64764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g827467 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_busy[1]),
+	.B(n_63103),
+	.Y(n_64765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g827551 (
+	.A(n_29676),
+	.B(n_19041),
+	.Y(n_64893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g827552 (
+	.A1(n_25321),
+	.A2(n_23556),
+	.B1(n_23311),
+	.B2(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.C1(n_64896),
+	.X(n_64897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g827553 (
+	.A1(n_64894),
+	.A2(n_64895),
+	.B1(n_64893),
+	.Y(n_64896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g827554 (
+	.A(n_22962),
+	.B(n_22964),
+	.C(n_22961),
+	.D(n_22960),
+	.X(n_64894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g827555 (
+	.A(n_22966),
+	.B(n_22965),
+	.C(n_22969),
+	.D(n_22287),
+	.X(n_64895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g827584 (
+	.A1(n_69521),
+	.A2(n_24434),
+	.B1_N(n_23867),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_fopt827585  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.Y(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_530 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g827588 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.X(n_64929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827617 (
+	.A(n_62787),
+	.B(n_66550),
+	.Y(n_64955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g827618 (
+	.A_N(n_43984),
+	.B(n_14693),
+	.Y(n_64956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g827619 (
+	.A(n_14535),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.C(n_43984),
+	.Y(n_64958), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g827653 (
+	.A1(n_16870),
+	.A2(n_16593),
+	.B1(n_64994),
+	.Y(n_64995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827654 (
+	.A(n_64992),
+	.B(n_64993),
+	.Y(n_64994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g827655 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.A2(n_16301),
+	.B1(n_16252),
+	.C1(n_16663),
+	.Y(n_64992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827656 (
+	.A(n_16892),
+	.Y(n_64993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g827671 (
+	.A(n_65010),
+	.B(n_65217),
+	.Y(n_65012), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827672 (
+	.A(n_16541),
+	.Y(n_65010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827706 (
+	.A(n_65046),
+	.B(n_74513),
+	.Y(n_65048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g827707 (
+	.A(n_24605),
+	.B(n_61978),
+	.C(n_61979),
+	.Y(n_65046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827709 (
+	.A(n_65050),
+	.Y(n_65051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g827710 (
+	.A(n_65049),
+	.B(n_65046),
+	.C(n_74513),
+	.Y(n_65050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827711 (
+	.A(n_25435),
+	.B(soc_top_u_top_u_core_fp_flush),
+	.Y(n_65049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221a_2 g827775 (
+	.A1(n_56699),
+	.A2(n_13803),
+	.B1(n_13735),
+	.B2(n_52923),
+	.C1(n_29923),
+	.X(n_65110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g827778 (
+	.A(n_12838),
+	.B(n_56699),
+	.Y(n_65113), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g827780 (
+	.A1(n_56699),
+	.A2(n_13757),
+	.B1(n_38403),
+	.X(n_65115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g827781 (
+	.A1(n_13701),
+	.A2(n_56699),
+	.B1(n_74932),
+	.X(n_65116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g827807 (
+	.A(n_65140),
+	.B(n_65141),
+	.Y(n_65142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g827808 (
+	.A(n_57474),
+	.B(n_56989),
+	.Y(n_65140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827809 (
+	.A(n_49620),
+	.Y(n_65141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g827821 (
+	.A(n_27098),
+	.B(n_41538),
+	.C(n_44137),
+	.Y(n_65152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g827823 (
+	.A(n_65159),
+	.B(n_19051),
+	.Y(n_65160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g827824 (
+	.A(n_65156),
+	.B(n_65157),
+	.C(n_65158),
+	.Y(n_65159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt827825 (
+	.A(n_51627),
+	.Y(n_65156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827826 (
+	.A(n_19651),
+	.B(n_24116),
+	.Y(n_65157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g827827 (
+	.A1_N(n_38277),
+	.A2_N(n_25169),
+	.B1(n_38279),
+	.B2(n_38278),
+	.Y(n_65158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g827828 (
+	.A0(n_65159),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[7]),
+	.S(n_1254),
+	.X(n_65161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g827842  (
+	.A(n_74792),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_13 ),
+	.Y(n_65175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g827844  (
+	.A(n_74792),
+	.B(n_70065),
+	.Y(n_65176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g827850  (
+	.A(n_65183),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_65184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g827851 (
+	.A(n_69660),
+	.B(n_65182),
+	.Y(n_65183), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g827853 (
+	.A(n_49409),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_65182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g827854  (
+	.A(n_65183),
+	.B(n_52455),
+	.Y(n_65185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211o_4 g827862 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_n_1549 ),
+	.A2(n_24845),
+	.B1(n_13920),
+	.C1(n_317667_BAR),
+	.X(n_65193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827863 (
+	.A(n_65196),
+	.B(n_6657),
+	.Y(n_65197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g827864  (
+	.A(n_65194),
+	.B(n_65195),
+	.Y(n_65196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g827865  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_143 ),
+	.B(n_26927),
+	.Y(n_65194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g827866  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_144 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_52 ),
+	.Y(n_65195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g827867 (
+	.A(n_65198),
+	.B(n_65199),
+	.Y(n_65200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g827868 (
+	.A(n_65193),
+	.B(n_65194),
+	.C(n_65195),
+	.Y(n_65198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827869 (
+	.A(n_65196),
+	.B(n_61826),
+	.Y(n_65199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g827881 (
+	.A(n_27078),
+	.B(FE_DBTN90_n_15569),
+	.Y(n_65210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g827882 (
+	.A(n_65216),
+	.Y(n_65217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g827883 (
+	.A(n_74516),
+	.B(n_67892),
+	.C(n_65215),
+	.Y(n_65216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g827888 (
+	.A(n_30404),
+	.Y(n_65215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt827914 (
+	.A(n_45458),
+	.Y(n_65239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g800451_dup828050 (
+	.A(n_73607),
+	.B(n_38251),
+	.X(n_65381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828077 (
+	.A(n_54625),
+	.B(n_54650),
+	.Y(n_65405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828081  (
+	.A(n_65405),
+	.B(n_35167),
+	.Y(n_65410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828082  (
+	.A(n_65405),
+	.B(n_65952),
+	.X(n_35500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828085  (
+	.A(n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [10]),
+	.Y(n_65414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt828192 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2757 ),
+	.Y(n_65516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g828224 (
+	.A(n_2963),
+	.B(n_65553),
+	.C(n_1870),
+	.Y(n_65554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g828225 (
+	.A(n_65551),
+	.B(n_65552),
+	.Y(n_65553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828226 (
+	.A(n_345),
+	.B(n_1084),
+	.Y(n_65551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828227 (
+	.A(n_1205),
+	.B(n_1088),
+	.Y(n_65552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g828228 (
+	.A(n_65555),
+	.Y(n_65556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g828229 (
+	.A(n_38422),
+	.B(n_65716),
+	.C(n_73631),
+	.D(n_1852),
+	.Y(n_65555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g828272 (
+	.A1(n_6657),
+	.A2(n_67847),
+	.B1(n_67060),
+	.B2(n_10035),
+	.C1(n_10548),
+	.C2(n_51645),
+	.Y(n_65604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_g828276  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_218 ),
+	.B(n_67127),
+	.Y(n_65602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g828277 (
+	.A(n_61826),
+	.B(n_67847),
+	.Y(n_65605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828292 (
+	.A(n_68609),
+	.B(n_52455),
+	.Y(n_65620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828297 (
+	.A(n_65628),
+	.B(n_43951),
+	.Y(n_65629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g828298 (
+	.A(n_65624),
+	.B(n_65625),
+	.C(n_65626),
+	.D(n_65627),
+	.Y(n_65628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g828299 (
+	.A(n_17548),
+	.B(n_51382),
+	.C(n_51383),
+	.Y(n_65624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828300 (
+	.A(n_17758),
+	.B(FE_DBTN82_n_59754),
+	.Y(n_65625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828301 (
+	.A(FE_DBTN81_n_17561),
+	.B(n_17764),
+	.Y(n_65626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828302 (
+	.A(FE_DBTN39_n_53218),
+	.B(n_56874),
+	.Y(n_65627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828303 (
+	.A(n_65628),
+	.B(n_57718),
+	.Y(n_65630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828304 (
+	.A(n_65628),
+	.B(n_72075),
+	.Y(n_65631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828359 (
+	.A(n_65689),
+	.B(n_50586),
+	.Y(n_65691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828360 (
+	.A(n_73823),
+	.B(n_57351),
+	.Y(n_65689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g828361 (
+	.A(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.Y(n_50586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828362 (
+	.A(n_65692),
+	.B(n_68662),
+	.Y(n_65693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828363 (
+	.A(n_65516),
+	.B(n_44930),
+	.Y(n_65692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g828380 (
+	.A(n_65713),
+	.Y(n_65714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828381 (
+	.A(n_65711),
+	.B(n_65712),
+	.Y(n_65713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g828382 (
+	.A(n_70061),
+	.Y(n_65711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g828385 (
+	.A1(n_13645),
+	.A2(n_52923),
+	.B1(n_52040),
+	.X(n_65712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g828386  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_80 ),
+	.B(n_65713),
+	.Y(n_65715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_1 g828387 (
+	.A(n_65713),
+	.B(n_70058),
+	.C(n_70069),
+	.D(n_70059),
+	.Y(n_65716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g828389  (
+	.A(n_70059),
+	.B(n_65713),
+	.Y(n_65718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828416  (
+	.A(n_69013),
+	.B(n_41508),
+	.C(n_73588),
+	.Y(n_65745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828418  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_967 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1054 ),
+	.Y(n_65747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828420  (
+	.A(n_65751),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_65752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828421 (
+	.A(n_65749),
+	.B(n_65750),
+	.Y(n_65751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828422 (
+	.A(n_65745),
+	.B(n_75245),
+	.Y(n_65749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828423 (
+	.A(n_65747),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_65750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828424  (
+	.A1_N(n_52455),
+	.A2_N(n_70521),
+	.B1(n_52455),
+	.B2(n_65751),
+	.Y(n_65753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828431  (
+	.A(n_65952),
+	.B(n_30597),
+	.Y(n_65759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828432  (
+	.A(n_65952),
+	.B(n_53698),
+	.Y(n_65760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828435 (
+	.A(n_65952),
+	.B(n_30590),
+	.Y(n_65763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828437  (
+	.A(n_65952),
+	.B(n_36842),
+	.Y(n_65765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828448 (
+	.A(n_65776),
+	.B(n_70059),
+	.Y(n_65779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828449 (
+	.A(n_34576),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_139 ),
+	.Y(n_65776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828458 (
+	.A(n_65786),
+	.B(n_70269),
+	.Y(n_65789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828459 (
+	.A(n_65859),
+	.B(n_49723),
+	.Y(n_65786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g828466 (
+	.A(n_70081),
+	.B(n_70066),
+	.Y(n_65794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g828487 (
+	.A(n_68399),
+	.Y(n_65821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828497 (
+	.A(n_69436),
+	.B(n_64745),
+	.Y(n_65834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828504 (
+	.A(n_65840),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_65841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828505  (
+	.A(n_65838),
+	.B(n_65839),
+	.Y(n_65840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828506 (
+	.A(FE_DBTN15_n_65952),
+	.B(n_50467),
+	.Y(n_65838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828507  (
+	.A(n_65952),
+	.B(n_74861),
+	.Y(n_65839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828516 (
+	.A(n_65852),
+	.B(n_47849),
+	.Y(n_49723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828517 (
+	.A(n_65850),
+	.B(n_65851),
+	.Y(n_65852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828518 (
+	.A(FE_DBTN56_n_49767),
+	.B(n_50627),
+	.Y(n_65850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g828519 (
+	.A(n_48187),
+	.Y(n_65851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g828520 (
+	.A1(n_47849),
+	.A2(n_65852),
+	.B1(n_62256),
+	.Y(n_65854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g828521 (
+	.A(n_70269),
+	.B(n_57823),
+	.C(n_65856),
+	.D(n_62256),
+	.X(n_65857), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g828522 (
+	.A(n_51865),
+	.B(n_65850),
+	.C(n_65851),
+	.Y(n_65856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 WALLACE_CSA_DUMMY_OP_groupi_g828525 (
+	.A(n_57828),
+	.B(n_65856),
+	.Y(n_65859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828526 (
+	.A(n_65856),
+	.B(n_49723),
+	.Y(n_65860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828535 (
+	.A(n_66845),
+	.B(n_65870),
+	.Y(n_65871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828537 (
+	.A(n_65868),
+	.B(n_65869),
+	.Y(n_65870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 WALLACE_CSA_DUMMY_OP_groupi_g828538 (
+	.A(n_68486),
+	.Y(n_65868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g828539 (
+	.A(n_45249),
+	.B(n_45354),
+	.Y(n_65869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt828561 (
+	.A(n_65896),
+	.Y(n_65897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g828562 (
+	.A(n_65893),
+	.B(n_65894),
+	.C(n_65895),
+	.Y(n_65896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828563 (
+	.A(n_38438),
+	.B(n_57718),
+	.Y(n_65893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828564 (
+	.A(n_70508),
+	.B(n_43951),
+	.Y(n_65894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828565 (
+	.A(n_58119),
+	.B(n_18035),
+	.Y(n_65895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828566 (
+	.A(n_65896),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_65898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828574  (
+	.A(n_68294),
+	.Y(n_65909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828576  (
+	.A(n_73851),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [21]),
+	.Y(n_65906), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g828612 (
+	.A1_N(n_53211),
+	.A2_N(FE_DBTN93_n_74852),
+	.B1(n_66430),
+	.B2(FE_DBTN93_n_74852),
+	.Y(n_65945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828614 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26510),
+	.Y(n_65946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828615 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26519),
+	.Y(n_65947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g828616 (
+	.A1(n_66153),
+	.A2(FE_DBTN93_n_74852),
+	.B1(n_35158),
+	.Y(n_65948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g828623 (
+	.A(n_52961),
+	.B(FE_DBTN42_n_52962),
+	.Y(n_65952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g828660 (
+	.A1_N(n_65991),
+	.A2_N(n_65993),
+	.B1(n_65991),
+	.B2(n_65993),
+	.Y(n_65994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828661 (
+	.A(n_72087),
+	.B(addinc_ADD_UNS_OP_2_n_348),
+	.Y(n_65991), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g828662 (
+	.A1(n_51788),
+	.A2(n_54532),
+	.B1(addinc_ADD_UNS_OP_2_n_1956),
+	.Y(n_65993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828664 (
+	.A(n_70619),
+	.B(n_54963),
+	.Y(n_65995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g828666 (
+	.A(n_65999),
+	.Y(n_66000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g828667 (
+	.A(FE_DBTN33_n_65995),
+	.B(n_65998),
+	.C(n_68614),
+	.Y(n_65999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828669 (
+	.A(n_73653),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_65998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828673 (
+	.A(n_69285),
+	.B(n_66003),
+	.Y(n_66004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g828675  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_804 ),
+	.B(n_65405),
+	.Y(n_66003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828676 (
+	.A(n_66004),
+	.B(n_75245),
+	.Y(n_66006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828707 (
+	.A(n_66042),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_66043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828708 (
+	.A(n_34170),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_966 ),
+	.Y(n_66042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g828709 (
+	.A(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [0]),
+	.B(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_md_state_q [1]),
+	.Y(n_66044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g828710 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [4]),
+	.B(n_35286),
+	.Y(n_66045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g828711 (
+	.A_N(FE_DBTN79_n_19051),
+	.B(n_67805),
+	.Y(n_66046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828716 (
+	.A(n_25184),
+	.B(n_61001),
+	.Y(n_66051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828717 (
+	.A(n_30399),
+	.B(n_15483),
+	.Y(n_66052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g828719 (
+	.A(\soc_top_xbar_to_timer[a_address] [4]),
+	.B(n_33203),
+	.X(n_66054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828725 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1293 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_870 ),
+	.Y(n_66060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828726 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2867 ),
+	.B(n_43486),
+	.Y(n_66061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g828727 (
+	.A1(n_38222),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.B1_N(n_43112),
+	.Y(n_66062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g828728 (
+	.A(n_48727),
+	.B(n_48728),
+	.Y(n_66063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828730 (
+	.A(n_50302),
+	.B(n_50314),
+	.Y(n_66065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g828732 (
+	.A(n_14416),
+	.B(n_14256),
+	.C(n_14228),
+	.D(n_14257),
+	.Y(n_66067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828733 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1561 ),
+	.B(n_48464),
+	.Y(n_66068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828734 (
+	.A(n_46048),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1979 ),
+	.Y(n_66069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828738 (
+	.A(n_67951),
+	.B(n_74467),
+	.Y(n_66073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828739 (
+	.A(n_54091),
+	.B(n_46763),
+	.Y(n_66074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828742 (
+	.A(n_46711),
+	.B(n_42928),
+	.Y(n_66077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828743 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2102 ),
+	.B(n_54093),
+	.Y(n_66078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828744 (
+	.A(n_43562),
+	.B(n_43559),
+	.Y(n_66079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828748 (
+	.A(n_52840),
+	.B(n_43197),
+	.Y(n_66083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g828749 (
+	.A(n_74452),
+	.B(n_43117),
+	.C(n_43140),
+	.Y(n_66084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g828751 (
+	.A(n_31641),
+	.B(n_44490),
+	.Y(n_66086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g828752 (
+	.A1(n_4481),
+	.A2(n_1278),
+	.B1(n_2366),
+	.X(n_66087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g828753 (
+	.A(n_61001),
+	.B(n_61002),
+	.C_N(n_61003),
+	.X(n_66088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828757 (
+	.A(n_54181),
+	.B(n_66946),
+	.Y(n_66092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g828758 (
+	.A(n_55022),
+	.B(n_47698),
+	.Y(n_66093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828760 (
+	.A(n_69220),
+	.B(addinc_ADD_UNS_OP_2_n_62),
+	.Y(n_66095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g828761 (
+	.A(n_73824),
+	.B(n_18631),
+	.C(n_49678),
+	.Y(n_66096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g828764 (
+	.A(n_48781),
+	.B(n_43432),
+	.Y(n_66099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g5277_828766  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_558 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_275 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_883 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_584 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 \addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_g828767  (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_813 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_881 ),
+	.Y(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_591 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g828768 (
+	.A(n_66843),
+	.B(n_76736),
+	.Y(n_66103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[5]  (
+	.CLK(CTS_51),
+	.D(n_11840),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [5]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_19 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[26]  (
+	.CLK(CTS_55),
+	.D(n_12015),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [26]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_16 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[2]  (
+	.CLK(CTS_57),
+	.D(n_11756),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [2]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_13 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[6]  (
+	.CLK(CTS_51),
+	.D(n_11864),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [6]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[30]  (
+	.CLK(CTS_55),
+	.D(n_11995),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [30]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_10 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[11]  (
+	.CLK(CTS_49),
+	.D(n_11843),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [11]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_9 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[27]  (
+	.CLK(CTS_55),
+	.D(n_11999),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [27]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_8 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[6]  (
+	.CLK(CTS_51),
+	.D(n_11853),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [6]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_7 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[13]  (
+	.CLK(CTS_48),
+	.D(n_11850),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [13]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_6 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[3]  (
+	.CLK(CTS_57),
+	.D(n_11793),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [3]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_5 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[21]  (
+	.CLK(CTS_55),
+	.D(n_12004),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [21]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_3 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[13]  (
+	.CLK(CTS_48),
+	.D(n_11861),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [13]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_2 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_upper0_q_reg[14]  (
+	.CLK(CTS_48),
+	.D(n_11849),
+	.Q(\soc_top_timer0_reg2hw[timer_v_upper0][q] [14]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_1 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_timer0_u_reg_u_timer_v_lower0_q_reg[17]  (
+	.CLK(CTS_55),
+	.D(n_12025),
+	.Q(\soc_top_timer0_reg2hw[timer_v_lower0][q] [17]),
+	.Q_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_0 ),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[11]  (
+	.CLK(CTS_50),
+	.D(n_2721),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[11]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_23),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[24]  (
+	.CLK(CTS_57),
+	.D(n_2974),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[24]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_22),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[30]  (
+	.CLK(CTS_57),
+	.D(n_2968),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[30]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_21),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[31]  (
+	.CLK(CTS_57),
+	.D(n_2999),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[31]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_20),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[19]  (
+	.CLK(CTS_50),
+	.D(n_2719),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[19]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_19),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[2]  (
+	.CLK(CTS_50),
+	.D(n_2639),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[2]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_18),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[26]  (
+	.CLK(CTS_57),
+	.D(n_3016),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[26]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_17),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[16]  (
+	.CLK(CTS_50),
+	.D(n_2726),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[16]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_16),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[14]  (
+	.CLK(CTS_50),
+	.D(n_2597),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[14]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_15),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[9]  (
+	.CLK(CTS_50),
+	.D(n_2722),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[9]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_14),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[22]  (
+	.CLK(CTS_50),
+	.D(n_2593),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[22]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_13),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[1]  (
+	.CLK(CTS_57),
+	.D(n_2622),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[1]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_12),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[21]  (
+	.CLK(CTS_50),
+	.D(n_2727),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[21]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_11),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[27]  (
+	.CLK(CTS_57),
+	.D(n_2998),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[27]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_10),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[25]  (
+	.CLK(CTS_57),
+	.D(n_2997),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[25]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_9),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[18]  (
+	.CLK(CTS_50),
+	.D(n_2598),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[18]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_8),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[23]  (
+	.CLK(CTS_50),
+	.D(n_2989),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[23]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_7),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[13]  (
+	.CLK(CTS_50),
+	.D(n_2604),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[13]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_6),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[7]  (
+	.CLK(CTS_50),
+	.D(n_2725),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[7]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_5),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[20]  (
+	.CLK(CTS_50),
+	.D(n_2599),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[20]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_4),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[4]  (
+	.CLK(CTS_50),
+	.D(n_2618),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[4]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_3),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[29]  (
+	.CLK(CTS_57),
+	.D(n_2976),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[29]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_2),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_time_reg[6]  (
+	.CLK(CTS_50),
+	.D(n_2647),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_time[6]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_1),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrbp_1 \soc_top_u_uart_u_uart_core_rx_time_rx_timeout_reg[28]  (
+	.CLK(CTS_57),
+	.D(n_2975),
+	.Q(soc_top_u_uart_u_uart_core_rx_time_rx_timeout[28]),
+	.Q_N(soc_top_u_uart_u_uart_core_rx_time_gte_47_24_n_0),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfxbp_1 \soc_top_u_spi_host_spi_host_ctrl_reg[0]  (
+	.CLK(CTS_56),
+	.D(n_8593),
+	.Q(soc_top_u_spi_host_spi_host_ctrl[0]),
+	.Q_N(n_5646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g829003 (
+	.A(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B_N(soc_top_u_top_u_core_instr_valid_id),
+	.Y(n_66115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829005 (
+	.A(soc_top_u_top_u_core_rf_raddr_b[2]),
+	.B(n_73740),
+	.X(n_66117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829006 (
+	.A(n_18850),
+	.B(soc_top_u_top_u_core_rf_raddr_b[1]),
+	.X(n_66118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829007 (
+	.A(FE_DBTN115_soc_top_u_top_u_core_instr_rdata_alu_id_16),
+	.B(n_18867),
+	.X(n_66119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829008 (
+	.A(n_70562),
+	.B(n_43951),
+	.X(n_66120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g829009 (
+	.A(n_44423),
+	.B(n_53870),
+	.Y(n_66121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829018 (
+	.A(n_33208),
+	.B(n_51645),
+	.X(n_66130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829020 (
+	.A(n_37502),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_263 ),
+	.X(n_66132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829025 (
+	.A1(n_15174),
+	.A2(n_15191),
+	.B1(n_15194),
+	.X(n_66137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829030 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_61 ),
+	.Y(n_66142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829031 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_55 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_60 ),
+	.Y(n_66143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829032 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_58 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_59 ),
+	.Y(n_66144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829035 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_57 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
+	.Y(n_66147), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829036 (
+	.A(n_39740),
+	.B(n_55220),
+	.X(n_66148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829037 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_39 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_43 ),
+	.Y(n_66149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829038 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
+	.Y(n_66150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829039 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_30 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
+	.Y(n_66151), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829040 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_40 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_44 ),
+	.Y(n_66152), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829041 (
+	.A(n_58090),
+	.B(n_38371),
+	.X(n_66153), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829042 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_48 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_52 ),
+	.Y(n_66154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829045 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_50 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_54 ),
+	.Y(n_66157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829046 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_49 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_53 ),
+	.Y(n_66158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829047 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_38 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_42 ),
+	.Y(n_66159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829048 (
+	.A(n_66604),
+	.B(n_33536),
+	.X(n_66160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829049 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_64 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_65 ),
+	.Y(n_66161), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829050 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_41 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_45 ),
+	.Y(n_66162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829052 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_62 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_63 ),
+	.Y(n_66164), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829053 (
+	.A_N(n_30956),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_37 ),
+	.Y(n_66165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829054 (
+	.A(addinc_ADD_UNS_OP_2_n_601),
+	.B(n_37367),
+	.X(n_66166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g829055 (
+	.A1(n_14210),
+	.A2(n_14380),
+	.B1_N(n_29882),
+	.X(n_66167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829058 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[0] [28]),
+	.B(n_25478),
+	.X(n_66170), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829060 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_67008),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1258 ),
+	.X(n_66172), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829068 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1925 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1286 ),
+	.X(n_66180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829070 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1530 ),
+	.B1(n_66264),
+	.X(n_66182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829074 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_73798),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1274 ),
+	.X(n_66186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829075 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(n_67568),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1249 ),
+	.X(n_66187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829076 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1529 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1418 ),
+	.X(n_66188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829077 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1928 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1284 ),
+	.X(n_66189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829078 (
+	.A(n_66192),
+	.B(n_13482),
+	.X(n_66190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829079 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25479),
+	.X(n_66191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829080 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[1] [28]),
+	.B(n_25481),
+	.X(n_66192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g829081 (
+	.A(n_67778),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Hb_a_D ),
+	.Y(n_66193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829082 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1441 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.Y(n_66194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g829083 (
+	.A1(n_63568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [0]),
+	.B1(n_63571),
+	.X(n_66195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g829085 (
+	.A(n_2939),
+	.B(n_3866),
+	.C(n_2019),
+	.X(n_66197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829094 (
+	.A(n_24605),
+	.B(n_61979),
+	.X(n_66206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829095 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_189 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_651 ),
+	.Y(n_66207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g829096 (
+	.A(n_25970),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_860 ),
+	.C(n_25912),
+	.X(n_66208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829097 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_217 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_617 ),
+	.Y(n_66209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829098 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_463 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_403 ),
+	.X(n_66210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_4 g829099 (
+	.A1(n_13912),
+	.A2(n_14491),
+	.B1_N(n_14391),
+	.X(n_66211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829103 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_414 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_335 ),
+	.X(n_66215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829104 (
+	.A(n_26003),
+	.B(n_25945),
+	.Y(n_66216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829105 (
+	.A(n_25945),
+	.B(n_26003),
+	.X(n_66217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829106 (
+	.A(n_26002),
+	.B(n_25944),
+	.Y(n_66218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829107 (
+	.A(n_25994),
+	.B(n_30638),
+	.X(n_66219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829108 (
+	.A(n_25995),
+	.B(n_30637),
+	.X(n_66220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g829109 (
+	.A(n_25999),
+	.B(n_25941),
+	.Y(n_66221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829110 (
+	.A(n_26013),
+	.B(n_25955),
+	.Y(n_66222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829118 (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_440 ),
+	.B_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_122 ),
+	.Y(n_66230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829121 (
+	.A(n_26926),
+	.B(n_26927),
+	.X(n_66233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829124 (
+	.A(n_26928),
+	.B(n_26930),
+	.X(n_66236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829133 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_193 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(n_66245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g829137 (
+	.A(n_73884),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_364 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_124 ),
+	.X(n_66249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829140 (
+	.A(n_36893),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_98 ),
+	.X(n_66252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g829149 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1199 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(n_66261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g829150 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1203 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(n_66262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829151 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1201 ),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.Y(n_66263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829152 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_467 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1133 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1102 ),
+	.X(n_66264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g829154 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1234 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1152 ),
+	.X(n_66266), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829155 (
+	.A1(FE_DBTN14_n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1030 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1232 ),
+	.X(n_66267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829162 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_688 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_672 ),
+	.X(n_66274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g829177 (
+	.A1(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.A2(n_69701),
+	.B1(n_69704),
+	.X(n_66289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829178 (
+	.A(n_50903),
+	.B(n_38374),
+	.X(n_66290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829180 (
+	.A_N(n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[25]),
+	.Y(n_66292), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829185 (
+	.A_N(n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[10]),
+	.Y(n_66297), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g829188 (
+	.A1(n_75245),
+	.A2(n_52997),
+	.B1(n_42162),
+	.Y(n_66300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829192 (
+	.A(n_35728),
+	.B(n_17712),
+	.Y(n_66304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g829193 (
+	.A1(n_43409),
+	.A2(n_67627),
+	.B1(n_43410),
+	.X(n_66305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829194 (
+	.A1(n_66307),
+	.A2(n_43759),
+	.B1(n_43761),
+	.X(n_66306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829195 (
+	.A(n_53308),
+	.B(n_43750),
+	.X(n_66307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_4 g829196 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [16]),
+	.C1(n_23577),
+	.Y(n_66308), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829197 (
+	.A(FE_DBTN63_n_56699),
+	.B(n_67643),
+	.X(n_66309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829199 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [4]),
+	.C1(n_23182),
+	.Y(n_66311), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g829200 (
+	.A(n_23581),
+	.B(n_23259),
+	.C(n_19255),
+	.D(n_20723),
+	.X(n_66312), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g829202 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [1]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [1]),
+	.C1(n_23178),
+	.Y(n_66314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829207 (
+	.A1(n_22229),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.B1(n_22228),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[3] [3]),
+	.C1(n_23181),
+	.Y(n_66319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829210 (
+	.A1(n_25128),
+	.A2(n_15624),
+	.B1(n_27413),
+	.B2(n_15362),
+	.X(n_66322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g829211 (
+	.A(n_23582),
+	.B(n_23260),
+	.C(n_19275),
+	.D(n_20722),
+	.X(n_66323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829215 (
+	.A(n_67914),
+	.B(n_50637),
+	.X(n_66327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829219 (
+	.A(n_45070),
+	.B(n_45072),
+	.X(n_66331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g829220 (
+	.A_N(n_73864),
+	.B(n_45321),
+	.X(n_66332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g829226 (
+	.A1(n_68091),
+	.A2(n_45653),
+	.B1(n_45629),
+	.Y(n_66338), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g829229 (
+	.A1(n_49833),
+	.A2(n_46216),
+	.B1(n_66343),
+	.C1(n_70199),
+	.X(n_66341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829230 (
+	.A(addinc_ADD_UNS_OP_2_n_868),
+	.B_N(FE_DBTN105_n_49226),
+	.Y(n_66342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829231 (
+	.A1(addinc_ADD_UNS_OP_2_n_868),
+	.A2(addinc_ADD_UNS_OP_2_n_1069),
+	.B1(addinc_ADD_UNS_OP_2_n_1094),
+	.X(n_66343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829232 (
+	.A(n_46314),
+	.B_N(n_50842),
+	.Y(n_66344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829233 (
+	.A(n_68074),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1772 ),
+	.Y(n_66345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829234 (
+	.A1(n_46633),
+	.A2(FE_DBTN59_n_46648),
+	.B1(n_46636),
+	.X(n_66346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829235 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1210 ),
+	.B(n_46739),
+	.Y(n_66347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829236 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1478 ),
+	.B(n_46764),
+	.X(n_66348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829239 (
+	.A(n_47059),
+	.B(n_53639),
+	.X(n_66351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829241 (
+	.A1(n_47146),
+	.A2(n_47148),
+	.B1(FE_DBTN95_n_63318),
+	.X(n_66353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g829242 (
+	.A(FE_DBTN50_n_74579),
+	.B(n_34437),
+	.C(n_67914),
+	.X(n_66354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g829243 (
+	.A1(n_51802),
+	.A2(n_48085),
+	.B1(addinc_ADD_UNS_OP_2_n_1237),
+	.Y(n_66355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g829244 (
+	.A1(n_16152),
+	.A2(n_16209),
+	.A3(n_16597),
+	.B1(n_16556),
+	.X(n_66356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g829245 (
+	.A1(n_16162),
+	.A2(n_16161),
+	.A3(n_16599),
+	.B1(n_16556),
+	.X(n_66357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829249 (
+	.A1(n_16645),
+	.A2(n_16609),
+	.B1(n_16556),
+	.X(n_66361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829250 (
+	.A(n_15969),
+	.B(n_71045),
+	.X(n_66362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g829251 (
+	.A(n_68795),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.C(FE_DBTN92_n_49646),
+	.D(n_51105),
+	.X(n_66363), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829252 (
+	.A1(n_44423),
+	.A2(n_46910),
+	.B1(n_46921),
+	.X(n_66364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829253 (
+	.A(n_53863),
+	.B_N(n_47693),
+	.Y(n_66365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829256 (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_16 ),
+	.B_N(n_47807),
+	.Y(n_66368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829257 (
+	.A(n_66103),
+	.B(n_67878),
+	.X(n_66369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g829258 (
+	.A_N(n_47800),
+	.B(n_66103),
+	.C(n_67878),
+	.X(n_66370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829259 (
+	.A(n_66103),
+	.B(n_61828),
+	.X(n_66371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829261 (
+	.A(n_46457),
+	.B(n_53141),
+	.X(n_66373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829262 (
+	.A(n_69208),
+	.B(n_72051),
+	.X(n_66374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829269 (
+	.A(n_46648),
+	.B(n_29516),
+	.X(n_66381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829270 (
+	.A(FE_DBTN45_n_51788),
+	.B(addinc_ADD_UNS_OP_2_n_348),
+	.X(n_66382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_2 g829272 (
+	.A(n_23586),
+	.B(n_23265),
+	.C(n_19283),
+	.D(n_20745),
+	.X(n_66384), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g829278 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2414 ),
+	.B(n_51301),
+	.C(n_50703),
+	.X(n_66390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4b_1 g829280 (
+	.A_N(n_16430),
+	.B(n_16648),
+	.C(n_16629),
+	.D(n_16041),
+	.X(n_66392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829283 (
+	.A(n_54235),
+	.B(FE_DBTN67_n_44490),
+	.X(n_66395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829286 (
+	.A(n_50178),
+	.B(n_52365),
+	.X(n_66398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g829287 (
+	.A1(FE_DBTN79_n_19051),
+	.A2(n_50253),
+	.B1(n_50254),
+	.X(n_66399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829292 (
+	.A(n_66466),
+	.B(n_51286),
+	.X(n_66404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g829295 (
+	.A1(n_48346),
+	.A2(n_23532),
+	.B1(n_19260),
+	.C1(n_19270),
+	.D1(n_20740),
+	.X(n_66407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829296 (
+	.A1(n_47028),
+	.A2(n_43977),
+	.B1(n_19234),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.X(n_66408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829297 (
+	.A(n_31374),
+	.B(FE_DBTN37_n_54235),
+	.X(n_66409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829300 (
+	.A(n_17841),
+	.B(FE_DBTN67_n_44490),
+	.X(n_66412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829301 (
+	.A(n_51012),
+	.B(n_51013),
+	.X(n_66413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829302 (
+	.A(n_51217),
+	.B(n_74543),
+	.X(n_66414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g829303 (
+	.A(n_23588),
+	.B(n_23267),
+	.C(n_19272),
+	.D(n_20748),
+	.X(n_66415), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829305 (
+	.A(FE_DBTN13_n_68403),
+	.B(n_52266),
+	.X(n_66417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g829307 (
+	.A1(n_34198),
+	.A2(n_50978),
+	.B1(n_15964),
+	.X(n_31180), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829310 (
+	.A(n_45398),
+	.B_N(n_52416),
+	.Y(n_66422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g829311 (
+	.A1(n_56869),
+	.A2(n_48580),
+	.B1(n_52504),
+	.Y(n_66423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829314 (
+	.A(FE_DBTN103_n_74843),
+	.B(n_57402),
+	.X(n_66426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g829316 (
+	.A_N(n_50662),
+	.B(n_73502),
+	.X(n_66428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829317 (
+	.A(n_52765),
+	.B(n_52756),
+	.X(n_66429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829318 (
+	.A(addinc_ADD_UNS_OP_2_n_606),
+	.B(addinc_ADD_UNS_OP_2_n_1820),
+	.X(n_66430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829320 (
+	.A(n_67604),
+	.B(n_43197),
+	.X(n_66432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829322 (
+	.A(n_50971),
+	.B(n_50447),
+	.X(n_50336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829323 (
+	.A(n_74450),
+	.B(n_42978),
+	.Y(n_66435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g829324 (
+	.A1(n_58593),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1782),
+	.B1(n_54080),
+	.C1(n_54078),
+	.Y(n_66436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829325 (
+	.A(n_73737),
+	.B(n_53281),
+	.X(n_66437), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o311a_1 g829326 (
+	.A1(n_45635),
+	.A2(n_68079),
+	.A3(n_45636),
+	.B1(n_45637),
+	.C1(n_45638),
+	.X(n_66438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g829327 (
+	.A1(n_50139),
+	.A2(n_31684),
+	.B1(n_17678),
+	.B2(n_46088),
+	.X(n_66439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829328 (
+	.A(n_47866),
+	.B(n_66086),
+	.X(n_66440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g829329 (
+	.A(addinc_ADD_UNS_OP_2_n_1779),
+	.B(n_49211),
+	.C(n_66844),
+	.X(n_66441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829331 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2856 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_336 ),
+	.X(n_66443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829332 (
+	.A_N(n_54474),
+	.B(addinc_ADD_UNS_OP_2_n_554),
+	.Y(n_66444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829333 (
+	.A(n_36768),
+	.B(n_67778),
+	.X(n_66445), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g829334 (
+	.A(n_23587),
+	.B(n_23266),
+	.C(n_19259),
+	.D(n_20752),
+	.X(n_66446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g829335 (
+	.A1(n_69336),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1445 ),
+	.B1(n_73965),
+	.Y(n_66447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g829337 (
+	.A_N(n_38188),
+	.B(n_54789),
+	.C(n_54806),
+	.X(n_66449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_4 g829339 (
+	.A(n_74893),
+	.B(n_57720),
+	.C(n_44490),
+	.D(n_70094),
+	.X(n_66451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829343 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[19]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[27]),
+	.X(n_66455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g829344 (
+	.A1(n_15350),
+	.A2(n_34198),
+	.B1_N(n_67787),
+	.X(n_66456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g829345 (
+	.A1(n_73083),
+	.A2(n_67805),
+	.B1_N(n_55095),
+	.X(n_66457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829348 (
+	.A(n_36768),
+	.B(n_31465),
+	.X(n_66460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829349 (
+	.A1(n_27496),
+	.A2(n_18842),
+	.B1(n_23661),
+	.B2(n_23316),
+	.X(n_66461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g829350 (
+	.A1(soc_top_data_rdata[3]),
+	.A2(n_73719),
+	.B1(n_43910),
+	.X(n_66462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829351 (
+	.A_N(n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[30]),
+	.Y(n_66463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829352 (
+	.A(n_74010),
+	.B(n_56732),
+	.X(n_66464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829353 (
+	.A(addinc_ADD_UNS_OP_2_n_163),
+	.B(addinc_ADD_UNS_OP_2_n_188),
+	.X(n_66465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829354 (
+	.A_N(n_46692),
+	.B(n_46662),
+	.Y(n_66466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g829355 (
+	.A1(n_52131),
+	.A2(n_55956),
+	.B1(addinc_ADD_UNS_OP_2_n_480),
+	.X(n_66467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g829360 (
+	.A_N(n_61535),
+	.B(n_61537),
+	.C(n_61540),
+	.X(n_66472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829361 (
+	.A(n_61886),
+	.B(n_24526),
+	.X(n_66473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829363 (
+	.A(n_61525),
+	.B(n_9398),
+	.X(n_66475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829380 (
+	.A(n_67223),
+	.B(n_10594),
+	.X(n_66492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g829383 (
+	.A1(n_5525),
+	.A2(n_10047),
+	.B1(n_9005),
+	.C1(n_62213),
+	.X(n_66495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829384 (
+	.A(n_63803),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.X(n_66496), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829404 (
+	.A(n_50027),
+	.B(n_48947),
+	.X(n_66516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829405 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_alu_op_b_mux_sel_dec),
+	.B(n_20805),
+	.Y(n_66517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829406 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_ls_fsm_cs[1]),
+	.B(n_18937),
+	.Y(n_66518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829408 (
+	.A1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [2]),
+	.A2(n_19248),
+	.B1(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [2]),
+	.B2(n_19329),
+	.X(n_66520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829411 (
+	.A(n_16870),
+	.B_N(n_16759),
+	.Y(n_66523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g829412 (
+	.A(n_16877),
+	.B_N(n_64059),
+	.Y(n_66524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829413 (
+	.A_N(n_16619),
+	.B(n_31816),
+	.Y(n_66525), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829416 (
+	.A(n_16489),
+	.B_N(n_15979),
+	.Y(n_66528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829422 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_encoded_mant [2]),
+	.B(n_16252),
+	.Y(n_66534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g829428 (
+	.A_N(n_36679),
+	.B(n_12855),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_84 ),
+	.X(n_66540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g829430 (
+	.A_N(n_15254),
+	.B(n_15261),
+	.C(n_66548),
+	.Y(n_66542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g829432 (
+	.A(n_15227),
+	.B(n_15221),
+	.C_N(n_15249),
+	.X(n_66544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829436 (
+	.A_N(n_15119),
+	.B(n_15214),
+	.Y(n_66548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829437 (
+	.A(n_15106),
+	.B(n_63280),
+	.X(n_66549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829438 (
+	.A_N(n_14893),
+	.B(n_14693),
+	.Y(n_66550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829446 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_renorm_shamt [0]),
+	.B(n_14873),
+	.Y(n_66558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g829456 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[0]),
+	.B(soc_top_u_top_u_core_id_stage_i_bt_b_mux_sel[2]),
+	.X(n_66568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g829457 (
+	.A_N(n_13470),
+	.B(n_61466),
+	.X(n_66569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829460 (
+	.A(n_13449),
+	.B_N(n_26261),
+	.Y(n_66572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829461 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [32]),
+	.B(n_25967),
+	.Y(n_66573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829462 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [30]),
+	.B(n_25967),
+	.Y(n_66574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829464 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [31]),
+	.B(n_25967),
+	.Y(n_66576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_2 g829467 (
+	.A_N(n_27100),
+	.B(n_9651),
+	.X(n_66579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g829470 (
+	.A1(n_486),
+	.A2(soc_top_u_top_u_core_instr_valid_clear),
+	.B1_N(n_9382),
+	.Y(n_66582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g829471 (
+	.A_N(n_6385),
+	.B(n_2472),
+	.X(n_66583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_2 g829472 (
+	.A(n_1278),
+	.B_N(n_3930),
+	.X(n_66584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g829481 (
+	.A_N(soc_top_u_top_u_core_is_fp_instr),
+	.B(n_1277),
+	.C(n_5990),
+	.X(n_66593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829489 (
+	.A_N(n_31816),
+	.B(n_66867),
+	.Y(n_66601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829490 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1704),
+	.B(n_68023),
+	.Y(n_66602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829491 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1632),
+	.A2(n_50882),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1631),
+	.X(n_66603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829492 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_610),
+	.B(n_46109),
+	.X(n_66604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829494 (
+	.A_N(addinc_ADD_UNS_OP_2_n_114),
+	.B(addinc_ADD_UNS_OP_2_n_188),
+	.Y(n_66606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829495 (
+	.A(n_52221),
+	.B_N(n_70263),
+	.Y(n_66607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829496 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_124 ),
+	.B(n_73940),
+	.Y(n_66608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829497 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_123 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_81 ),
+	.X(n_66609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829498 (
+	.A(n_25874),
+	.B(n_26106),
+	.X(n_66610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g829499 (
+	.A(n_25861),
+	.B(n_26093),
+	.X(n_66611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g829500 (
+	.A(n_25873),
+	.B(n_26105),
+	.X(n_66612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829501 (
+	.A(n_25865),
+	.B(n_26097),
+	.X(n_66613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829502 (
+	.A(n_25872),
+	.B(n_26104),
+	.X(n_66614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829503 (
+	.A(n_74127),
+	.B(n_26091),
+	.X(n_66615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829504 (
+	.A(n_25866),
+	.B(n_26098),
+	.X(n_66616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829505 (
+	.A(n_26107),
+	.B(n_25875),
+	.X(n_66617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829506 (
+	.A(n_26101),
+	.B(n_74128),
+	.X(n_66618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829507 (
+	.A(n_25870),
+	.B(n_26102),
+	.X(n_66619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829508 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_190 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_627 ),
+	.X(n_66620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829509 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_227 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_608 ),
+	.X(n_66621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829510 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_237 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_606 ),
+	.X(n_66622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829511 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_565 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_378 ),
+	.Y(n_66623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829512 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_887 ),
+	.B(n_66631),
+	.X(n_66624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829513 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_223 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_550 ),
+	.X(n_66625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829514 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_889 ),
+	.B(n_66632),
+	.X(n_66626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829515 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_208 ),
+	.B(n_66633),
+	.X(n_66627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829516 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_447 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_804 ),
+	.Y(n_66628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g829518 (
+	.A1(n_67271),
+	.A2(n_66639),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_124 ),
+	.Y(n_66630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829519 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_890 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_544 ),
+	.Y(n_66631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829520 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_116 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_521 ),
+	.Y(n_66632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829521 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_892 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_507 ),
+	.Y(n_66633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829522 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_130 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_98 ),
+	.Y(n_66634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829523 (
+	.A_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_125 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_774 ),
+	.C(n_66220),
+	.Y(n_66635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829524 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_160 ),
+	.B_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_883 ),
+	.Y(n_66636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829527 (
+	.A(n_25926),
+	.B(n_25984),
+	.X(n_66639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829528 (
+	.A(n_25931),
+	.B(n_25989),
+	.X(n_66640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829529 (
+	.A(n_30636),
+	.B(n_25996),
+	.X(n_66641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829530 (
+	.A_N(n_25974),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_45 ),
+	.Y(n_66642), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829531 (
+	.A(n_26012),
+	.B(n_25954),
+	.X(n_66643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829532 (
+	.A_N(n_25932),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_36 ),
+	.Y(n_66644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829533 (
+	.A(n_25940),
+	.B(n_25998),
+	.X(n_66645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829534 (
+	.A(n_25979),
+	.B(n_25921),
+	.X(n_66646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829536 (
+	.A(n_30634),
+	.B(n_25991),
+	.X(n_66648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829537 (
+	.A(n_25925),
+	.B(n_25983),
+	.X(n_66649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829538 (
+	.A(n_25951),
+	.B(n_26009),
+	.X(n_66650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829539 (
+	.A(n_26013),
+	.B(n_25955),
+	.X(n_66651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829540 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_869 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_595 ),
+	.X(n_66652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829541 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ),
+	.B(n_52053),
+	.Y(n_66653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829542 (
+	.A_N(n_67682),
+	.B(n_53962),
+	.Y(n_66654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829544 (
+	.A_N(n_48605),
+	.B(n_69040),
+	.Y(n_66656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829545 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_756 ),
+	.B(n_55866),
+	.Y(n_66657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829546 (
+	.A_N(n_43244),
+	.B(n_67800),
+	.Y(n_66658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829547 (
+	.A(n_44003),
+	.B_N(n_36142),
+	.Y(n_66659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g829567 (
+	.A1(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_11 ),
+	.A2(\soc_top_timer0_reg2hw[compare_lower0_0][q] [6]),
+	.B1_N(\soc_top_timer0_gen_harts[0].u_core_gte_46_38_I1_n_69 ),
+	.Y(n_66679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829568 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_147),
+	.B(n_61686),
+	.Y(n_66680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829571 (
+	.A_N(n_68296),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_66683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829572 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_450 ),
+	.B_N(n_33678),
+	.Y(n_66684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829574 (
+	.A_N(n_67358),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.C(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.Y(n_66686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829576 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_184 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.C(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.Y(n_66688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829578 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [19]),
+	.B_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.Y(n_66690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829585 (
+	.A_N(n_27007),
+	.B(n_26984),
+	.Y(n_66697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829586 (
+	.A_N(n_29841),
+	.B(n_26984),
+	.Y(n_66698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829587 (
+	.A_N(n_27008),
+	.B(n_26984),
+	.Y(n_66699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829591 (
+	.A_N(n_27001),
+	.B(n_26984),
+	.Y(n_66703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829592 (
+	.A_N(n_27009),
+	.B(n_26984),
+	.Y(n_66704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829593 (
+	.A_N(n_29843),
+	.B(n_26984),
+	.Y(n_66705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829595 (
+	.A_N(n_16559),
+	.B(n_16512),
+	.Y(n_66707), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g829597 (
+	.A1(n_52246),
+	.A2(n_41137),
+	.B1_N(n_74804),
+	.Y(n_66709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829600 (
+	.A_N(n_35245),
+	.B(FE_DBTN63_n_56699),
+	.Y(n_66712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829601 (
+	.A(n_19051),
+	.B(n_35323),
+	.X(n_66713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829602 (
+	.A_N(n_64213),
+	.B(n_15483),
+	.Y(n_66714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829605 (
+	.A_N(n_67711),
+	.B(n_53708),
+	.Y(n_66717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g829606 (
+	.A_N(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.B(n_68795),
+	.C(n_16488),
+	.D(n_51108),
+	.Y(n_66718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829607 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2902 ),
+	.B_N(n_38305),
+	.Y(n_66719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829608 (
+	.A_N(n_38352),
+	.B(n_44490),
+	.Y(n_66720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g829610 (
+	.A1(n_68719),
+	.A2(n_65952),
+	.B1(n_41416),
+	.B2(FE_DBTN41_n_55284),
+	.Y(n_37546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829614 (
+	.A_N(n_67585),
+	.B(n_49147),
+	.Y(n_66726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g829617 (
+	.A1(n_42800),
+	.A2(n_67910),
+	.B1(n_67583),
+	.Y(n_66729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829618 (
+	.A_N(n_42960),
+	.B(n_42942),
+	.Y(n_66730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829619 (
+	.A_N(n_74449),
+	.B(n_67589),
+	.Y(n_66731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829621 (
+	.A_N(n_73829),
+	.B(n_43018),
+	.Y(n_66733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829622 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1709 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1706 ),
+	.Y(n_66734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829624 (
+	.A_N(n_67726),
+	.B(n_38224),
+	.Y(n_66736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829626 (
+	.A_N(n_43107),
+	.B(n_43114),
+	.Y(n_66738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829627 (
+	.A_N(n_73728),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1853 ),
+	.Y(n_66739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829628 (
+	.A_N(n_43117),
+	.B(n_43141),
+	.Y(n_66740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829629 (
+	.A_N(n_43143),
+	.B(n_43157),
+	.Y(n_66741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829631 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ),
+	.B(n_68021),
+	.Y(n_66743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829632 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ),
+	.B(n_43187),
+	.Y(n_66744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829633 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_811 ),
+	.B(n_66714),
+	.Y(n_66745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829634 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_794 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_331 ),
+	.Y(n_66746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829636 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_600 ),
+	.B(n_65239),
+	.Y(n_66748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829637 (
+	.A_N(n_43279),
+	.B(n_70990),
+	.Y(n_66749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829638 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ),
+	.B(n_65239),
+	.Y(n_66750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829640 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2031 ),
+	.B(n_43402),
+	.Y(n_66752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829641 (
+	.A_N(n_43441),
+	.B(n_66099),
+	.Y(n_66753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g829643 (
+	.A(n_43441),
+	.B(n_69933),
+	.Y(n_66755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829644 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1894 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1889 ),
+	.Y(n_66756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829645 (
+	.A(n_43543),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1313 ),
+	.Y(n_66757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829646 (
+	.A_N(n_43559),
+	.B(n_67601),
+	.Y(n_66758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829647 (
+	.A_N(n_67602),
+	.B(n_43564),
+	.Y(n_66759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g829648 (
+	.A(n_43478),
+	.B(n_43476),
+	.C(n_43475),
+	.D(n_43463),
+	.Y(n_66760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829649 (
+	.A_N(n_43593),
+	.B(n_43598),
+	.Y(n_66761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829651 (
+	.A(addinc_ADD_UNS_OP_2_n_332),
+	.B(addinc_ADD_UNS_OP_2_n_554),
+	.X(n_66763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829652 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1880 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1810 ),
+	.X(n_66764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829655 (
+	.A_N(FE_DBTN15_n_65952),
+	.B(n_51917),
+	.Y(n_66767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829656 (
+	.A_N(n_53361),
+	.B(n_49893),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.Y(n_66768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829657 (
+	.A_N(n_53263),
+	.B(n_535),
+	.Y(n_66769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829659 (
+	.A_N(n_43458),
+	.B(n_43459),
+	.Y(n_66771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g829660 (
+	.A(n_35690),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2884 ),
+	.X(n_66772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g829661 (
+	.A_N(n_34268),
+	.B(n_44399),
+	.C(n_66772),
+	.Y(n_66773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829662 (
+	.A(n_44443),
+	.B_N(n_29790),
+	.Y(n_66774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g829664 (
+	.A(n_39362),
+	.B(n_50523),
+	.Y(n_66776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829665 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_787),
+	.B(n_33866),
+	.C(n_49793),
+	.Y(n_66777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829667 (
+	.A_N(n_52541),
+	.B(n_48461),
+	.Y(n_66779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829668 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2013 ),
+	.B(n_69983),
+	.Y(n_66780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829670 (
+	.A_N(n_51410),
+	.B(n_68354),
+	.Y(n_66782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g829671 (
+	.A(n_68874),
+	.B(n_68879),
+	.X(n_66783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829673 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_173),
+	.B(n_68304),
+	.X(n_66785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829674 (
+	.A_N(n_45237),
+	.B(n_45238),
+	.Y(n_66786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g829675 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_339),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_241),
+	.X(n_66787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g829676 (
+	.A1(n_46753),
+	.A2(n_45381),
+	.B1_N(n_68089),
+	.Y(n_66788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829677 (
+	.A_N(n_16842),
+	.B(n_15344),
+	.Y(n_66789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829679 (
+	.A_N(n_45544),
+	.B(n_68027),
+	.Y(n_66791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829680 (
+	.A_N(n_68027),
+	.B(n_45544),
+	.Y(n_66792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829681 (
+	.A_N(n_45629),
+	.B(n_45635),
+	.Y(n_66793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829683 (
+	.A_N(n_67627),
+	.B(n_43419),
+	.C(n_43420),
+	.Y(n_66795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829685 (
+	.A_N(n_54932),
+	.B(n_44490),
+	.Y(n_66797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829686 (
+	.A_N(n_38188),
+	.B(n_38189),
+	.Y(n_66798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829690 (
+	.A(n_52245),
+	.B_N(n_45959),
+	.Y(n_66802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g829691 (
+	.A1(n_71058),
+	.A2(n_74916),
+	.B1(n_45991),
+	.X(n_66803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g829696 (
+	.A(n_56017),
+	.B(n_69040),
+	.Y(n_66808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829698 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2157 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ),
+	.Y(n_66810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829699 (
+	.A_N(n_67640),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ),
+	.Y(n_66811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829700 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ),
+	.B(n_67640),
+	.Y(n_66812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g829701 (
+	.A(n_67731),
+	.B(n_58062),
+	.X(n_66813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829702 (
+	.A_N(n_67641),
+	.B(n_38303),
+	.C(n_46411),
+	.Y(n_66814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829703 (
+	.A_N(n_46642),
+	.B(n_43245),
+	.Y(n_66815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g829707 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1735 ),
+	.B(n_67645),
+	.X(n_66819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829708 (
+	.A_N(n_67718),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1676 ),
+	.Y(n_66820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829709 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1676 ),
+	.B(n_67718),
+	.Y(n_66821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829710 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.B(n_66820),
+	.C(n_66821),
+	.Y(n_66822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829711 (
+	.A_N(n_46732),
+	.B(n_66347),
+	.Y(n_66823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829712 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2896 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_909 ),
+	.Y(n_66824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g829713 (
+	.A1(n_46801),
+	.A2(n_46797),
+	.B1_N(n_54088),
+	.Y(n_66825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829714 (
+	.A_N(n_70251),
+	.B(n_46831),
+	.Y(n_66826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2b_4 g829717 (
+	.A(n_51108),
+	.B_N(n_15761),
+	.X(n_66829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829719 (
+	.A_N(n_46979),
+	.B(n_68677),
+	.Y(n_66831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829720 (
+	.A(n_68041),
+	.B(n_74459),
+	.X(n_66832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829723 (
+	.A_N(n_67734),
+	.B(n_46069),
+	.Y(n_66835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829725 (
+	.A_N(n_69800),
+	.B(n_47304),
+	.Y(n_66837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g829726 (
+	.A(n_47604),
+	.B_N(addinc_ADD_UNS_OP_2_n_839),
+	.Y(n_66838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829730 (
+	.A_N(n_66843),
+	.B(n_67878),
+	.C(n_47801),
+	.Y(n_66842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829731 (
+	.A_N(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ),
+	.B(n_47796),
+	.Y(n_66843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829732 (
+	.A_N(n_48917),
+	.B(n_32040),
+	.Y(n_66844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829733 (
+	.A(n_67658),
+	.B_N(n_66786),
+	.Y(n_66845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g829736 (
+	.A(n_75261),
+	.B(n_54431),
+	.X(n_66848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829737 (
+	.A_N(n_19208),
+	.B(n_24447),
+	.Y(n_66849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829738 (
+	.A(n_49893),
+	.B_N(n_48244),
+	.Y(n_66850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g829739 (
+	.A1(n_67705),
+	.A2(n_49893),
+	.B1(n_53366),
+	.B2(n_44003),
+	.X(n_66851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829741 (
+	.A(n_67672),
+	.B(n_36753),
+	.X(n_66853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g829743 (
+	.A1(n_73993),
+	.A2(n_74703),
+	.B1(n_67849),
+	.Y(n_66855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829746 (
+	.A_N(n_48538),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2909 ),
+	.Y(n_66858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829754 (
+	.A(n_56870),
+	.B(n_48580),
+	.X(n_66866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829755 (
+	.A(n_60294),
+	.B_N(n_71048),
+	.Y(n_66867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829756 (
+	.A_N(n_70233),
+	.B(n_49055),
+	.Y(n_66868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829758 (
+	.A_N(n_33131),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(n_66870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829759 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_735 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_442 ),
+	.Y(n_66871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829760 (
+	.A_N(n_74468),
+	.B(n_49200),
+	.Y(n_66872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829762 (
+	.A_N(n_49236),
+	.B(n_67694),
+	.C(n_66423),
+	.Y(n_66874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829763 (
+	.A_N(n_49267),
+	.B(n_67745),
+	.Y(n_66875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829765 (
+	.A_N(n_67586),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2391 ),
+	.Y(n_66877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or4bb_1 g829768 (
+	.A(n_1901),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [22]),
+	.C_N(n_49670),
+	.D_N(n_33475),
+	.X(n_66880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829769 (
+	.A_N(n_49795),
+	.B(n_34470),
+	.C(n_51241),
+	.Y(n_66881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829771 (
+	.A_N(n_33055),
+	.B(n_51965),
+	.Y(n_66883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829772 (
+	.A(n_44490),
+	.B_N(n_29466),
+	.Y(n_66884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829773 (
+	.A_N(n_16828),
+	.B(n_17087),
+	.Y(n_66885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829776 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_613 ),
+	.B(n_36375),
+	.Y(n_66888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829778 (
+	.A_N(n_50374),
+	.B(n_41881),
+	.C(n_34972),
+	.Y(n_66890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829779 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1523 ),
+	.B(n_50462),
+	.Y(n_66891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829780 (
+	.A(n_50563),
+	.B(addinc_ADD_UNS_OP_2_n_1475),
+	.Y(n_66892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829781 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1654),
+	.B(n_50632),
+	.C(n_66894),
+	.Y(n_66893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829782 (
+	.A_N(n_50631),
+	.B(n_57412),
+	.Y(n_66894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g829783 (
+	.A_N(n_44898),
+	.B(n_51132),
+	.C(n_44196),
+	.Y(n_66895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g829785 (
+	.A(n_43748),
+	.B(n_53316),
+	.X(n_66897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829787 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_619 ),
+	.B(n_36375),
+	.Y(n_66899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829790 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1114 ),
+	.B(n_71074),
+	.Y(n_66902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g829791 (
+	.A1(n_75237),
+	.A2(n_52314),
+	.B1_N(FE_DBTN72_n_31641),
+	.X(n_66903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g829792 (
+	.A_N(n_16904),
+	.B(n_69540),
+	.C(n_54840),
+	.Y(n_66904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g829800 (
+	.A_N(n_51614),
+	.B(n_74766),
+	.C(n_30387),
+	.Y(n_66912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829802 (
+	.A_N(n_50444),
+	.B(n_45989),
+	.Y(n_66914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829804 (
+	.A_N(n_53723),
+	.B(n_66086),
+	.Y(n_66916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g829805 (
+	.A_N(n_39699),
+	.B(n_74000),
+	.C(n_70123),
+	.D(n_70240),
+	.Y(n_66917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g829807 (
+	.A(n_63318),
+	.B_N(n_51957),
+	.Y(n_66919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829808 (
+	.A_N(n_70601),
+	.B(n_53802),
+	.C(n_53805),
+	.Y(n_66920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g829809 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1680 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1933 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1811 ),
+	.X(n_66921), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829810 (
+	.A_N(n_68446),
+	.B(n_52190),
+	.Y(n_66922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829811 (
+	.A_N(n_70125),
+	.B(addinc_ADD_UNS_OP_2_n_1214),
+	.Y(n_66923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829813 (
+	.A_N(n_73144),
+	.B(n_66779),
+	.Y(n_66925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829814 (
+	.A1(n_52523),
+	.A2(n_52522),
+	.B1_N(n_52525),
+	.X(n_66926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829816 (
+	.A_N(n_54100),
+	.B(n_54109),
+	.Y(n_66928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829819 (
+	.A_N(n_68434),
+	.B(n_43046),
+	.Y(n_66931), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g829821 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [2]),
+	.B(n_53141),
+	.Y(n_66933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829822 (
+	.A_N(n_58098),
+	.B(n_73739),
+	.Y(n_66934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829829 (
+	.A_N(n_48045),
+	.B(n_53798),
+	.Y(n_66941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829831 (
+	.A(n_66844),
+	.B(n_53928),
+	.Y(n_66943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829832 (
+	.A_N(n_53968),
+	.B(n_53970),
+	.Y(n_66944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829834 (
+	.A_N(n_54187),
+	.B(n_54173),
+	.Y(n_66946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g829835 (
+	.A(n_66092),
+	.B(n_54193),
+	.Y(n_66947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829837 (
+	.A_N(n_54318),
+	.B(n_54320),
+	.Y(n_66949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g829838 (
+	.A(n_47420),
+	.B_N(n_70140),
+	.Y(n_66950), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829839 (
+	.A_N(n_54474),
+	.B(n_55216),
+	.Y(n_66951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g829841 (
+	.A(n_56699),
+	.B_N(n_54723),
+	.Y(n_66953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829843 (
+	.A(n_50803),
+	.B_N(n_73646),
+	.Y(n_66955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g829845 (
+	.A(n_53032),
+	.B_N(n_70973),
+	.Y(n_66957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829848 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_594 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_956 ),
+	.Y(n_66960), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829849 (
+	.A_N(FE_DBTN102_n_55797),
+	.B(n_67793),
+	.Y(n_66961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829851 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_638 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_901 ),
+	.Y(n_66963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829852 (
+	.A(n_55981),
+	.B_N(n_70001),
+	.Y(n_66964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829853 (
+	.A_N(n_47014),
+	.B(n_52249),
+	.C(n_46893),
+	.Y(n_66965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829854 (
+	.A_N(n_56036),
+	.B(n_50697),
+	.C(n_29727),
+	.Y(n_66966), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g829858 (
+	.A_N(n_70038),
+	.B(n_56862),
+	.Y(n_66970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829859 (
+	.A_N(n_58593),
+	.B(n_54075),
+	.Y(n_66971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_1 g829861 (
+	.A(n_61483),
+	.B(n_61484),
+	.C_N(n_9729),
+	.X(n_66973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 g829866 (
+	.A0(n_63140),
+	.A1(n_63138),
+	.S(n_72803),
+	.Y(n_66978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g829867 (
+	.A(n_10049),
+	.B_N(n_63174),
+	.Y(n_66979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829868 (
+	.A_N(n_63618),
+	.B(n_63617),
+	.Y(n_66980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g829869 (
+	.A_N(n_31374),
+	.B(n_46610),
+	.Y(n_66981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g829872 (
+	.A_N(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_169),
+	.B(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_192),
+	.Y(n_66984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829881 (
+	.A_N(n_70056),
+	.B(n_43368),
+	.C(n_65794),
+	.Y(n_66993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g829886 (
+	.A1(n_56333),
+	.A2(n_47546),
+	.B1(n_66357),
+	.Y(n_66998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g829895 (
+	.A1(n_35500),
+	.A2(n_70226),
+	.B1_N(n_38006),
+	.Y(n_67007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829896 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1124 ),
+	.A2(n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1641 ),
+	.B2(FE_DBTN14_n_66542),
+	.Y(n_67008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829897 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1026 ),
+	.A2(n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1045 ),
+	.B2(FE_DBTN14_n_66542),
+	.Y(n_67009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g829898 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ),
+	.A2(n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_581 ),
+	.B2(FE_DBTN75_n_26984),
+	.Y(n_67010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g829902 (
+	.A(n_73853),
+	.B(n_44239),
+	.X(n_67014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g829904 (
+	.A1(n_45450),
+	.A2(n_45451),
+	.B1(n_45455),
+	.X(n_67016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g829906 (
+	.A1(n_53372),
+	.A2(n_49893),
+	.B1(n_53370),
+	.B2(n_44003),
+	.Y(n_67018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g829908 (
+	.A1(n_48480),
+	.A2(n_52517),
+	.B1(n_52518),
+	.B2(n_52528),
+	.X(n_67020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g829909 (
+	.A1(n_57979),
+	.A2(FE_DBTN6_n_75245),
+	.B1_N(n_52953),
+	.Y(n_67021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g829913 (
+	.A1_N(n_56675),
+	.A2_N(soc_top_u_top_u_core_load_store_unit_i_rdata_q[28]),
+	.B1(n_56293),
+	.B2(n_56674),
+	.X(n_67025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_2 g829914 (
+	.A(FE_DBTN49_n_72075),
+	.B(FE_DBTN67_n_44490),
+	.C(n_54064),
+	.X(n_67026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g829915 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1797 ),
+	.A2(FE_DBTN57_n_73965),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1761 ),
+	.Y(n_67027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g829921 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_id_fsm_q),
+	.B(n_27232),
+	.C(n_24540),
+	.Y(n_67033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_2 g829924 (
+	.A1(n_24111),
+	.A2(n_23737),
+	.B1(soc_top_u_top_u_core_alu_operand_b_ex[0]),
+	.B2(n_76726),
+	.C1(n_64180),
+	.Y(n_67036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829925 (
+	.A1(n_48353),
+	.A2(n_48357),
+	.B1(n_43977),
+	.B2(n_49019),
+	.X(n_67037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g829926 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[7]),
+	.B1(n_22222),
+	.B2(n_27485),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[7]),
+	.Y(n_67038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g829927 (
+	.A1(n_22225),
+	.A2(soc_top_u_top_u_core_pc_id[17]),
+	.B1(n_22222),
+	.B2(n_27495),
+	.C1(n_22221),
+	.C2(soc_top_u_top_u_core_lsu_addr_last[17]),
+	.Y(n_67039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g829928 (
+	.A1(n_22221),
+	.A2(soc_top_u_top_u_core_lsu_addr_last[15]),
+	.B1(n_22222),
+	.B2(n_27493),
+	.C1(n_22225),
+	.C2(soc_top_u_top_u_core_pc_id[15]),
+	.Y(n_67040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829930 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [22]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [22]),
+	.C1(n_21810),
+	.Y(n_67042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829931 (
+	.A1(n_19628),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[0] [20]),
+	.B1(n_20396),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[2] [20]),
+	.C1(n_21807),
+	.Y(n_67043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829932 (
+	.A1(n_20396),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[2] [20]),
+	.B1(n_20069),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[3] [20]),
+	.C1(n_21910),
+	.Y(n_67044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829933 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[12] [10]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_fp_regfile.fp_register_file_rf_reg_q[11] [10]),
+	.C1(n_21462),
+	.Y(n_67045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829934 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [8]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [8]),
+	.C1(n_21389),
+	.Y(n_67046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g829935 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [5]),
+	.B1(n_29401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [5]),
+	.C1(n_22100),
+	.Y(n_67047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g829936 (
+	.A1(n_19294),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[9] [4]),
+	.B1(n_20483),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[11] [4]),
+	.C1(n_20977),
+	.Y(n_67048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829938 (
+	.A1(n_19964),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [2]),
+	.B1(n_20301),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[24] [2]),
+	.X(n_67050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829940 (
+	.A1(n_19637),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [0]),
+	.B1(n_19964),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[25] [0]),
+	.X(n_67052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g829947 (
+	.A1(n_36768),
+	.A2(n_69701),
+	.B1_N(n_15483),
+	.X(n_67059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g829948 (
+	.A1(n_67805),
+	.A2(n_31810),
+	.B1_N(n_15962),
+	.X(n_67060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829949 (
+	.A1(n_27071),
+	.A2(FE_DBTN90_n_15569),
+	.B1_N(n_29549),
+	.X(n_67061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g829950 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_510 ),
+	.A2(FE_DBTN90_n_15569),
+	.B1(n_15672),
+	.C1(n_15807),
+	.Y(n_67062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829953 (
+	.A1(n_15460),
+	.A2(n_66132),
+	.B1_N(FE_DBTN90_n_15569),
+	.X(n_67065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829972 (
+	.A1(n_15122),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [9]),
+	.B1(n_26264),
+	.B2(n_14927),
+	.X(n_67084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829974 (
+	.A1(n_15122),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B1_N(n_15086),
+	.X(n_67086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g829990 (
+	.A1(n_14586),
+	.A2(n_25337),
+	.B1(n_14888),
+	.C1(n_14883),
+	.Y(n_67102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g829997 (
+	.A1(n_14480),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [10]),
+	.B1(n_14364),
+	.B2(n_26265),
+	.X(n_67109), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g829998 (
+	.A1(n_14480),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [11]),
+	.B1_N(n_14398),
+	.X(n_67110), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830009 (
+	.A1(n_26955),
+	.A2(n_961),
+	.B1(n_26964),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830014 (
+	.A1(n_66496),
+	.A2(n_961),
+	.B1(n_26982),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830015 (
+	.A1(n_73796),
+	.A2(n_961),
+	.B1(n_26981),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830016 (
+	.A1(n_66262),
+	.A2(n_961),
+	.B1(n_26980),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830017 (
+	.A1(n_66263),
+	.A2(n_961),
+	.B1(n_26979),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830020 (
+	.A1(n_26968),
+	.A2(n_961),
+	.B1(n_26976),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830021 (
+	.A1(n_26967),
+	.A2(n_961),
+	.B1(n_66261),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67133), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830024 (
+	.A1(n_26964),
+	.A2(n_961),
+	.B1(n_66262),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830032 (
+	.A1(n_26954),
+	.A2(n_961),
+	.B1(n_67371),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_n_170 ),
+	.X(n_67144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g830042 (
+	.A1_N(n_36),
+	.A2_N(n_13578),
+	.B1(n_13450),
+	.B2(n_25582),
+	.X(n_67154), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g830043 (
+	.A1_N(n_13479),
+	.A2_N(n_13531),
+	.B1(n_13206),
+	.B2(n_25328),
+	.X(n_67155), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g830044 (
+	.A1_N(n_61),
+	.A2_N(n_13545),
+	.B1(n_13450),
+	.B2(n_25589),
+	.X(n_67156), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g830045 (
+	.A(soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_valid_req_q),
+	.B(soc_top_u_top_u_core_pc_set),
+	.C_N(n_13451),
+	.Y(n_67157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g830046 (
+	.A1(n_13106),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [45]),
+	.B1(n_13297),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [47]),
+	.Y(n_67158), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g830047 (
+	.A1(n_13106),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_Mant_b_D [44]),
+	.B1(n_13297),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [46]),
+	.Y(n_67159), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830048 (
+	.A1(soc_top_u_top_u_core_alu_adder_result_ex[1]),
+	.A2(n_13249),
+	.B1(n_13303),
+	.C1(soc_top_u_top_data_we),
+	.Y(n_67160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g830072 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1579 ),
+	.A2(FE_DBTN60_n_58959),
+	.B1(n_66447),
+	.Y(n_67184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830074 (
+	.A(n_47897),
+	.B(n_74848),
+	.C(n_44490),
+	.Y(n_67186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830075 (
+	.A1(n_25770),
+	.A2(n_8929),
+	.B1_N(n_9583),
+	.X(n_67187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830076 (
+	.A(n_67188),
+	.Y(n_67189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830077 (
+	.A1(n_25774),
+	.A2(n_29986),
+	.B1(n_25776),
+	.B2(n_8929),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [19]),
+	.Y(n_67188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830078 (
+	.A(n_67190),
+	.Y(n_67191), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830079 (
+	.A1(n_25775),
+	.A2(n_29986),
+	.B1(n_25777),
+	.B2(n_8929),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [20]),
+	.Y(n_67190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830080 (
+	.A(n_67192),
+	.Y(n_67193), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830081 (
+	.A1(n_25778),
+	.A2(n_8929),
+	.B1(n_25776),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [21]),
+	.Y(n_67192), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830082 (
+	.A(n_67194),
+	.Y(n_67195), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830083 (
+	.A1(n_25778),
+	.A2(n_29986),
+	.B1(n_66626),
+	.B2(n_8929),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [23]),
+	.Y(n_67194), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830084 (
+	.A(n_67196),
+	.Y(n_67197), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830085 (
+	.A1(n_66627),
+	.A2(n_29986),
+	.B1(n_66624),
+	.B2(n_8929),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [24]),
+	.Y(n_67196), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830086 (
+	.A(n_67198),
+	.Y(n_67199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830087 (
+	.A1(n_25783),
+	.A2(n_8929),
+	.B1(n_66624),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [26]),
+	.Y(n_67198), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830088 (
+	.A(n_67200),
+	.Y(n_67201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830089 (
+	.A1(n_25793),
+	.A2(n_8929),
+	.B1(n_25791),
+	.B2(n_29986),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [37]),
+	.Y(n_67200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830090 (
+	.A(n_67202),
+	.Y(n_67203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830091 (
+	.A1(n_25793),
+	.A2(n_29986),
+	.B1(n_25795),
+	.B2(n_8929),
+	.C1(n_6618),
+	.C2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [39]),
+	.Y(n_67202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g830093 (
+	.A(n_9435),
+	.B(n_9427),
+	.C(n_9433),
+	.D(n_9434),
+	.X(n_67205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830094 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_652 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_96 ),
+	.B1_N(n_8929),
+	.X(n_67206), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g830104 (
+	.A1_N(soc_top_u_top_u_core_id_in_ready),
+	.A2_N(n_1429),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [1]),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_state_q [0]),
+	.X(n_67216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830109 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[27]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [28]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [28]),
+	.Y(n_67221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830110 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[2]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [3]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [3]),
+	.Y(n_67222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830111 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[22]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [23]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [23]),
+	.Y(n_67223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830112 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[18]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [19]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [19]),
+	.Y(n_67224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830113 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[21]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [22]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [22]),
+	.Y(n_67225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830114 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[5]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [6]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [6]),
+	.Y(n_67226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830115 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[4]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [5]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [5]),
+	.Y(n_67227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830116 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[10]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [11]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [11]),
+	.Y(n_67228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830117 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[8]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [9]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [9]),
+	.Y(n_67229), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830118 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[7]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [8]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [8]),
+	.Y(n_67230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830119 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[6]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [7]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [7]),
+	.Y(n_67231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830120 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[3]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [4]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [4]),
+	.Y(n_67232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830121 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[9]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [10]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [10]),
+	.Y(n_67233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830122 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[26]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [27]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [27]),
+	.Y(n_67234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830123 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[31]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [32]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [32]),
+	.Y(n_67235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830124 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[30]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [31]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [31]),
+	.Y(n_67236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830125 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[29]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [30]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [30]),
+	.Y(n_67237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830126 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[28]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [29]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [29]),
+	.Y(n_67238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830127 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[11]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [12]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [12]),
+	.Y(n_67239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830128 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[25]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [26]),
+	.Y(n_67240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830129 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[24]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [25]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.Y(n_67241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830130 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[23]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [24]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [24]),
+	.Y(n_67242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830131 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[20]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [21]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [21]),
+	.Y(n_67243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830132 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[19]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [20]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [20]),
+	.Y(n_67244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830133 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[15]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [16]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [16]),
+	.Y(n_67245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830134 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[14]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [15]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [15]),
+	.Y(n_67246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830135 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[13]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [14]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [14]),
+	.Y(n_67247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830136 (
+	.A1(n_30060),
+	.A2(soc_top_instr_rdata[12]),
+	.B1(n_13542),
+	.B2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[1] [13]),
+	.C1(n_13534),
+	.C2(\soc_top_iccm_adapter_inst_mem_u_rspfifo_gen_normal_fifo.storage[0] [13]),
+	.Y(n_67248), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_4 g830143 (
+	.A_N(n_63830),
+	.B(n_63828),
+	.C(n_63829),
+	.X(n_67255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_4 g830144 (
+	.A1(n_50008),
+	.A2(n_71059),
+	.B1(n_17845),
+	.B2(n_38437),
+	.X(n_67256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_2 g830145 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_702 ),
+	.C_N(n_961),
+	.Y(n_67257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830149 (
+	.A1(n_6618),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Partial_remainder_DP [15]),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Iteration_cell_sum_AMASK_D[2] [15]),
+	.B2(n_8929),
+	.X(n_67261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830150 (
+	.A1(n_51930),
+	.A2(n_66426),
+	.B1_N(n_67888),
+	.X(n_67262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g830151 (
+	.A1(n_51930),
+	.A2(addinc_ADD_UNS_OP_2_n_1759),
+	.B1(addinc_ADD_UNS_OP_2_n_357),
+	.B2(n_35662),
+	.C1(addinc_ADD_UNS_OP_2_n_378),
+	.X(n_67263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830152 (
+	.A1(n_51930),
+	.A2(n_67654),
+	.B1_N(n_47375),
+	.X(n_67264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830156 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_98 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_72 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_742 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_202 ),
+	.Y(n_67268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830157 (
+	.A1(n_66614),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_755 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_109 ),
+	.X(n_67269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_2 g830158 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_413 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_494 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_439 ),
+	.B2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_381 ),
+	.C1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[1].iteration_div_sqrt_add_59_42_n_436 ),
+	.X(n_67270), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830159 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_477 ),
+	.A2(n_66649),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_78 ),
+	.X(n_67271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g830160 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_357 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_825 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_412 ),
+	.X(n_67272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3b_1 g830161 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_166 ),
+	.Y(n_67273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g830162 (
+	.A(n_55794),
+	.B(n_74607),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1348 ),
+	.X(n_67274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g830163 (
+	.A1_N(n_53414),
+	.A2_N(n_33146),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2942 ),
+	.B2(n_33107),
+	.X(n_67275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g830164 (
+	.A1_N(n_55797),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_532 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_761 ),
+	.B2(n_55865),
+	.X(n_67276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830166 (
+	.A1(n_48253),
+	.A2(n_67792),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2809 ),
+	.X(n_67278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g830167 (
+	.A1(n_53331),
+	.A2(n_38656),
+	.B1_N(n_46648),
+	.X(n_67279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830186 (
+	.A1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_164 ),
+	.A2(n_26937),
+	.B1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_215 ),
+	.Y(n_67298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830188 (
+	.A1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_182 ),
+	.A2(n_26936),
+	.B1(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_212 ),
+	.X(n_67300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g830197 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_73 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_184 ),
+	.C(n_30524),
+	.D(n_66230),
+	.Y(n_67309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830214 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_77 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_100 ),
+	.C(n_67133),
+	.Y(n_67326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830237 (
+	.A1(n_50483),
+	.A2(n_50482),
+	.B1(n_75245),
+	.Y(n_67349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g830245 (
+	.A_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_216 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_146 ),
+	.C(n_36893),
+	.X(n_67357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g830246 (
+	.A_N(n_51651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_443 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_178 ),
+	.D(n_73884),
+	.Y(n_67358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g830255 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1929 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_479 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1109 ),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1219 ),
+	.Y(n_67367), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830257 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1194 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1147 ),
+	.X(n_67369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830259 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1195 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1154 ),
+	.X(n_67371), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830320 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_836 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_843 ),
+	.B2(n_26986),
+	.X(n_67432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830334 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1495 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_493 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_757 ),
+	.X(n_67446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830345 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_738 ),
+	.A2(n_26985),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_744 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.X(n_67457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830355 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_747 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ),
+	.B2(n_26985),
+	.X(n_67467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830356 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_742 ),
+	.A2(n_26985),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_741 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.X(n_67468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830358 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_758 ),
+	.A2(n_26985),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_740 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.X(n_67470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830409 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_503 ),
+	.B(n_64680),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1506 ),
+	.D(n_26985),
+	.Y(n_67521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g830410 (
+	.A1(FE_DBTN75_n_26984),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1915 ),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_516 ),
+	.X(n_67522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830419 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ),
+	.A2(FE_DBTN75_n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_570 ),
+	.B2(n_26984),
+	.X(n_67531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g830450 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_571 ),
+	.A2(n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_568 ),
+	.B2(FE_DBTN75_n_26984),
+	.Y(n_67562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g830456 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1127 ),
+	.A2(n_66542),
+	.B1(n_74298),
+	.B2(FE_DBTN14_n_66542),
+	.Y(n_67568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g830460 (
+	.A1(n_54751),
+	.A2(FE_DBTN53_n_49347),
+	.B1(n_58967),
+	.B2(n_74546),
+	.Y(n_67572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830462 (
+	.A1(n_23848),
+	.A2(n_49013),
+	.B1(n_35308),
+	.Y(n_67574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830463 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_897),
+	.B1(n_52246),
+	.X(n_67575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830465 (
+	.A(n_24356),
+	.B(n_50828),
+	.C(FE_DBTN5_n_70976),
+	.Y(n_67577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g830466 (
+	.A1(n_23631),
+	.A2(n_67608),
+	.B1(n_36663),
+	.C1(n_56313),
+	.Y(n_67578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830467 (
+	.A1(n_36727),
+	.A2(n_65239),
+	.B1(n_36729),
+	.B2(n_70981),
+	.X(n_67579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g830468 (
+	.A1(FE_DBTN83_n_69234),
+	.A2(n_50282),
+	.B1(n_51593),
+	.C1(n_69235),
+	.Y(n_67580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g830469 (
+	.A1(n_57414),
+	.A2(n_37359),
+	.B1(n_39745),
+	.X(n_67581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4b_1 g830470 (
+	.A(n_56271),
+	.B(n_30415),
+	.C(n_48302),
+	.D_N(n_44105),
+	.Y(n_67582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_4 g830471 (
+	.A1_N(n_42791),
+	.A2_N(n_42792),
+	.B1(n_67923),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.X(n_67583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830473 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1910 ),
+	.B(n_67923),
+	.X(n_67585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g830474 (
+	.A(n_42823),
+	.B(n_42839),
+	.X(n_67586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830475 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.B(n_42906),
+	.Y(n_67587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830477 (
+	.A1(n_42930),
+	.A2(n_46747),
+	.B1(n_46722),
+	.B2(n_46711),
+	.X(n_67589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830478 (
+	.A1(n_50676),
+	.A2(n_42919),
+	.B1_N(n_42915),
+	.X(n_67590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g830481 (
+	.A1(n_42989),
+	.A2(n_42991),
+	.A3(n_42993),
+	.B1(n_42995),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1744 ),
+	.X(n_67593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 g830482 (
+	.A(n_67595),
+	.B(n_70990),
+	.CIN(n_66060),
+	.COUT(n_67594),
+	.SUM(UNCONNECTED321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830483 (
+	.A0(n_67279),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_975 ),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1296 ),
+	.X(n_67595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830486 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2015 ),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2014 ),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2010 ),
+	.X(n_67598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830487 (
+	.A1(n_43504),
+	.A2(n_66756),
+	.B1_N(n_68455),
+	.X(n_67599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830488 (
+	.A1(n_43530),
+	.A2(n_53750),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2867 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1717 ),
+	.X(n_67600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830489 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1802 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1819 ),
+	.B1_N(n_43557),
+	.X(n_67601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830490 (
+	.A1(n_52731),
+	.A2(n_67751),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1893 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1822 ),
+	.X(n_67602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830491 (
+	.A1(n_43548),
+	.A2(n_43542),
+	.B1(n_43609),
+	.Y(n_67603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830492 (
+	.A(n_43511),
+	.B(n_67014),
+	.Y(n_67604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g830495 (
+	.A_N(n_36288),
+	.B(n_35480),
+	.C(FE_DBTN5_n_70976),
+	.D(n_19236),
+	.Y(n_67607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830496 (
+	.A1(soc_top_data_rdata[2]),
+	.A2(n_73719),
+	.B1_N(n_44090),
+	.X(n_67608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830499 (
+	.A1(n_33866),
+	.A2(n_49793),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_787),
+	.X(n_67611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830500 (
+	.A_N(n_38222),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.C(n_43112),
+	.Y(n_67612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g830503 (
+	.A1(n_36768),
+	.A2(n_68926),
+	.A3(n_55101),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.B2(n_45070),
+	.X(n_67615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830505 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1884 ),
+	.B(n_42982),
+	.Y(n_67617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830509 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.S(n_45451),
+	.X(n_67621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830510 (
+	.A(n_45508),
+	.B(n_66343),
+	.C(n_45498),
+	.Y(n_67622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830515 (
+	.A(n_45672),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2846 ),
+	.Y(n_67627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830516 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2846 ),
+	.A2(n_45686),
+	.B1(n_45685),
+	.X(n_67628), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830517 (
+	.A1(n_45778),
+	.A2(n_52455),
+	.B1(n_70597),
+	.B2(FE_DBTN43_n_52455),
+	.X(n_67629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g830518 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1322 ),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ),
+	.X(n_67630), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830519 (
+	.A1(n_45943),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1648 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1323 ),
+	.X(n_67631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830520 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2060 ),
+	.A2(n_46078),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2029 ),
+	.X(n_67632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830521 (
+	.A1(n_18333),
+	.A2(n_17725),
+	.B1(n_56880),
+	.B2(n_66409),
+	.X(n_67633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830522 (
+	.A1(n_72055),
+	.A2(n_17679),
+	.B1(n_51270),
+	.B2(n_17712),
+	.X(n_67634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830523 (
+	.A1(n_45934),
+	.A2(n_45935),
+	.B1(n_45937),
+	.Y(n_67635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830524 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1556 ),
+	.A1(n_45923),
+	.S(n_67630),
+	.X(n_67636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 g830526 (
+	.A1_N(n_46337),
+	.A2_N(n_46338),
+	.B1(n_46340),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2035 ),
+	.X(n_67638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830528 (
+	.A1(n_46338),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1978 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1971 ),
+	.B2(n_46337),
+	.X(n_67640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830529 (
+	.A(n_26768),
+	.B(n_46400),
+	.Y(n_67641), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830531 (
+	.A(n_46500),
+	.B(n_46501),
+	.C(n_46507),
+	.Y(n_67643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830532 (
+	.A1(n_46648),
+	.A2(n_38655),
+	.B1(n_38656),
+	.B2(FE_DBTN59_n_46648),
+	.X(n_67644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830533 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1685 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2706 ),
+	.X(n_67645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830534 (
+	.A1(n_66821),
+	.A2(n_66820),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1679 ),
+	.X(n_67646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830535 (
+	.A1(n_66077),
+	.A2(n_46725),
+	.B1(n_46747),
+	.X(n_67647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g830536 (
+	.A1(n_46798),
+	.A2(n_46800),
+	.B1(n_66825),
+	.C1(n_46810),
+	.Y(n_67648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g830537 (
+	.A1(n_46348),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2034 ),
+	.A3(n_66810),
+	.B1(n_46349),
+	.B2(n_46350),
+	.X(n_67649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830538 (
+	.A(n_67651),
+	.B(n_66829),
+	.X(n_67650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830539 (
+	.A0(FE_DBTN89_n_16220),
+	.A1(n_16220),
+	.S(n_16118),
+	.X(n_67651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830540 (
+	.A1(n_46995),
+	.A2(n_46996),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2832 ),
+	.X(n_67652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830541 (
+	.A1(n_47020),
+	.A2(n_66835),
+	.B1(n_69833),
+	.X(n_67653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830542 (
+	.A(n_54379),
+	.B(n_18617),
+	.C(n_48032),
+	.Y(n_67654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830543 (
+	.A1(n_49019),
+	.A2(n_47489),
+	.B1(n_24054),
+	.X(n_67655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830544 (
+	.A1(n_43163),
+	.A2(n_68446),
+	.B1_N(n_43060),
+	.X(n_67656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor4_2 g830545 (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_16 ),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_8 ),
+	.C(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_6 ),
+	.D(n_66842),
+	.Y(n_67657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g830546 (
+	.A1(n_55331),
+	.A2(n_34972),
+	.B1(n_45249),
+	.Y(n_67658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g830547 (
+	.A1(n_47970),
+	.A2(n_47963),
+	.B1(n_47971),
+	.X(n_67659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830548 (
+	.A(n_17151),
+	.B(n_51076),
+	.Y(n_67660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830551 (
+	.A1(n_48025),
+	.A2(n_46400),
+	.B1_N(n_48019),
+	.X(n_67663), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g830552 (
+	.A1(n_44074),
+	.A2(n_19051),
+	.B1(n_73812),
+	.Y(n_67664), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g830553 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.A2(n_49893),
+	.A3(n_48244),
+	.B1(n_48246),
+	.B2(n_43995),
+	.X(n_67665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830554 (
+	.A1(n_36148),
+	.A2(n_43995),
+	.B1(n_48253),
+	.B2(n_48246),
+	.X(n_67666), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g830555 (
+	.A1(n_43930),
+	.A2(n_53639),
+	.B1(n_53029),
+	.B2(n_48276),
+	.X(n_67667), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g830557 (
+	.A1(n_66046),
+	.A2(n_24378),
+	.B1_N(n_48298),
+	.X(n_67669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830559 (
+	.A_N(n_23564),
+	.B(soc_top_data_rdata[29]),
+	.C(n_51697),
+	.Y(n_67671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830560 (
+	.A1(n_36743),
+	.A2(n_48400),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_343 ),
+	.X(n_67672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830562 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1573 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_342 ),
+	.B1(n_48398),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_343 ),
+	.X(n_67674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830563 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_210 ),
+	.A2(n_44548),
+	.B1(n_29557),
+	.B2(n_44549),
+	.X(n_67675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830564 (
+	.A_N(n_48536),
+	.B(n_48544),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ),
+	.Y(n_67676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830565 (
+	.A1(n_73240),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_541 ),
+	.B1(n_36375),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_766 ),
+	.X(n_67677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830566 (
+	.A1(n_73240),
+	.A2(n_33132),
+	.B1(n_36375),
+	.B2(n_33130),
+	.X(n_67678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830567 (
+	.A1(n_36375),
+	.A2(n_48528),
+	.B1(n_73240),
+	.B2(n_33133),
+	.X(n_67679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g830568 (
+	.A0(n_34327),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1206 ),
+	.S(n_48612),
+	.X(n_67680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830570 (
+	.A0(n_53963),
+	.A1(n_53957),
+	.S(n_68047),
+	.X(n_67682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830573 (
+	.A_N(n_47280),
+	.B(n_47399),
+	.C(n_52620),
+	.Y(n_67685), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830574 (
+	.A(n_70241),
+	.B(n_45015),
+	.C(n_47394),
+	.D(n_49833),
+	.Y(n_67686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830577 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1647 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_348 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2854 ),
+	.B2(n_49150),
+	.X(n_67689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830578 (
+	.A(n_49182),
+	.B(n_44999),
+	.Y(n_67690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830579 (
+	.A1(n_67692),
+	.A2(n_55797),
+	.B1(n_55866),
+	.B2(n_67693),
+	.X(n_67691), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830580 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_213 ),
+	.A2(n_26748),
+	.B1(n_29787),
+	.B2(n_53331),
+	.X(n_67692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830581 (
+	.A(n_53331),
+	.B(n_66445),
+	.Y(n_67693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830582 (
+	.A(FE_DBTN103_n_74843),
+	.B(n_48580),
+	.C(n_51930),
+	.Y(n_67694), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830583 (
+	.A1(n_67876),
+	.A2(n_46982),
+	.B1(n_67696),
+	.Y(n_67695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830584 (
+	.A1(n_46982),
+	.A2(n_67876),
+	.B1_N(n_46977),
+	.X(n_67696), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830585 (
+	.A1(n_67628),
+	.A2(n_66752),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2031 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1888 ),
+	.X(n_67697), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g830588 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3008 ),
+	.B(n_70629),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2180 ),
+	.X(n_67700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g830590 (
+	.A(n_43131),
+	.B(n_55337),
+	.C(n_43130),
+	.D(n_66739),
+	.Y(n_67702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830592 (
+	.A1(n_45162),
+	.A2(n_49723),
+	.B1(n_49722),
+	.X(n_67704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830593 (
+	.A1(n_48243),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_54 ),
+	.B1(n_50427),
+	.B2(n_26771),
+	.X(n_67705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830594 (
+	.A(n_49885),
+	.B(n_53375),
+	.C(n_43995),
+	.Y(n_67706), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_2 g830596 (
+	.A(n_67628),
+	.B(n_43402),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2031 ),
+	.X(n_67708), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830597 (
+	.A(n_49976),
+	.B(n_49977),
+	.C(n_49980),
+	.Y(n_67709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830599 (
+	.A(n_67758),
+	.B(n_53712),
+	.X(n_67711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830600 (
+	.A1(n_50303),
+	.A2(n_50314),
+	.B1_N(n_50290),
+	.X(n_67712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830601 (
+	.A1(n_50295),
+	.A2(n_50297),
+	.B1_N(n_48259),
+	.X(n_67713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g830605 (
+	.A(n_50296),
+	.B(n_48260),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1299 ),
+	.X(n_67717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g830606 (
+	.A0(n_67719),
+	.A1(n_50350),
+	.S(n_67720),
+	.X(n_67718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830607 (
+	.A1(n_73240),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_766 ),
+	.B1_N(n_66888),
+	.X(n_67719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830608 (
+	.A1(n_33118),
+	.A2(n_55815),
+	.B1(n_55862),
+	.B2(n_33139),
+	.X(n_67720), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830609 (
+	.A1(n_45072),
+	.A2(n_50427),
+	.B1(n_48243),
+	.B2(n_45093),
+	.X(n_67721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830612 (
+	.A1(FE_DBTN79_n_19051),
+	.A2(soc_top_u_top_u_core_rf_wdata_fwd_wb[26]),
+	.B1(n_50544),
+	.B2(n_19051),
+	.X(n_67724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830613 (
+	.A1(n_47539),
+	.A2(n_53152),
+	.B1_N(n_50684),
+	.X(n_67725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830614 (
+	.A1(n_50787),
+	.A2(n_67018),
+	.B1(n_67678),
+	.B2(n_26742),
+	.X(n_67726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830615 (
+	.A(n_50785),
+	.B(n_50795),
+	.Y(n_67727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830616 (
+	.A(n_50427),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.Y(n_67728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830617 (
+	.A1(n_49929),
+	.A2(n_49930),
+	.B1(n_50889),
+	.X(n_67729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g830618 (
+	.A1(n_51017),
+	.A2(n_66899),
+	.A3(n_51013),
+	.B1(n_51012),
+	.B2(n_67663),
+	.X(n_67730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830619 (
+	.A1(n_51300),
+	.A2(n_49606),
+	.B1(n_51303),
+	.Y(n_67731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830620 (
+	.A(n_46314),
+	.B(n_45394),
+	.X(n_67732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830621 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2114 ),
+	.A2(n_51510),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1958 ),
+	.B2(n_51512),
+	.X(n_67733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g830622 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1899 ),
+	.B(n_51545),
+	.X(n_67734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g830623 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1721 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1650 ),
+	.X(n_67735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830624 (
+	.A1(n_19195),
+	.A2(n_56671),
+	.B1_N(n_19032),
+	.X(n_67736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830626 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2006 ),
+	.A2(n_46044),
+	.B1(n_46042),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2029 ),
+	.X(n_67738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g830627 (
+	.A1(n_67740),
+	.A2(FE_DBTN60_n_58959),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1612 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_67739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830628 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1394 ),
+	.A2(n_52455),
+	.B1(n_56984),
+	.B2(FE_DBTN43_n_52455),
+	.X(n_67740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830630 (
+	.A(n_58975),
+	.B(n_58703),
+	.C(n_55315),
+	.D(n_44490),
+	.Y(n_67742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830631 (
+	.A1(n_66921),
+	.A2(n_52109),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1922 ),
+	.X(n_67743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g830633 (
+	.A1(n_68446),
+	.A2(n_52186),
+	.B1(n_54981),
+	.C1(n_66922),
+	.X(n_67745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_2 g830636 (
+	.A0(n_47763),
+	.A1(n_68780),
+	.S(n_47761),
+	.X(n_67748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g830638 (
+	.A1(n_46365),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2157 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2034 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1947 ),
+	.Y(n_67750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g830639 (
+	.A1(n_53750),
+	.A2(n_43485),
+	.A3(n_66061),
+	.B1(n_67762),
+	.B2(n_43488),
+	.X(n_67751), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830640 (
+	.A(n_52718),
+	.B(n_67751),
+	.C(n_52717),
+	.Y(n_67752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830641 (
+	.A1(n_52765),
+	.A2(addinc_ADD_UNS_OP_2_n_72),
+	.B1(n_69208),
+	.B2(n_52756),
+	.X(n_67753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830646 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1850 ),
+	.B(n_47752),
+	.X(n_67758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g830647 (
+	.A1(n_36704),
+	.A2(n_73048),
+	.B1(n_36706),
+	.B2(n_73051),
+	.X(n_67759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830648 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_653 ),
+	.A2(n_46417),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_739 ),
+	.B2(n_68520),
+	.X(n_67760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g830649 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2925 ),
+	.B1_N(n_67884),
+	.X(n_67761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31oi_2 g830650 (
+	.A1(n_67759),
+	.A2(n_53725),
+	.A3(n_67760),
+	.B1(n_53748),
+	.Y(n_67762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g830651 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1825 ),
+	.B(n_74726),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ),
+	.X(n_67763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830655 (
+	.A1(n_16510),
+	.A2(n_16488),
+	.B1_N(n_72872),
+	.X(n_67767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830656 (
+	.A1(n_73736),
+	.A2(addinc_ADD_UNS_OP_2_n_185),
+	.B1(addinc_ADD_UNS_OP_2_n_462),
+	.Y(n_67768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g830657 (
+	.A(n_74726),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1825 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1917 ),
+	.X(n_67769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830659 (
+	.A1(n_66074),
+	.A2(n_66078),
+	.B1(n_68090),
+	.X(n_67771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830661 (
+	.A1(n_66120),
+	.A2(n_51822),
+	.B1_N(n_54179),
+	.X(n_67773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830662 (
+	.A1(FE_DBTN86_n_47526),
+	.A2(n_67659),
+	.B1_N(n_54212),
+	.X(n_67774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830665 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2981 ),
+	.A2(n_33146),
+	.B1(n_58554),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2715 ),
+	.X(n_67777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830666 (
+	.A1(n_66849),
+	.A2(n_69692),
+	.B1_N(n_23895),
+	.X(n_67778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830669 (
+	.A1(n_35591),
+	.A2(FE_DBTN53_n_49347),
+	.B1_N(n_41460),
+	.X(n_67781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830670 (
+	.A(n_52703),
+	.B(n_52701),
+	.C(n_68887),
+	.Y(n_67782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830671 (
+	.A1(n_54829),
+	.A2(n_67593),
+	.B1(n_54826),
+	.B2(n_43005),
+	.X(n_67783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g830672 (
+	.A(n_49257),
+	.B(n_52196),
+	.C(n_66922),
+	.D(n_52200),
+	.Y(n_67784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830675 (
+	.A(n_15972),
+	.B(n_15973),
+	.C(n_15974),
+	.D(n_15978),
+	.Y(n_67787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g830678 (
+	.A(n_55776),
+	.B(n_55777),
+	.C(n_55782),
+	.X(n_67790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830679 (
+	.A(n_55794),
+	.B(n_55820),
+	.Y(n_67791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830680 (
+	.A1(n_48243),
+	.A2(n_29547),
+	.B1(n_50427),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_52 ),
+	.X(n_67792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830681 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_58 ),
+	.A2(n_26748),
+	.B1(n_44282),
+	.B2(n_53331),
+	.X(n_67793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g830682 (
+	.A0(n_45450),
+	.A1(n_45468),
+	.S(n_29520),
+	.X(n_67794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830683 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_57 ),
+	.A2(n_45450),
+	.B1(n_51647),
+	.B2(n_45468),
+	.X(n_67795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830684 (
+	.A(n_55828),
+	.B(n_55837),
+	.Y(n_67796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830685 (
+	.A1(n_66346),
+	.A2(n_43245),
+	.B1_N(n_66963),
+	.Y(n_67797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g830686 (
+	.A1(n_31571),
+	.A2(n_48690),
+	.B1(n_44490),
+	.B2(n_31572),
+	.Y(n_67798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830688 (
+	.A1(FE_DBTN59_n_46648),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_218 ),
+	.B1(n_66460),
+	.B2(n_46648),
+	.X(n_67800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g830689 (
+	.A(n_57260),
+	.B(n_16837),
+	.C(n_41564),
+	.X(n_67801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830690 (
+	.A(n_53919),
+	.B(n_48907),
+	.C(n_73822),
+	.Y(n_67802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g830691 (
+	.A1(n_59958),
+	.A2(n_33668),
+	.B1(n_59959),
+	.C1(n_59960),
+	.X(n_67803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_4 g830693 (
+	.A(soc_top_u_top_u_core_use_fp_rs2),
+	.B(n_29688),
+	.C(n_48295),
+	.X(n_67805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830694 (
+	.A1(n_25169),
+	.A2(n_59958),
+	.B1(n_24285),
+	.Y(n_67806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830695 (
+	.A(n_61515),
+	.B(n_61517),
+	.C(n_61520),
+	.D(n_11250),
+	.Y(n_67807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830696 (
+	.A1(n_68796),
+	.A2(n_61769),
+	.B1(n_61774),
+	.Y(n_67808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_4 g830697 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_add_82_53_n_99),
+	.B(n_66680),
+	.Y(n_67809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830698 (
+	.A1(n_25451),
+	.A2(soc_top_u_top_u_core_cs_registers_i_n_7142),
+	.B1(n_25445),
+	.X(n_67810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830699 (
+	.A(n_62214),
+	.B(n_62215),
+	.C(n_62213),
+	.Y(n_67811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830702 (
+	.A1(n_67241),
+	.A2(n_10594),
+	.B1(n_62513),
+	.C1(n_62515),
+	.Y(n_67814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830703 (
+	.A1(n_67243),
+	.A2(n_10594),
+	.B1(n_62520),
+	.C1(n_62522),
+	.Y(n_67815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830704 (
+	.A1(n_67234),
+	.A2(n_10594),
+	.B1(n_62527),
+	.C1(n_62529),
+	.Y(n_67816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830705 (
+	.A1(n_67239),
+	.A2(n_10594),
+	.B1(n_62542),
+	.C1(n_62544),
+	.Y(n_67817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830706 (
+	.A1(n_67231),
+	.A2(n_10594),
+	.B1(n_63240),
+	.C1(n_62667),
+	.Y(n_67818), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g830707 (
+	.A1(n_67244),
+	.A2(n_10594),
+	.B1(n_62672),
+	.C1(n_62674),
+	.Y(n_67819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g830710 (
+	.A1(n_63443),
+	.A2(n_64764),
+	.B1(n_10291),
+	.B2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [16]),
+	.C1(n_61563),
+	.X(n_67822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830712 (
+	.A(n_67824),
+	.Y(n_67825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830713 (
+	.A1(n_63568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [1]),
+	.B1(n_63580),
+	.B2(n_64765),
+	.C1(n_63103),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [1]),
+	.Y(n_67824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g830714 (
+	.A(n_27097),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_n_32 ),
+	.C(n_62746),
+	.X(n_67826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830721 (
+	.A(n_67833),
+	.Y(n_67834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g830722 (
+	.A1(n_63568),
+	.A2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[2] [16]),
+	.B1(n_64764),
+	.B2(n_64765),
+	.C1(n_63103),
+	.C2(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.Y(n_67833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830723 (
+	.A1(n_64955),
+	.A2(n_64956),
+	.B1_N(n_64958),
+	.X(n_67835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830735 (
+	.A1(n_67127),
+	.A2(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_218 ),
+	.B1(n_65602),
+	.Y(n_67847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g830737 (
+	.A1(n_48435),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1655 ),
+	.B1(n_67717),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1561 ),
+	.X(n_67849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830738 (
+	.A1(n_42927),
+	.A2(n_42934),
+	.B1_N(n_74449),
+	.X(n_67850), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830739 (
+	.A(n_59576),
+	.B(n_63953),
+	.C(FE_DBTN19_n_58978),
+	.Y(n_67851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g830741 (
+	.A1(n_74916),
+	.A2(n_45978),
+	.A3(n_71058),
+	.B1(n_45989),
+	.X(n_67853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830743 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_273 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
+	.B1_N(n_62030),
+	.Y(n_67855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g830746 (
+	.A1(n_26837),
+	.A2(n_345),
+	.B1(n_503),
+	.B2(n_74659),
+	.Y(n_67858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g830748 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_475 ),
+	.A2(n_66642),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_111 ),
+	.Y(n_67860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g830749 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_447 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_176 ),
+	.B1_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_87 ),
+	.Y(n_67861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830759 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_578 ),
+	.A2(FE_DBTN75_n_26984),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_681 ),
+	.Y(n_67871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g830760 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_582 ),
+	.A2(n_26984),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_583 ),
+	.B2(FE_DBTN75_n_26984),
+	.Y(n_67872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g830761 (
+	.A1(n_13582),
+	.A2(n_13597),
+	.B1_N(n_29615),
+	.Y(n_67873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g830763 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_532),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1025),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_1637),
+	.C1(n_66602),
+	.X(n_67875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830764 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2114 ),
+	.B(n_51516),
+	.Y(n_67876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830765 (
+	.A1(n_51700),
+	.A2(n_47063),
+	.B1_N(n_21506),
+	.Y(n_67877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g830766 (
+	.A(n_25076),
+	.B(n_33013),
+	.C(n_26832),
+	.D(n_61828),
+	.X(n_67878), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g830767 (
+	.A1(n_48253),
+	.A2(n_48262),
+	.B1(n_67728),
+	.B2(n_43995),
+	.Y(n_67879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g830768 (
+	.A(n_48353),
+	.B(n_56310),
+	.C(n_20810),
+	.X(n_67880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830769 (
+	.A1(n_55917),
+	.A2(addinc_ADD_UNS_OP_2_n_348),
+	.B1_N(n_72087),
+	.Y(n_67881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_2 g830770 (
+	.A(n_74725),
+	.B(n_68081),
+	.C(n_68084),
+	.X(n_67882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g830771 (
+	.A1(n_52405),
+	.A2(n_50408),
+	.B1(n_69443),
+	.C1(n_38809),
+	.D1(n_54324),
+	.X(n_67883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32oi_1 g830772 (
+	.A1(n_67728),
+	.A2(n_49893),
+	.A3(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_437 ),
+	.B1(n_67721),
+	.B2(n_43995),
+	.Y(n_67884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g830774 (
+	.A1(n_45961),
+	.A2(n_54912),
+	.B1(n_74871),
+	.Y(n_67886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830775 (
+	.A1(n_52246),
+	.A2(n_51584),
+	.B1_N(n_51586),
+	.Y(n_67887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830776 (
+	.A1(n_56869),
+	.A2(n_57402),
+	.B1_N(addinc_ADD_UNS_OP_2_n_303),
+	.Y(n_67888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_0 g830777 (
+	.A1(n_66844),
+	.A2(n_53926),
+	.B1_N(n_53928),
+	.Y(n_67889), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g830779 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1774 ),
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1773 ),
+	.S(n_67631),
+	.Y(n_67891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830780 (
+	.A1(n_15634),
+	.A2(n_30429),
+	.B1_N(n_65210),
+	.Y(n_67892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830782 (
+	.A_N(n_15254),
+	.B(n_29813),
+	.C(n_15260),
+	.Y(n_67894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830783 (
+	.A1(n_43100),
+	.A2(n_66736),
+	.B1(n_38226),
+	.X(n_67895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g830784 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.B(n_43112),
+	.C(n_38222),
+	.Y(n_67896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830785 (
+	.A1(n_51930),
+	.A2(FE_DBTN103_n_74843),
+	.B1(n_56869),
+	.X(n_67897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830786 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_207),
+	.A2(n_33887),
+	.B1(WALLACE_CSA_DUMMY_OP_groupi_n_187),
+	.X(n_45803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830789 (
+	.A1(n_55281),
+	.A2(n_52742),
+	.B1(n_69759),
+	.X(n_67901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830792 (
+	.A1(n_66068),
+	.A2(n_48466),
+	.B1(n_67717),
+	.X(n_67904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g830793 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2894 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1297 ),
+	.X(n_67905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830795 (
+	.A1(n_72071),
+	.A2(n_70261),
+	.B1(n_71032),
+	.X(n_67907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830797 (
+	.A1(n_51930),
+	.A2(addinc_ADD_UNS_OP_2_n_553),
+	.B1(n_35662),
+	.X(n_67909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830798 (
+	.A(n_52526),
+	.B(n_67020),
+	.Y(n_67910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g830801 (
+	.A(addinc_ADD_UNS_OP_2_n_1779),
+	.B(n_66844),
+	.C(n_67768),
+	.Y(n_67913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830802 (
+	.A1(n_66971),
+	.A2(n_54078),
+	.B1(n_54080),
+	.X(n_67914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830803 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_31266),
+	.B1(n_17890),
+	.Y(n_67915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221o_1 g830804 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [27]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [27]),
+	.B2(n_10291),
+	.C1(n_62634),
+	.X(n_67916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g830805 (
+	.A(n_48732),
+	.B(n_48733),
+	.C(n_48734),
+	.D(n_48730),
+	.Y(n_67917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g830810 (
+	.A(n_70629),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3008 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2180 ),
+	.X(n_67922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_1 g830811 (
+	.A1(n_50290),
+	.A2(n_50319),
+	.A3(n_66065),
+	.B1(n_74472),
+	.B2(n_50324),
+	.X(n_67923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830816 (
+	.A(n_67928),
+	.B(n_70029),
+	.Y(n_67929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g830817 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_192 ),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_396 ),
+	.X(n_67928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g830820 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1877 ),
+	.A2(FE_DBTN57_n_73965),
+	.B1(n_67932),
+	.Y(n_67933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g830821 (
+	.A(n_54624),
+	.B_N(FE_DBTN53_n_49347),
+	.Y(n_67932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830834 (
+	.A(n_67946),
+	.B(n_65871),
+	.Y(n_67947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g830835 (
+	.A(n_67901),
+	.B(n_46188),
+	.X(n_67946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g830836 (
+	.A1(n_67948),
+	.A2(n_73965),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1573 ),
+	.X(n_67949), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g830837 (
+	.A1(n_47322),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1247 ),
+	.B1_N(n_35082),
+	.X(n_67948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g830838 (
+	.A(n_74608),
+	.B(n_49120),
+	.C(n_53731),
+	.X(n_67951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g830840 (
+	.A1(FE_DBTN90_n_15569),
+	.A2(n_27054),
+	.B1(n_67952),
+	.X(n_67953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g830841 (
+	.A1(n_15569),
+	.A2(n_36679),
+	.B1_N(n_15672),
+	.X(n_67952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830858 (
+	.A(n_67970),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1912 ),
+	.C(n_33680),
+	.Y(n_67971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g830859 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1718 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1770 ),
+	.B1(n_73965),
+	.X(n_67970), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830864 (
+	.A(n_67976),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_873 ),
+	.Y(n_67977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g830865 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_608 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_170 ),
+	.B1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_104 ),
+	.Y(n_67976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830866 (
+	.A1(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_266 ),
+	.A2(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_338 ),
+	.B1(n_67978),
+	.Y(n_67979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3b_4 g830867 (
+	.A(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_332 ),
+	.B(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_146 ),
+	.C_N(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_881 ),
+	.X(n_67978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g830902 (
+	.A(n_68014),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2732 ),
+	.Y(n_68015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g830903 (
+	.A_N(n_69378),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2824 ),
+	.Y(n_68014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830904 (
+	.A(n_68016),
+	.B(n_67689),
+	.Y(n_68017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g830905 (
+	.A0(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1656 ),
+	.A1(n_42795),
+	.S(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1843 ),
+	.Y(n_68016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g830907 (
+	.A(n_43547),
+	.B(n_43549),
+	.Y(n_68018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g830908 (
+	.A(n_69834),
+	.B(n_69825),
+	.Y(n_68021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g830910 (
+	.A(n_68022),
+	.B(n_44961),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1633),
+	.Y(n_68023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g830911 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_1631),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_670),
+	.Y(n_68022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830914 (
+	.A(n_68026),
+	.B(n_15981),
+	.Y(n_68027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g830915 (
+	.A(n_15483),
+	.B(n_16303),
+	.X(n_68026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830920 (
+	.A(n_68032),
+	.B(n_47402),
+	.Y(n_68033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g830921 (
+	.A1(n_58647),
+	.A2(n_48791),
+	.B1(n_48792),
+	.Y(n_68032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g830922 (
+	.A(n_68034),
+	.B(n_66795),
+	.Y(n_68035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830923 (
+	.A1(n_45680),
+	.A2(n_45678),
+	.B1(n_67627),
+	.Y(n_68034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g830926 (
+	.A(n_68038),
+	.B(n_74908),
+	.Y(n_68039), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g830927 (
+	.A0(n_44490),
+	.A1(n_48690),
+	.S(FE_DBTN20_n_58706),
+	.Y(n_68038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830928 (
+	.A(n_68040),
+	.B(n_51507),
+	.Y(n_68041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g830929 (
+	.A(n_51521),
+	.B(n_51522),
+	.Y(n_68040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g830934 (
+	.A(n_74617),
+	.B(n_66921),
+	.Y(n_68047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830939 (
+	.A1(n_67222),
+	.A2(n_10594),
+	.B1(n_74560),
+	.Y(n_68052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830941 (
+	.A1(n_67232),
+	.A2(n_10594),
+	.B1(n_74561),
+	.Y(n_68054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830943 (
+	.A1(n_67247),
+	.A2(n_10594),
+	.B1(n_74562),
+	.Y(n_68056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830945 (
+	.A1(n_67245),
+	.A2(n_10594),
+	.B1(n_74563),
+	.Y(n_68058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830947 (
+	.A1(n_67233),
+	.A2(n_10594),
+	.B1(n_68059),
+	.Y(n_68060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830948 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [9]),
+	.A2(n_61568),
+	.B1_N(n_62595),
+	.Y(n_68059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830949 (
+	.A1(n_67238),
+	.A2(n_10594),
+	.B1(n_68061),
+	.Y(n_68062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830950 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [28]),
+	.A2(n_61568),
+	.B1_N(n_62606),
+	.Y(n_68061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830951 (
+	.A1(n_67226),
+	.A2(n_10594),
+	.B1(n_68063),
+	.Y(n_68064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830952 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [5]),
+	.A2(n_61568),
+	.B1_N(n_62624),
+	.Y(n_68063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g830953 (
+	.A1(n_67248),
+	.A2(n_10594),
+	.B1(n_68065),
+	.Y(n_68066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g830954 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [12]),
+	.A2(n_61568),
+	.B1_N(n_62631),
+	.Y(n_68065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g830961 (
+	.A1(n_68073),
+	.A2(n_48606),
+	.B1(n_48607),
+	.X(n_68074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g830962 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_828 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_837 ),
+	.X(n_68073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g830963 (
+	.A(n_68075),
+	.B(n_46400),
+	.Y(n_68076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g830964 (
+	.A(n_36768),
+	.B(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.X(n_68075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 g830967 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1515 ),
+	.B(n_70985),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1476 ),
+	.COUT(n_68080),
+	.SUM(n_68079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 g830968 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1366 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1453 ),
+	.CIN(n_34358),
+	.COUT(n_68082),
+	.SUM(n_68081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g830969 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1516 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1661 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1612 ),
+	.COUT(n_68084),
+	.SUM(n_68083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g830970 (
+	.A(n_68085),
+	.Y(n_68086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 g830971 (
+	.A(n_70984),
+	.B(n_43287),
+	.CIN(n_43291),
+	.COUT(n_68085),
+	.SUM(n_68087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g830972 (
+	.A(n_68087),
+	.Y(n_68088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcon_1 g830973 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1882 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1885 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2001 ),
+	.COUT_N(n_68089),
+	.SUM(n_68090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcon_1 g830974 (
+	.A(n_70987),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_859 ),
+	.CI(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1399 ),
+	.COUT_N(n_68091),
+	.SUM(n_68092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 g830976 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1955 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1887 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2030 ),
+	.COUT(n_68095),
+	.SUM(n_68096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[9]  (
+	.CLK(CTS_107),
+	.D(n_11467),
+	.Q(n_29226),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[8]  (
+	.CLK(CTS_108),
+	.D(n_11749),
+	.Q(n_29225),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[7]  (
+	.CLK(CTS_85),
+	.D(n_11450),
+	.Q(n_29224),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[6]  (
+	.CLK(CTS_85),
+	.D(n_11464),
+	.Q(n_29223),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[5]  (
+	.CLK(CTS_85),
+	.D(n_11465),
+	.Q(n_29222),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[4]  (
+	.CLK(CTS_107),
+	.D(n_11744),
+	.Q(n_29221),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_11354),
+	.Q(n_29251),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[3]  (
+	.CLK(CTS_92),
+	.D(n_11449),
+	.Q(n_29220),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_12088),
+	.Q(n_29250),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[2]  (
+	.CLK(CTS_92),
+	.D(n_12085),
+	.Q(n_29219),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[0]  (
+	.CLK(CTS_79),
+	.D(n_11374),
+	.Q(n_29252),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[1]  (
+	.CLK(CTS_79),
+	.D(n_11373),
+	.Q(n_29253),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mepc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_11352),
+	.Q(n_29249),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[1]  (
+	.CLK(CTS_92),
+	.D(n_11451),
+	.Q(n_29218),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[4]  (
+	.CLK(CTS_79),
+	.D(n_11735),
+	.Q(n_29254),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[5]  (
+	.CLK(CTS_92),
+	.D(n_11371),
+	.Q(n_29255),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[6]  (
+	.CLK(CTS_79),
+	.D(n_11370),
+	.Q(n_29256),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[8]  (
+	.CLK(CTS_79),
+	.D(n_11734),
+	.Q(n_29257),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[9]  (
+	.CLK(CTS_79),
+	.D(n_11368),
+	.Q(n_29258),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[10]  (
+	.CLK(CTS_79),
+	.D(n_11733),
+	.Q(n_29259),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[10]  (
+	.CLK(CTS_107),
+	.D(n_11748),
+	.Q(n_29227),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[11]  (
+	.CLK(CTS_111),
+	.D(n_11743),
+	.Q(n_29228),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[11]  (
+	.CLK(CTS_79),
+	.D(n_11732),
+	.Q(n_29260),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[12]  (
+	.CLK(CTS_106),
+	.D(n_11008),
+	.Q(n_29229),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[13]  (
+	.CLK(CTS_99),
+	.D(n_11731),
+	.Q(n_29261),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[13]  (
+	.CLK(CTS_111),
+	.D(n_11747),
+	.Q(n_29230),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[14]  (
+	.CLK(CTS_99),
+	.D(n_11367),
+	.Q(n_29262),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[14]  (
+	.CLK(CTS_106),
+	.D(n_11466),
+	.Q(n_29231),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[15]  (
+	.CLK(CTS_99),
+	.D(n_11366),
+	.Q(n_29263),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[15]  (
+	.CLK(CTS_99),
+	.D(n_11463),
+	.Q(n_29232),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[16]  (
+	.CLK(CTS_99),
+	.D(n_11365),
+	.Q(n_29264),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[16]  (
+	.CLK(CTS_106),
+	.D(n_11462),
+	.Q(n_29233),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[17]  (
+	.CLK(CTS_99),
+	.D(n_10998),
+	.Q(n_29265),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[17]  (
+	.CLK(CTS_112),
+	.D(n_11007),
+	.Q(n_29234),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[18]  (
+	.CLK(CTS_97),
+	.D(n_11364),
+	.Q(n_29266),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[18]  (
+	.CLK(CTS_112),
+	.D(n_11461),
+	.Q(n_29235),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[19]  (
+	.CLK(CTS_97),
+	.D(n_11363),
+	.Q(n_29267),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[19]  (
+	.CLK(CTS_98),
+	.D(n_11460),
+	.Q(n_29236),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[20]  (
+	.CLK(CTS_98),
+	.D(n_11746),
+	.Q(n_29237),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[20]  (
+	.CLK(CTS_99),
+	.D(n_11730),
+	.Q(n_29268),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[21]  (
+	.CLK(CTS_99),
+	.D(n_10997),
+	.Q(n_29269),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[21]  (
+	.CLK(CTS_98),
+	.D(n_11006),
+	.Q(n_29238),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[22]  (
+	.CLK(CTS_97),
+	.D(n_11362),
+	.Q(n_29270),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[22]  (
+	.CLK(CTS_104),
+	.D(n_11459),
+	.Q(n_29239),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[23]  (
+	.CLK(CTS_97),
+	.D(n_11361),
+	.Q(n_29271),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[23]  (
+	.CLK(CTS_98),
+	.D(n_11458),
+	.Q(n_29240),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[24]  (
+	.CLK(CTS_97),
+	.D(n_11360),
+	.Q(n_29272),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[24]  (
+	.CLK(CTS_104),
+	.D(n_11457),
+	.Q(n_29241),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[25]  (
+	.CLK(CTS_97),
+	.D(n_11359),
+	.Q(n_29273),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[25]  (
+	.CLK(CTS_104),
+	.D(n_11456),
+	.Q(n_29242),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[26]  (
+	.CLK(CTS_97),
+	.D(n_11358),
+	.Q(n_29274),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[26]  (
+	.CLK(CTS_98),
+	.D(n_11455),
+	.Q(n_29243),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[27]  (
+	.CLK(CTS_97),
+	.D(n_10996),
+	.Q(n_29275),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[27]  (
+	.CLK(CTS_98),
+	.D(n_11009),
+	.Q(n_29244),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[28]  (
+	.CLK(CTS_97),
+	.D(n_11357),
+	.Q(n_29276),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[28]  (
+	.CLK(CTS_104),
+	.D(n_11454),
+	.Q(n_29245),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[29]  (
+	.CLK(CTS_99),
+	.D(n_11356),
+	.Q(n_29277),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[29]  (
+	.CLK(CTS_112),
+	.D(n_11453),
+	.Q(n_29246),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[30]  (
+	.CLK(CTS_99),
+	.D(n_11729),
+	.Q(n_29278),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[30]  (
+	.CLK(CTS_112),
+	.D(n_11745),
+	.Q(n_29247),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_mtval_csr_rdata_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_11355),
+	.Q(n_29279),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_cs_registers_i_u_depc_csr_rdata_q_reg[31]  (
+	.CLK(CTS_99),
+	.D(n_11452),
+	.Q(n_29248),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Special_case_dly_SBO_reg  (
+	.CLK(CTS_90),
+	.D(n_61294),
+	.Q(n_29280),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][16]  (
+	.CLK(CTS_82),
+	.D(n_67834),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [16]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__dfrtp_1 \soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q_reg[1][17]  (
+	.CLK(CTS_82),
+	.D(n_11569),
+	.Q(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [17]),
+	.RESET_B(n_544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g831110 (
+	.A(n_25195),
+	.B(n_11575),
+	.X(n_12131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g831112 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [12]),
+	.B(n_55031),
+	.X(n_1891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g831116 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_b [1]),
+	.B(n_1970),
+	.X(n_3785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g831118  (
+	.A(n_67697),
+	.B(n_68096),
+	.X(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2280 ), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g831122 (
+	.A(n_15483),
+	.B(n_55031),
+	.X(n_29515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g142 (
+	.A(n_75265),
+	.B(n_68233),
+	.C(n_68234),
+	.Y(n_68235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g150 (
+	.A(n_55758),
+	.B(n_68222),
+	.Y(n_68223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g153 (
+	.A(n_67922),
+	.B(n_48642),
+	.Y(n_68222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g154 (
+	.A(n_68224),
+	.Y(n_68225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g155 (
+	.A(n_74471),
+	.B(n_49679),
+	.Y(n_68224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g151 (
+	.A(n_68226),
+	.Y(n_68227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831330 (
+	.A(n_48041),
+	.B(n_48032),
+	.Y(n_68226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g157 (
+	.A(n_55762),
+	.B(n_55763),
+	.Y(n_68233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g831332 (
+	.A1(n_67922),
+	.A2(n_48642),
+	.B1(n_55765),
+	.Y(n_68234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831333 (
+	.A(n_68227),
+	.B(n_68225),
+	.Y(n_68236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g20 (
+	.A(n_58643),
+	.B(n_70223),
+	.C(n_52812),
+	.Y(n_68238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g831336 (
+	.A_N(n_68240),
+	.B(n_46660),
+	.C(n_68241),
+	.Y(n_68242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831337 (
+	.A_N(WALLACE_CSA_DUMMY_OP_groupi_n_834),
+	.B(n_44970),
+	.Y(n_68240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g26 (
+	.A(n_49466),
+	.B(n_49467),
+	.Y(n_68241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g91 (
+	.A1(n_68244),
+	.A2(n_53067),
+	.B1_N(n_56709),
+	.Y(n_68245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g831338 (
+	.A(n_69307),
+	.Y(n_68244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831340 (
+	.A(n_68246),
+	.B(n_52419),
+	.C(n_52416),
+	.Y(n_68247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g21 (
+	.A(n_52413),
+	.B(n_52414),
+	.Y(n_68246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831341 (
+	.A(n_68252),
+	.B(n_68253),
+	.C(n_68254),
+	.Y(n_68255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831342 (
+	.A(n_68249),
+	.B(n_47916),
+	.Y(n_68252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g116 (
+	.A(n_46465),
+	.B(n_73846),
+	.Y(n_68249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt121 (
+	.A(n_47916),
+	.Y(n_68250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g117 (
+	.A(n_68250),
+	.B(n_46465),
+	.Y(n_68253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831344 (
+	.A(n_73846),
+	.B(n_46465),
+	.Y(n_68254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831345 (
+	.A(n_68256),
+	.B(n_62498),
+	.Y(n_68257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831346 (
+	.A(n_61963),
+	.B(n_65713),
+	.Y(n_68256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831348 (
+	.A(n_55141),
+	.B(n_46680),
+	.Y(n_68258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g22 (
+	.A(n_49320),
+	.B(n_49321),
+	.C(n_68261),
+	.Y(n_68262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 g831349 (
+	.A(n_70085),
+	.Y(n_68261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831350 (
+	.A(n_65185),
+	.B(n_68263),
+	.Y(n_68264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831351 (
+	.A(n_68609),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_68263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g35 (
+	.A(n_48669),
+	.B(n_47925),
+	.C(n_68273),
+	.Y(n_68274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g36 (
+	.A(n_48670),
+	.B(n_53957),
+	.X(n_68273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g33 (
+	.A(n_68280),
+	.B(n_67256),
+	.C(n_49394),
+	.Y(n_68281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831363 (
+	.A(n_71063),
+	.B(n_18353),
+	.Y(n_68280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831364 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.B(n_68288),
+	.Y(n_68289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831365 (
+	.A(n_43134),
+	.B(n_68287),
+	.Y(n_68288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831367 (
+	.A(n_68285),
+	.B(n_68286),
+	.Y(n_68287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831368 (
+	.A(n_68283),
+	.B(n_68284),
+	.Y(n_68285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831369 (
+	.A(n_54468),
+	.Y(n_68283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831370 (
+	.A(n_67777),
+	.Y(n_68284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831371 (
+	.A(n_54468),
+	.B(n_67777),
+	.Y(n_68286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831372 (
+	.A(n_68287),
+	.Y(n_68290), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831375 (
+	.A(n_65906),
+	.B(n_68293),
+	.Y(n_68294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831376 (
+	.A(n_65948),
+	.B(n_50600),
+	.Y(n_68293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831377 (
+	.A(n_68295),
+	.B(n_35082),
+	.Y(n_68296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831378 (
+	.A(n_70787),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1312 ),
+	.Y(n_68295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831383 (
+	.A(n_56068),
+	.B(n_52811),
+	.Y(n_68300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831385 (
+	.A(n_68303),
+	.B(n_58070),
+	.Y(n_68304), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831386 (
+	.A(n_45252),
+	.B(FE_DBTN22_n_58069),
+	.Y(n_68303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831388 (
+	.A(n_68305),
+	.B(n_59206),
+	.Y(n_68307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831389 (
+	.A(n_52494),
+	.B(n_52496),
+	.C(n_69577),
+	.Y(n_68305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g831391 (
+	.A1(n_73965),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1175 ),
+	.B1(n_73965),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1497 ),
+	.C1(n_73847),
+	.Y(n_68310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g831397 (
+	.A(n_68349),
+	.B(n_52375),
+	.C(n_62502),
+	.D(n_49336),
+	.Y(n_68317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g6 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_37444),
+	.Y(n_68319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831399 (
+	.A(n_68320),
+	.B(n_69602),
+	.C(n_37547),
+	.Y(n_68321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831400 (
+	.A(n_68729),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_68320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g831401 (
+	.A1_N(n_43937),
+	.A2_N(n_68611),
+	.B1(n_73965),
+	.B2(n_68323),
+	.Y(n_68324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831402 (
+	.A(n_73653),
+	.Y(n_68323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831404 (
+	.A(n_68325),
+	.B(n_68327),
+	.Y(n_68328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831405 (
+	.A(n_54711),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_68325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831406 (
+	.A_N(FE_DBTN11_n_68980),
+	.B(n_68326),
+	.Y(n_68327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831407 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1340 ),
+	.Y(n_68326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g48 (
+	.A1(n_73965),
+	.A2(n_68330),
+	.B1(n_34387),
+	.Y(n_68331), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt831408 (
+	.A(n_68329),
+	.Y(n_68330), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831409 (
+	.A(n_41525),
+	.B(n_53042),
+	.Y(n_68329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831410 (
+	.A(n_74610),
+	.B(n_74570),
+	.Y(n_68335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831416 (
+	.A(n_40560),
+	.B(n_68339),
+	.C(n_35495),
+	.Y(n_68340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831417 (
+	.A(n_39061),
+	.B(n_34165),
+	.Y(n_68339), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_4 g831418 (
+	.A1(n_58959),
+	.A2(n_53133),
+	.B1(n_68341),
+	.C1(n_53135),
+	.Y(n_68342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831419 (
+	.A(n_69281),
+	.B(n_43937),
+	.Y(n_68341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831420 (
+	.A(n_68343),
+	.B(n_55284),
+	.Y(n_68345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831421 (
+	.A(n_51904),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_670 ),
+	.Y(n_68343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831423 (
+	.A(FE_DBTN43_n_52455),
+	.B(n_68346),
+	.Y(n_68347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831424 (
+	.A(n_52894),
+	.B(n_52886),
+	.Y(n_68346), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g831425 (
+	.A(n_52371),
+	.B(n_68348),
+	.Y(n_68349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831426 (
+	.A(n_62424),
+	.B(n_62425),
+	.Y(n_68348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g831427 (
+	.A(n_54368),
+	.B(n_53241),
+	.C(n_68353),
+	.Y(n_68354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g831428 (
+	.A(n_68352),
+	.Y(n_68353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831429 (
+	.A(n_52354),
+	.B(n_73564),
+	.Y(n_68352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g831431 (
+	.A1(n_44111),
+	.A2(n_53263),
+	.B1(n_36835),
+	.C1(n_68359),
+	.Y(n_68360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831432 (
+	.A(n_68358),
+	.Y(n_68359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g831433 (
+	.A_N(n_73849),
+	.B(n_68357),
+	.Y(n_68358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g831435 (
+	.A_N(n_23564),
+	.B(n_39757),
+	.Y(n_68357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831436 (
+	.A(n_44077),
+	.B(n_73810),
+	.Y(n_39757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt831437 (
+	.A(n_39757),
+	.Y(n_68361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831440 (
+	.A(n_68365),
+	.B(n_19051),
+	.Y(n_68366), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831441 (
+	.A(n_24382),
+	.B(n_24358),
+	.C(n_74571),
+	.Y(n_68365), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g831446 (
+	.A(FE_DBTN96_n_57342),
+	.B(n_36535),
+	.C(n_68373),
+	.Y(n_68374), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g831447 (
+	.A(n_68372),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.Y(n_68373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831448 (
+	.A(n_69275),
+	.B(n_34173),
+	.Y(n_68372), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt831449 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.Y(n_68375), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831455 (
+	.A(FE_DBTN9_n_69283),
+	.B(FE_DBTN41_n_55284),
+	.Y(n_68377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g831459 (
+	.A(n_35084),
+	.B(n_68388),
+	.Y(n_68389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g25 (
+	.A(n_68387),
+	.B(FE_DBTN17_n_70953),
+	.Y(n_68388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831460 (
+	.A(n_68385),
+	.B(n_73852),
+	.Y(n_68387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831461 (
+	.A(n_45829),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_68385), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831463 (
+	.A(n_68391),
+	.B(n_52455),
+	.Y(n_68392), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831464 (
+	.A(n_52937),
+	.B(n_68390),
+	.Y(n_68391), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831465 (
+	.A(n_64092),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_68390), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831466 (
+	.A(n_68395),
+	.B(n_68398),
+	.C(n_50685),
+	.Y(n_68399), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831467 (
+	.A(n_56961),
+	.B(n_68394),
+	.C(n_14318),
+	.Y(n_68395), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt831468 (
+	.A(n_68393),
+	.Y(n_68394), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831469 (
+	.A(n_31531),
+	.B(n_57259),
+	.Y(n_68393), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831470 (
+	.A(n_70032),
+	.B(n_44448),
+	.Y(n_68398), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831474 (
+	.A(n_52266),
+	.B(FE_DBTN13_n_68403),
+	.Y(n_68405), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831476 (
+	.A(n_68402),
+	.B(n_54110),
+	.Y(n_68403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831477 (
+	.A(n_54090),
+	.B(n_54100),
+	.Y(n_68402), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g132 (
+	.A1_N(n_13550),
+	.A2_N(n_56254),
+	.B1(n_56254),
+	.B2(n_13550),
+	.Y(n_68416), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g134 (
+	.A(n_68414),
+	.Y(n_56254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g135 (
+	.A(n_68409),
+	.B(n_68413),
+	.Y(n_68414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831479 (
+	.A(n_68408),
+	.B(n_52822),
+	.Y(n_68409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831480 (
+	.A(n_52825),
+	.B(n_68407),
+	.Y(n_68408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831481 (
+	.A(n_52824),
+	.Y(n_68407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g136 (
+	.A(n_68410),
+	.B(n_68412),
+	.Y(n_68413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831482 (
+	.A(n_52822),
+	.Y(n_68410), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g139 (
+	.A(n_68411),
+	.Y(n_68412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g140 (
+	.A(n_52824),
+	.B(n_52821),
+	.Y(n_68411), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g831485 (
+	.A(n_66893),
+	.B(n_66436),
+	.X(n_68417), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831486 (
+	.A(n_54074),
+	.B(n_67914),
+	.Y(n_68418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831487 (
+	.A_N(n_50637),
+	.B(n_66893),
+	.Y(n_68420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831488 (
+	.A(n_68423),
+	.B(n_43951),
+	.Y(n_68424), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831489 (
+	.A(n_68422),
+	.B(n_67580),
+	.Y(n_68423), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831490 (
+	.A(n_57317),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_68422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831493 (
+	.A(n_74023),
+	.B(n_68433),
+	.Y(n_68434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831495 (
+	.A(n_68426),
+	.B(n_68428),
+	.Y(n_68429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g87 (
+	.A(n_68425),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ),
+	.Y(n_68426), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831496 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1702 ),
+	.Y(n_68425), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831497 (
+	.A(n_68427),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1702 ),
+	.Y(n_68428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g831498 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1815 ),
+	.Y(n_68427), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g89 (
+	.A(n_74451),
+	.B(n_43049),
+	.Y(n_68430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831499 (
+	.A(n_68428),
+	.B(n_68426),
+	.C(n_68430),
+	.Y(n_68433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831503 (
+	.A(n_68425),
+	.B(n_68427),
+	.Y(n_68438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831504 (
+	.A(n_68427),
+	.B(n_68425),
+	.Y(n_68439), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831505 (
+	.A(n_74574),
+	.B(n_43030),
+	.Y(n_68446), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831507 (
+	.A(n_43011),
+	.B(n_43015),
+	.Y(n_68442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831510 (
+	.A(addinc_ADD_UNS_OP_2_n_1353),
+	.B(n_68449),
+	.Y(n_68450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g831511 (
+	.A1(n_55913),
+	.A2(n_68447),
+	.B1(n_48881),
+	.Y(n_68449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g831512 (
+	.A1(n_52137),
+	.A2(FE_DBTN58_n_48855),
+	.B1_N(FE_DBTN105_n_49226),
+	.Y(n_68447), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831514 (
+	.A(n_52137),
+	.B(FE_DBTN58_n_48855),
+	.Y(n_68451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831516 (
+	.A(n_43499),
+	.B(n_68455),
+	.Y(n_68456), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g831517 (
+	.A1(n_68452),
+	.A2(n_68453),
+	.B1(n_68454),
+	.X(n_68455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831518 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1708 ),
+	.Y(n_68452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831519 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.Y(n_68453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831520 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.Y(n_68454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g127 (
+	.A(n_43038),
+	.Y(n_68467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g831522 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.A2(n_68462),
+	.B1(n_68463),
+	.C1(n_68464),
+	.D1(n_68465),
+	.X(n_43038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831525 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1708 ),
+	.B(n_68461),
+	.Y(n_68462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g144 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.Y(n_68461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831526 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1708 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.Y(n_68463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831527 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.B(n_68452),
+	.C(n_68461),
+	.Y(n_68464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g831528 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2859 ),
+	.B(n_68452),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1705 ),
+	.Y(n_68465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831531 (
+	.A(n_68471),
+	.Y(n_68472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831532 (
+	.A(n_68469),
+	.B(n_68470),
+	.Y(n_68471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831533 (
+	.A(n_73240),
+	.B(n_67675),
+	.Y(n_68469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831534 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2964 ),
+	.B(n_36375),
+	.Y(n_68470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831541 (
+	.A(n_69997),
+	.B(n_69212),
+	.Y(n_68485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g831542 (
+	.A1(FE_DBTN84_n_53113),
+	.A2(n_16867),
+	.B1(n_25417),
+	.Y(n_68482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831543 (
+	.A(n_41883),
+	.B(n_45356),
+	.Y(n_68490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831544 (
+	.A(n_68486),
+	.B(n_70034),
+	.Y(n_41883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831545 (
+	.A(n_49069),
+	.B(n_65857),
+	.Y(n_68486), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831564 (
+	.A(n_57361),
+	.B(n_58119),
+	.Y(n_68502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831572 (
+	.A(n_68517),
+	.B(n_45619),
+	.Y(n_68518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831573 (
+	.A(n_68516),
+	.B(n_58519),
+	.Y(n_68517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g831574 (
+	.A(n_71349),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_137),
+	.X(n_68516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt831575 (
+	.A(n_68516),
+	.Y(n_68519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831579 (
+	.A(n_51430),
+	.B(n_46395),
+	.Y(n_68520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g831582 (
+	.A(n_38303),
+	.B(n_46411),
+	.Y(n_68526), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831589 (
+	.A(n_69572),
+	.B(n_35528),
+	.Y(n_68535), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831591 (
+	.A(n_68547),
+	.B(n_44839),
+	.Y(n_68548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831592 (
+	.A(n_68540),
+	.B(n_68546),
+	.Y(n_68547), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt130 (
+	.A(n_54252),
+	.Y(n_68540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831593 (
+	.A(n_68542),
+	.B(n_68545),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.Y(n_68546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g123 (
+	.A(addinc_ADD_UNS_OP_2_n_603),
+	.B(n_70007),
+	.Y(n_68542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g122 (
+	.A(n_73876),
+	.B_N(n_29420),
+	.Y(n_68545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g831595 (
+	.A(n_54252),
+	.B(n_68551),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_358),
+	.X(n_68552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831596 (
+	.A(n_70544),
+	.Y(n_68551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831597 (
+	.A(n_70007),
+	.Y(n_68549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831609 (
+	.A(n_68566),
+	.B(n_55010),
+	.Y(n_68567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g831610 (
+	.A1(n_69981),
+	.A2(n_68564),
+	.B1_N(n_73858),
+	.Y(n_68566), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g831611 (
+	.A(FE_DBTN67_n_44490),
+	.B(n_51309),
+	.Y(n_68564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831617 (
+	.A(n_68571),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_68572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831618 (
+	.A(n_40532),
+	.B(n_35536),
+	.Y(n_68571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831632 (
+	.A(n_68587),
+	.B(n_55103),
+	.Y(n_68589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831633 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [3]),
+	.B(n_37444),
+	.Y(n_68587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831635 (
+	.A(n_68591),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_68592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831636 (
+	.A(n_52941),
+	.B(n_69614),
+	.Y(n_68591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831639 (
+	.A(n_73551),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_68594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831641 (
+	.A(n_69274),
+	.B(n_68596),
+	.Y(n_68597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g831642 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.B(n_46457),
+	.X(n_68596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 g831643 (
+	.A1(n_52484),
+	.A2(n_68598),
+	.B1(n_68599),
+	.B2(n_47319),
+	.Y(n_68600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g66_1 (
+	.A_N(n_34627),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(n_68598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831644 (
+	.A_N(n_34627),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(n_68599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831645 (
+	.A(n_68601),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2366 ),
+	.Y(n_68602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831646 (
+	.A(n_47319),
+	.B(n_52484),
+	.Y(n_68601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g831648 (
+	.A1(n_73185),
+	.A2(n_68393),
+	.B1_N(n_57336),
+	.Y(n_68603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831651 (
+	.A(n_68608),
+	.B(n_64050),
+	.Y(n_68609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831652 (
+	.A(n_68607),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_68608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831653 (
+	.A(n_44314),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1074 ),
+	.Y(n_68607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831654 (
+	.A(n_68611),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_921 ),
+	.Y(n_68614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831655 (
+	.A(n_59613),
+	.B(n_68610),
+	.Y(n_68611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831656 (
+	.A(n_52480),
+	.B(n_43966),
+	.Y(n_68610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831663 (
+	.A(n_68736),
+	.B(n_44518),
+	.C(n_68620),
+	.Y(n_68621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831664 (
+	.A(n_68619),
+	.Y(n_68620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831665 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [0]),
+	.B(n_36026),
+	.Y(n_68619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831666 (
+	.A(n_68625),
+	.B(n_33524),
+	.Y(n_68626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g831667 (
+	.A1(n_12950),
+	.A2(n_68623),
+	.B1(n_68624),
+	.Y(n_68625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g831668 (
+	.A(n_68622),
+	.B(n_53294),
+	.Y(n_68623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g46_0 (
+	.A(n_66000),
+	.B(n_58044),
+	.Y(n_68622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831669 (
+	.A(n_26464),
+	.B(n_64744),
+	.Y(n_68624), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831670 (
+	.A(n_58044),
+	.B(n_66000),
+	.Y(n_68627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831673 (
+	.A(n_74781),
+	.B(n_65152),
+	.Y(n_68629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831684 (
+	.A(n_74712),
+	.B(n_45253),
+	.Y(n_68645), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831686 (
+	.A(n_69031),
+	.B(n_69032),
+	.Y(n_68643), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831689 (
+	.A(n_68646),
+	.B(n_74030),
+	.Y(n_68650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g831690 (
+	.A(n_66361),
+	.Y(n_68646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831692 (
+	.A(n_59964),
+	.B(n_19051),
+	.Y(n_68647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831694 (
+	.A(n_68647),
+	.B(n_66292),
+	.Y(n_68651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831697 (
+	.A(n_74032),
+	.B(n_44945),
+	.Y(n_68665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831700 (
+	.A(n_68659),
+	.B(n_68661),
+	.Y(n_68662), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831701 (
+	.A(n_68653),
+	.B(n_68658),
+	.Y(n_68659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831702 (
+	.A(n_68654),
+	.B(n_68657),
+	.Y(n_68658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g102 (
+	.A(n_43192),
+	.Y(n_68654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g103 (
+	.A(n_44937),
+	.Y(n_68657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g98 (
+	.A(n_43192),
+	.B(n_44937),
+	.Y(n_68661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g831706 (
+	.A_N(n_68672),
+	.B(n_68676),
+	.Y(n_68677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g831707 (
+	.A1(n_68670),
+	.A2(n_68671),
+	.B1(n_68653),
+	.Y(n_68672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831708 (
+	.A(n_43192),
+	.B(n_68657),
+	.Y(n_68670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831709 (
+	.A(n_68654),
+	.B(n_44937),
+	.Y(n_68671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g831710 (
+	.A_N(n_68673),
+	.B(n_68674),
+	.C(n_68653),
+	.Y(n_68676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g831711 (
+	.A(n_68657),
+	.B(n_43192),
+	.Y(n_68673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g93 (
+	.A(n_43192),
+	.B(n_68657),
+	.Y(n_68674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831714 (
+	.A(n_50607),
+	.B(n_44448),
+	.Y(n_68678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831715 (
+	.A(n_68678),
+	.B(n_47133),
+	.Y(n_68681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831716 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [5]),
+	.B(n_68683),
+	.Y(n_68687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt831719 (
+	.A(n_68682),
+	.Y(n_68683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831720 (
+	.A(n_50759),
+	.B(n_36717),
+	.Y(n_68682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831740 (
+	.A(n_55235),
+	.B(n_68712),
+	.C(n_46656),
+	.Y(n_68713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831741 (
+	.A(n_68711),
+	.Y(n_68712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831742 (
+	.A(FE_DBTN50_n_74579),
+	.B(n_34437),
+	.C(n_66327),
+	.Y(n_68711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g79 (
+	.A(n_68714),
+	.Y(n_68715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831746 (
+	.A(n_55235),
+	.B(n_68712),
+	.Y(n_68714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831748 (
+	.A(n_68721),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_68722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831749 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1037 ),
+	.B(n_73591),
+	.Y(n_68721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831751 (
+	.A(n_53285),
+	.B(n_68718),
+	.Y(n_68719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831752 (
+	.A(n_65405),
+	.B(n_30586),
+	.Y(n_68718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g170 (
+	.A(n_68726),
+	.B(n_68727),
+	.Y(n_68728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g172 (
+	.A(n_69603),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1841 ),
+	.C(n_68725),
+	.Y(n_68726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g173 (
+	.A(n_68724),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_68725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g174 (
+	.A(n_54399),
+	.B(n_68723),
+	.Y(n_68724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g176 (
+	.A(n_54718),
+	.B(n_52455),
+	.Y(n_68723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831753 (
+	.A_N(FE_DBTN57_n_73965),
+	.B(n_69603),
+	.Y(n_68727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831754 (
+	.A(n_68725),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1841 ),
+	.Y(n_68729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831755 (
+	.A(n_68733),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_68734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831756 (
+	.A(n_68732),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1259 ),
+	.Y(n_68733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831757 (
+	.A(n_68731),
+	.B(n_75245),
+	.Y(n_68732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831758 (
+	.A(n_73585),
+	.B(n_68345),
+	.Y(n_68731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g831760 (
+	.A1_N(n_13522),
+	.A2_N(n_68741),
+	.B1(n_13522),
+	.B2(n_68741),
+	.Y(n_68742), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g831761 (
+	.A(n_13457),
+	.Y(n_13522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831762 (
+	.A(n_68740),
+	.Y(n_68741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831763 (
+	.A(n_49866),
+	.B(n_68739),
+	.Y(n_68740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831764 (
+	.A(n_73729),
+	.B(n_55108),
+	.Y(n_68739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831766 (
+	.A(n_68619),
+	.B(n_68736),
+	.Y(n_68737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831767 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_leading_zero_count [1]),
+	.B(n_46493),
+	.Y(n_68736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831771 (
+	.A(n_68749),
+	.B(n_68722),
+	.Y(n_68750), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831772 (
+	.A(n_68748),
+	.B(n_75245),
+	.Y(n_68749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831773 (
+	.A(n_39072),
+	.B(n_74775),
+	.Y(n_68748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831783 (
+	.A(n_68770),
+	.B(n_68771),
+	.Y(n_68772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831784 (
+	.A(n_68768),
+	.B(n_68769),
+	.Y(n_68770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_0 g112 (
+	.A(n_74580),
+	.B(n_68767),
+	.X(n_68768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g831785 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1715 ),
+	.Y(n_68762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831786 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1711 ),
+	.Y(n_68763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g831787 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1715 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1711 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ),
+	.Y(n_68765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g831788 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1710 ),
+	.B(n_74557),
+	.Y(n_68767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831789 (
+	.A(n_33902),
+	.B(n_51715),
+	.C(n_69040),
+	.Y(n_68769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831790 (
+	.A(n_68767),
+	.B(n_74580),
+	.Y(n_68771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831791 (
+	.A(n_74033),
+	.B(n_74034),
+	.Y(n_68780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831804 (
+	.A(n_68795),
+	.B(n_57993),
+	.Y(n_68800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or3_4 g194 (
+	.A(n_25416),
+	.B(soc_top_u_top_u_core_fp_alu_operator[0]),
+	.C(soc_top_u_top_u_core_fp_alu_operator[3]),
+	.X(n_68795), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831806 (
+	.A(n_24506),
+	.B(n_54481),
+	.Y(n_68796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831807 (
+	.A(n_68801),
+	.B(n_73723),
+	.Y(n_68805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831808 (
+	.A(n_15969),
+	.B(n_60292),
+	.Y(n_68801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g189 (
+	.A(n_68807),
+	.B(n_74585),
+	.C(FE_DBTN28_n_73723),
+	.Y(n_68810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g190 (
+	.A(n_15648),
+	.B(n_68796),
+	.Y(n_68807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831817 (
+	.A(n_68835),
+	.B(n_68836),
+	.Y(n_68837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831818 (
+	.A(n_49067),
+	.B(n_54940),
+	.Y(n_68835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831819 (
+	.A(n_67850),
+	.B(n_45999),
+	.Y(n_68836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831820 (
+	.A(n_68838),
+	.B(n_68841),
+	.Y(n_68842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831821 (
+	.A(n_54946),
+	.B(n_54940),
+	.Y(n_68838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831822 (
+	.A(n_73861),
+	.B(n_73862),
+	.Y(n_68841), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831853 (
+	.A(n_68874),
+	.B(n_68879),
+	.Y(n_68880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831854 (
+	.A(n_68872),
+	.B(n_68873),
+	.Y(n_68874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831855 (
+	.A(n_56862),
+	.B(n_50874),
+	.Y(n_68872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831856 (
+	.A(FE_DBTN27_n_56862),
+	.B(n_70038),
+	.Y(n_68873), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831857 (
+	.A(n_68876),
+	.B(FE_DBTN38_n_68877),
+	.Y(n_68879), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt831858 (
+	.A(n_68875),
+	.Y(n_68876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831859 (
+	.A(n_54440),
+	.B(n_54923),
+	.Y(n_68875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831861 (
+	.A(n_53797),
+	.B(n_66941),
+	.Y(n_68877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831863 (
+	.A(n_50711),
+	.B(n_69352),
+	.Y(n_68881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g831865 (
+	.A(n_54681),
+	.B(n_52703),
+	.C(n_52701),
+	.D(n_69353),
+	.Y(n_68883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831867 (
+	.A(n_52703),
+	.B(n_52701),
+	.Y(n_68886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831868 (
+	.A(n_54681),
+	.B(n_69353),
+	.Y(n_68887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831869 (
+	.A(n_68896),
+	.B(n_48957),
+	.Y(n_68897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831870 (
+	.A(n_68893),
+	.B(n_74036),
+	.Y(n_68896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g831871 (
+	.A(n_68890),
+	.B(n_68892),
+	.C(n_73747),
+	.Y(n_68893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831872 (
+	.A(n_69511),
+	.B(FE_DBTN88_n_16623),
+	.Y(n_68890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831875 (
+	.A(n_68891),
+	.Y(n_68892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831876 (
+	.A(n_16621),
+	.B(n_74765),
+	.Y(n_68891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831879 (
+	.A(n_68896),
+	.B(n_16856),
+	.Y(n_68898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831880 (
+	.A(n_54402),
+	.B(n_68899),
+	.Y(n_68900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831881 (
+	.A(n_68896),
+	.Y(n_68899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831882 (
+	.A(n_74036),
+	.B(n_73747),
+	.C(n_74765),
+	.Y(n_68901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831895 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_29469),
+	.Y(n_68911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g831897 (
+	.A1(n_47546),
+	.A2(n_44319),
+	.B1(n_49958),
+	.Y(n_68913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831898 (
+	.A(FE_DBTN12_n_68911),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [16]),
+	.Y(n_68917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831899 (
+	.A(FE_DBTN12_n_68911),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [20]),
+	.Y(n_68919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831901 (
+	.A(FE_DBTN12_n_68911),
+	.B(\soc_top_u_top_u_core_fp_operands[2] [18]),
+	.Y(n_68920), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g831903 (
+	.A_N(n_29746),
+	.B(n_68913),
+	.C(n_16918),
+	.Y(n_68922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831904 (
+	.A(n_53113),
+	.B(n_68913),
+	.Y(n_68923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831907 (
+	.A(n_68924),
+	.B(n_68925),
+	.Y(n_68926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g831908 (
+	.A1(n_23650),
+	.A2(n_23316),
+	.B1(n_27494),
+	.B2(n_18842),
+	.Y(n_68924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831909 (
+	.A(n_48233),
+	.B(n_69692),
+	.Y(n_68925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g225 (
+	.A(n_68952),
+	.B(n_52399),
+	.Y(n_68953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831923 (
+	.A(n_68948),
+	.B(n_68951),
+	.Y(n_68952), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g831924 (
+	.A(n_68947),
+	.Y(n_68948), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831925 (
+	.A(n_71064),
+	.B(n_68946),
+	.Y(n_68947), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g239 (
+	.A(n_67026),
+	.B(n_38438),
+	.Y(n_68946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g234 (
+	.A(n_71061),
+	.B(n_74072),
+	.Y(n_68951), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g226 (
+	.A(n_68952),
+	.B(n_52398),
+	.Y(n_68954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831927 (
+	.A(n_68948),
+	.B(n_68951),
+	.C(n_52399),
+	.Y(n_68955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g831928 (
+	.A(n_52403),
+	.B(n_68951),
+	.C(n_68946),
+	.Y(n_68956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g104 (
+	.A(n_73571),
+	.Y(n_68982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831952 (
+	.A(n_57372),
+	.B(n_57371),
+	.Y(n_68980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g831953 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1068 ),
+	.B(n_62389),
+	.C(n_52389),
+	.Y(n_68983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g831976 (
+	.A(n_69009),
+	.B(n_69010),
+	.Y(n_69011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831977 (
+	.A(n_45234),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_69009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831978 (
+	.A(n_48002),
+	.B(n_74852),
+	.Y(n_69010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831979 (
+	.A(n_35500),
+	.B(n_69011),
+	.Y(n_69013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831981 (
+	.A(FE_DBTN15_n_65952),
+	.B(n_69011),
+	.Y(n_69014), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831983 (
+	.A(n_73851),
+	.B(n_69011),
+	.Y(n_69016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g831985 (
+	.A(n_69017),
+	.B(n_69018),
+	.Y(n_69019), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831986 (
+	.A(n_68300),
+	.B(n_52055),
+	.Y(n_69017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831987 (
+	.A(n_49490),
+	.B(n_68238),
+	.Y(n_69018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831989 (
+	.A(n_69020),
+	.B(n_69021),
+	.Y(n_69022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831990 (
+	.A(n_49490),
+	.B(n_52055),
+	.Y(n_69020), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g831991 (
+	.A(n_68300),
+	.B(n_68238),
+	.Y(n_69021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g831993 (
+	.A(n_69025),
+	.B(n_69026),
+	.Y(n_69027), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831994 (
+	.A(n_69018),
+	.Y(n_69025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831995 (
+	.A(n_69017),
+	.Y(n_69026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g831996 (
+	.A_N(n_69019),
+	.B(n_69022),
+	.Y(n_69029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt146 (
+	.A(n_69020),
+	.Y(n_69031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g831998 (
+	.A(n_69021),
+	.Y(n_69032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832003 (
+	.A(n_69041),
+	.B(n_52756),
+	.C(n_69204),
+	.Y(n_69042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g832004 (
+	.A1(n_56843),
+	.A2(n_70562),
+	.B1_N(n_69040),
+	.Y(n_69041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832027 (
+	.A(n_74038),
+	.B(n_49947),
+	.Y(n_69071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832029 (
+	.A(n_48948),
+	.B(n_66414),
+	.Y(n_69066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832032 (
+	.A(n_52084),
+	.B(n_49935),
+	.C(n_52271),
+	.Y(n_69067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832033 (
+	.A(n_74038),
+	.B(n_47199),
+	.Y(n_69072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g832034 (
+	.A(n_69066),
+	.B(n_69074),
+	.Y(n_69075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832035 (
+	.A(n_47199),
+	.B(n_69067),
+	.Y(n_69074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832037 (
+	.A(n_69076),
+	.B(n_45002),
+	.Y(n_69077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832038 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_692),
+	.B(n_69067),
+	.Y(n_69076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g259 (
+	.A(n_69093),
+	.B(n_69094),
+	.Y(n_49174), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g262 (
+	.A(n_69090),
+	.B(n_69092),
+	.Y(n_69093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g263 (
+	.A(n_57902),
+	.B(n_57903),
+	.C(n_48670),
+	.Y(n_69090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832051 (
+	.A(n_69941),
+	.Y(n_69092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g832052 (
+	.A(n_57902),
+	.B(n_57903),
+	.C(n_48670),
+	.D(n_69941),
+	.Y(n_69094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g212 (
+	.A(n_69118),
+	.B(n_52155),
+	.Y(n_69119), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832069 (
+	.A(n_70150),
+	.B(n_69114),
+	.C(n_69117),
+	.Y(n_69118), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832070 (
+	.A(n_57406),
+	.B(n_57408),
+	.C(n_57407),
+	.Y(n_69114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832071 (
+	.A(n_69115),
+	.B(n_69116),
+	.Y(n_69117), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832072 (
+	.A(n_55150),
+	.Y(n_69115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt225 (
+	.A(n_55151),
+	.Y(n_69116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g832073 (
+	.A(n_70150),
+	.B(n_69114),
+	.X(n_69120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832074 (
+	.A(n_69409),
+	.B(n_69117),
+	.Y(n_69122), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832076 (
+	.A(n_47106),
+	.B(n_69117),
+	.Y(n_69123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g214 (
+	.A(n_70148),
+	.B(n_69114),
+	.Y(n_69124), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832078 (
+	.A(n_69129),
+	.B(n_74040),
+	.Y(n_69132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832079 (
+	.A(n_69125),
+	.B(n_74614),
+	.Y(n_69129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832080 (
+	.A(n_34681),
+	.B(n_67875),
+	.Y(n_69125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832087 (
+	.A(n_69138),
+	.B(n_69142),
+	.Y(n_69143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832088 (
+	.A_N(n_69135),
+	.B(n_69137),
+	.Y(n_69138), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832089 (
+	.A(n_68837),
+	.B(n_68842),
+	.Y(n_69135), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832090 (
+	.A(n_69136),
+	.Y(n_69137), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832091 (
+	.A(n_55140),
+	.B(n_55143),
+	.Y(n_69136), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832092 (
+	.A(n_69141),
+	.Y(n_69142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832093 (
+	.A(n_69139),
+	.B(n_69140),
+	.Y(n_69141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832094 (
+	.A(n_55912),
+	.B(n_56016),
+	.Y(n_69139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832095 (
+	.A(n_68258),
+	.B(n_46112),
+	.Y(n_69140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832096 (
+	.A(n_69137),
+	.B(n_69144),
+	.Y(n_51584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832097 (
+	.A(n_69135),
+	.Y(n_69144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832127 (
+	.A(n_69175),
+	.B(n_69178),
+	.Y(n_69179), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832128 (
+	.A(n_56847),
+	.B(n_53712),
+	.Y(n_69175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832129 (
+	.A(n_53708),
+	.B(n_67758),
+	.Y(n_69178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832134 (
+	.A(n_74584),
+	.Y(n_69182), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832136 (
+	.A(n_69184),
+	.B(n_69185),
+	.Y(n_69186), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832137 (
+	.A(n_69678),
+	.B(n_47546),
+	.Y(n_69184), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31ai_1 g832138 (
+	.A1(n_16602),
+	.A2(n_16153),
+	.A3(n_16154),
+	.B1(n_16556),
+	.Y(n_69185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832142 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_61 ),
+	.B(n_44548),
+	.Y(n_69187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832143 (
+	.A(n_29515),
+	.B(n_44549),
+	.Y(n_69188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832154 (
+	.A(n_69202),
+	.B(n_69204),
+	.C(n_69040),
+	.Y(n_69208), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832155 (
+	.A(n_56843),
+	.B(n_70562),
+	.Y(n_69202), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832157 (
+	.A(n_69203),
+	.Y(n_69204), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832158 (
+	.A(n_44632),
+	.B(n_67742),
+	.Y(n_69203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832160 (
+	.A(FE_DBTN21_n_69209),
+	.B(n_69212),
+	.Y(n_69213), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832162 (
+	.A(n_69234),
+	.B(FE_DBTN71_n_69235),
+	.Y(n_69209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832163 (
+	.A(n_69211),
+	.Y(n_69212), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832164 (
+	.A(n_68482),
+	.B(n_68923),
+	.Y(n_69211), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832166 (
+	.A(n_69216),
+	.B(n_74908),
+	.Y(n_69220), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832167 (
+	.A(n_48700),
+	.B(n_69215),
+	.Y(n_69216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832168 (
+	.A(n_52316),
+	.Y(n_69215), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832184 (
+	.A(n_69234),
+	.B(n_69235),
+	.Y(n_69236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g832185 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_45179),
+	.Y(n_69234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832186 (
+	.A(n_50605),
+	.B(n_54159),
+	.Y(n_69235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832187 (
+	.A(n_69237),
+	.B(n_69238),
+	.Y(n_69239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832188 (
+	.A(FE_DBTN12_n_68911),
+	.B(n_48311),
+	.Y(n_69237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832189 (
+	.A(n_53112),
+	.B(n_37830),
+	.Y(n_69238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832190 (
+	.A(n_71056),
+	.B(FE_DBTN26_n_69241),
+	.C(FE_DBTN10_n_69243),
+	.Y(n_69245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832193 (
+	.A(n_55920),
+	.B(n_46781),
+	.Y(n_69241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832195 (
+	.A(n_53919),
+	.B(n_73822),
+	.Y(n_69243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832201 (
+	.A(n_69251),
+	.B(n_69252),
+	.Y(n_69253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g832202 (
+	.A(n_63706),
+	.B(n_36531),
+	.C(n_34173),
+	.D(n_68375),
+	.Y(n_69251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832203 (
+	.A(n_44021),
+	.B(n_68736),
+	.Y(n_69252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832215 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_760 ),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_69271), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832217 (
+	.A(n_69274),
+	.B(n_69275),
+	.Y(n_69276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832218 (
+	.A(n_46450),
+	.B(FE_DBTN62_n_50512),
+	.Y(n_69274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832219 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_lzc_zeroes ),
+	.Y(n_69275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832220 (
+	.A(n_69278),
+	.B(n_74586),
+	.Y(n_69281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832221 (
+	.A(n_52455),
+	.B(n_54623),
+	.Y(n_69278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832225 (
+	.A(n_69282),
+	.B(FE_DBTN9_n_69283),
+	.Y(n_69285), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832226 (
+	.A(n_70276),
+	.B(n_34824),
+	.Y(n_69282), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832228 (
+	.A(n_54625),
+	.B(n_54650),
+	.Y(n_69283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g41 (
+	.A(n_73865),
+	.B(n_69293),
+	.Y(n_69294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832233 (
+	.A(n_69291),
+	.B(n_70562),
+	.Y(n_69293), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832234 (
+	.A(n_58124),
+	.B(n_57721),
+	.C(n_73734),
+	.Y(n_69291), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832235 (
+	.A(n_69291),
+	.Y(n_69295), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g42 (
+	.A(n_69291),
+	.B(n_69182),
+	.Y(n_69296), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832243 (
+	.A(n_53102),
+	.B(n_69306),
+	.C(n_13079),
+	.Y(n_69307), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832244 (
+	.A(n_67971),
+	.B(n_63931),
+	.Y(n_69306), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832252 (
+	.A(n_52035),
+	.B(n_69315),
+	.C(n_65475),
+	.Y(n_69317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832253 (
+	.A(n_64183),
+	.Y(n_69315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832254 (
+	.A(n_13597),
+	.Y(n_65475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832255 (
+	.A(n_69320),
+	.Y(n_69321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832256 (
+	.A1(n_69319),
+	.A2(n_52035),
+	.B1(n_69317),
+	.Y(n_69320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832257 (
+	.A(n_69318),
+	.Y(n_69319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832258 (
+	.A(n_65475),
+	.B(n_69315),
+	.Y(n_69318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832259 (
+	.A(n_69322),
+	.Y(n_69323), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832260 (
+	.A(n_64184),
+	.B(n_69318),
+	.Y(n_69322), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832261 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B(n_69326),
+	.Y(n_69327), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832263 (
+	.A(n_49310),
+	.B(n_69325),
+	.Y(n_69326), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832264 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1354 ),
+	.B(n_52455),
+	.Y(n_69325), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832265 (
+	.A_N(addinc_ADD_UNS_OP_2_n_251),
+	.B(n_74902),
+	.Y(n_69329), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832269 (
+	.A(n_69333),
+	.B(n_69334),
+	.Y(n_69335), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832270 (
+	.A(n_69332),
+	.B(n_68980),
+	.Y(n_69333), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832271 (
+	.A(n_65184),
+	.B(n_54759),
+	.Y(n_69332), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832272 (
+	.A(n_35940),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_69334), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832273 (
+	.A(n_69332),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_69336), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832285 (
+	.A(n_40810),
+	.B(FE_DBTN92_n_49646),
+	.C(FE_DBTN35_n_54412),
+	.Y(n_69350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832288 (
+	.A(n_69351),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2278 ),
+	.Y(n_69352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832289 (
+	.A(n_37397),
+	.B(n_54147),
+	.C(n_48670),
+	.Y(n_69351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832290 (
+	.A(n_69351),
+	.B(n_67649),
+	.Y(n_69353), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832291 (
+	.A(n_69351),
+	.Y(n_69354), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832292 (
+	.A(n_74041),
+	.B(n_69358),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2916 ),
+	.Y(n_69359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832296 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1327 ),
+	.B(n_74541),
+	.Y(n_69358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g832297 (
+	.A1(n_74041),
+	.A2(n_69358),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2916 ),
+	.X(n_69360), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832300 (
+	.A(n_70639),
+	.B(n_74852),
+	.Y(n_69364), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832306 (
+	.A(n_50961),
+	.B(n_54104),
+	.Y(n_69368), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832314 (
+	.A(n_44879),
+	.B(n_69377),
+	.Y(n_69378), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832315 (
+	.A(n_18237),
+	.B(n_38356),
+	.Y(n_69377), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832316 (
+	.A(n_44879),
+	.B(n_69377),
+	.C(n_44881),
+	.Y(n_69379), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832317 (
+	.A(n_69380),
+	.B(n_57718),
+	.Y(n_69381), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g832318 (
+	.A(n_53229),
+	.B(n_17865),
+	.C(n_51597),
+	.D(n_51356),
+	.Y(n_69380), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832319 (
+	.A(n_69380),
+	.B(n_54993),
+	.Y(n_69382), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832320 (
+	.A(n_69380),
+	.B(n_43951),
+	.Y(n_69383), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832332 (
+	.A(soc_top_data_rdata[31]),
+	.B(n_69396),
+	.Y(n_69397), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g832333 (
+	.A(n_48491),
+	.B_N(n_51697),
+	.Y(n_69396), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832338 (
+	.A(n_69403),
+	.B(n_69692),
+	.Y(n_69404), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832339 (
+	.A(n_44270),
+	.B(n_35337),
+	.C(n_69545),
+	.Y(n_69403), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832340 (
+	.A_N(n_73866),
+	.B(n_69407),
+	.Y(n_69408), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832342 (
+	.A(n_69403),
+	.B(n_47546),
+	.Y(n_69407), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832345 (
+	.A(n_55150),
+	.B(n_55151),
+	.Y(n_69409), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832347 (
+	.A(n_69409),
+	.B(n_52151),
+	.Y(n_69412), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832348 (
+	.A(n_69368),
+	.B(n_69413),
+	.Y(n_69414), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832349 (
+	.A(n_51854),
+	.B(n_52716),
+	.C(n_54089),
+	.Y(n_69413), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832353 (
+	.A(n_68518),
+	.B(n_69418),
+	.Y(n_69419), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832354 (
+	.A(n_68519),
+	.B(n_45610),
+	.Y(n_69418), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832355 (
+	.A(n_69418),
+	.B(n_68517),
+	.Y(n_69420), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832356 (
+	.A(n_69656),
+	.B(n_69421),
+	.Y(n_69422), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832357 (
+	.A(n_36311),
+	.B(FE_DBTN34_n_54651),
+	.Y(n_69421), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832366 (
+	.A(n_69434),
+	.B(n_43109),
+	.Y(n_69435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832367 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2849 ),
+	.B(n_74044),
+	.Y(n_69434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832375 (
+	.A(n_69441),
+	.B(n_38386),
+	.Y(n_69442), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832376 (
+	.A(n_54792),
+	.B(n_69440),
+	.Y(n_69441), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832377 (
+	.A(n_38188),
+	.Y(n_69440), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832378 (
+	.A(n_38386),
+	.B(n_69441),
+	.C(n_68955),
+	.Y(n_69443), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832381 (
+	.A(n_58523),
+	.B(n_49365),
+	.Y(n_69444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832382 (
+	.A(n_69453),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_69454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832383 (
+	.A(n_69450),
+	.B(n_69452),
+	.Y(n_69453), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832384 (
+	.A(n_69449),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_827),
+	.Y(n_69450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832385 (
+	.A(n_34467),
+	.B(n_69448),
+	.Y(n_69449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832386 (
+	.A(n_33796),
+	.Y(n_69448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832388 (
+	.A(n_34467),
+	.B(n_69451),
+	.Y(n_69452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832389 (
+	.A(n_33796),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_827),
+	.Y(n_69451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832390 (
+	.A(n_68594),
+	.B(n_72989),
+	.Y(n_69457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832394 (
+	.A(n_69461),
+	.B(n_68572),
+	.C(n_74075),
+	.Y(n_69462), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g832395 (
+	.A1(n_69459),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.B1(n_73963),
+	.Y(n_69461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g832396 (
+	.A1(FE_DBTN43_n_52455),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1436 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1529 ),
+	.Y(n_69459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832398 (
+	.A(n_69459),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_69463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g832399 (
+	.A(n_67794),
+	.B(n_34352),
+	.Y(n_69464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832400 (
+	.A(n_69467),
+	.B(n_69476),
+	.Y(n_69477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832401 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ),
+	.B(n_43997),
+	.Y(n_69467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832403 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ),
+	.Y(n_69465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g832404 (
+	.A1(n_69465),
+	.A2(n_69468),
+	.B1_N(n_69475),
+	.X(n_69476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832405 (
+	.A(n_43997),
+	.Y(n_69468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832406 (
+	.A(n_69472),
+	.B(n_74045),
+	.Y(n_69475), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832407 (
+	.A(n_69469),
+	.B(n_55815),
+	.Y(n_69472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832408 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_698 ),
+	.Y(n_69469), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832413 (
+	.A1(n_69478),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ),
+	.B1(n_69480),
+	.Y(n_69481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832414 (
+	.A_N(n_69475),
+	.B(n_43997),
+	.Y(n_69478), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832415 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1150 ),
+	.B(n_69479),
+	.Y(n_69480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832416 (
+	.A(n_69475),
+	.B(n_43997),
+	.Y(n_69479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832421 (
+	.A(n_69490),
+	.B(n_31180),
+	.Y(n_69491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832422 (
+	.A(FE_DBTN8_n_69488),
+	.B(n_15540),
+	.C(n_48939),
+	.Y(n_69490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g63 (
+	.A(n_44034),
+	.B(n_57993),
+	.C(n_37502),
+	.Y(n_69488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g832424 (
+	.A(FE_DBTN8_n_69488),
+	.B(n_15540),
+	.C(n_48939),
+	.D(FE_DBTN73_n_31180),
+	.Y(n_69492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832439 (
+	.A(n_69509),
+	.B(FE_DBTN87_n_16940),
+	.Y(n_69511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832440 (
+	.A(n_69507),
+	.B(n_69508),
+	.Y(n_69509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g832441 (
+	.A(n_55193),
+	.Y(n_69507), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g832442 (
+	.A(n_55066),
+	.Y(n_69508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g77_2 (
+	.A(n_69509),
+	.B(FE_DBTN87_n_16940),
+	.Y(n_69512), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832444 (
+	.A(n_50518),
+	.B(n_69513),
+	.Y(n_69514), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832445 (
+	.A(n_69512),
+	.Y(n_69513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832446 (
+	.A(FE_DBTN87_n_16940),
+	.B(n_69515),
+	.Y(n_69516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt88 (
+	.A(n_69509),
+	.Y(n_69515), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832447 (
+	.A(n_69517),
+	.Y(n_69518), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832448 (
+	.A(n_69516),
+	.B(n_48939),
+	.Y(n_69517), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g832450 (
+	.A1(n_69520),
+	.A2(n_69521),
+	.B1_N(n_73867),
+	.Y(n_69523), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832452 (
+	.A(n_65160),
+	.B(n_53443),
+	.Y(n_69519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt46 (
+	.A(n_69519),
+	.Y(n_69520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832460 (
+	.A(n_69531),
+	.B(n_71006),
+	.Y(n_69534), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g832461 (
+	.A(n_66356),
+	.Y(n_69531), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g832467 (
+	.A(n_54833),
+	.B(n_71317),
+	.C(n_56361),
+	.D(n_74940),
+	.Y(n_69540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g832472 (
+	.A_N(n_69544),
+	.B(n_19051),
+	.Y(n_69545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832473 (
+	.A(n_69543),
+	.B(n_54523),
+	.Y(n_69544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832474 (
+	.A1(n_24035),
+	.A2(n_59958),
+	.B1(n_47497),
+	.Y(n_69543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832475 (
+	.A(FE_DBTN76_n_70132),
+	.B(n_69544),
+	.Y(n_69546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832477 (
+	.A(n_44982),
+	.B(n_31132),
+	.C(n_69549),
+	.Y(n_69550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832478 (
+	.A(n_69548),
+	.B(n_47510),
+	.Y(n_69549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832479 (
+	.A(n_47513),
+	.B(n_15302),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_0 ),
+	.Y(n_69548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g43 (
+	.A1(n_69551),
+	.A2(n_35538),
+	.B1(n_73965),
+	.X(n_69553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832480 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1609 ),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_69551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832482 (
+	.A(n_69554),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_69555), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832483 (
+	.A(n_69551),
+	.B(n_35538),
+	.Y(n_69554), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832484 (
+	.A(n_69557),
+	.B(n_52455),
+	.Y(n_69558), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832485 (
+	.A(n_69556),
+	.B(n_53027),
+	.Y(n_69557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832486 (
+	.A(n_74495),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_69556), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832487 (
+	.A(n_69557),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_69559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832492 (
+	.A(n_70070),
+	.B(n_70057),
+	.Y(n_69562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832496 (
+	.A(n_69562),
+	.Y(n_69565), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832497 (
+	.A(n_38417),
+	.B(n_69570),
+	.Y(n_69571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832498 (
+	.A(n_69569),
+	.B(n_68980),
+	.Y(n_69570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832499 (
+	.A(n_54695),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1642 ),
+	.Y(n_69569), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832500 (
+	.A(n_69569),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_69572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832501 (
+	.A(n_69576),
+	.B(FE_DBTN57_n_73965),
+	.Y(n_69577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832502 (
+	.A(n_69575),
+	.B(n_39040),
+	.Y(n_69576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832503 (
+	.A(n_74589),
+	.B(n_49578),
+	.Y(n_69575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832508 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1439 ),
+	.B(n_34627),
+	.Y(n_69581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832512 (
+	.A(n_69586),
+	.B(n_40517),
+	.Y(n_69587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832513 (
+	.A(n_69585),
+	.B(n_69562),
+	.Y(n_69586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832514 (
+	.A(n_70065),
+	.B(n_70075),
+	.Y(n_69585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832515 (
+	.A(n_69589),
+	.Y(n_69590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832516 (
+	.A(n_69565),
+	.B(n_69588),
+	.Y(n_69589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt72 (
+	.A(n_69585),
+	.Y(n_69588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832520 (
+	.A(n_74792),
+	.B(n_69588),
+	.Y(n_69594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832523 (
+	.A(n_69595),
+	.B(n_35082),
+	.Y(n_69596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832524 (
+	.A(n_44148),
+	.B(n_69651),
+	.Y(n_69595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g832526 (
+	.A1(n_49311),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1705 ),
+	.B1(n_69601),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1487 ),
+	.X(n_69602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832527 (
+	.A_N(n_71021),
+	.B(n_69600),
+	.Y(n_69601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832528 (
+	.A(n_69596),
+	.Y(n_69600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g85 (
+	.A(n_69595),
+	.B(FE_DBTN53_n_49347),
+	.C(n_35082),
+	.Y(n_69603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832529 (
+	.A(n_69595),
+	.B(n_52455),
+	.Y(n_69604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832530 (
+	.A(n_69595),
+	.B(n_36126),
+	.Y(n_69605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832537 (
+	.A(n_69613),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_69614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g832538 (
+	.A(n_49342),
+	.B(n_54645),
+	.C(n_71010),
+	.D(n_69612),
+	.Y(n_69613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832539 (
+	.A(n_35097),
+	.B(n_40079),
+	.Y(n_69612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832540 (
+	.A(n_69613),
+	.B(n_75245),
+	.Y(n_69615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832541 (
+	.A(n_69617),
+	.B(n_68980),
+	.Y(n_69618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g832542 (
+	.A1(n_52455),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1373 ),
+	.B1(n_69616),
+	.Y(n_69617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832543 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1374 ),
+	.B(n_52455),
+	.Y(n_69616), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832544 (
+	.A(n_69617),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_69619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832545 (
+	.A(n_69621),
+	.B(n_47324),
+	.Y(n_69622), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832546 (
+	.A(n_69620),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_69621), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832547 (
+	.A(n_53002),
+	.B(n_49843),
+	.Y(n_69620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832548 (
+	.A(n_69620),
+	.B(n_52455),
+	.Y(n_69623), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832557 (
+	.A(n_69555),
+	.B(n_69633),
+	.Y(n_69436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832558 (
+	.A(n_69632),
+	.B(n_54732),
+	.Y(n_69633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g832559 (
+	.A1_N(n_61720),
+	.A2_N(n_49576),
+	.B1(n_58961),
+	.B2(n_70521),
+	.Y(n_69632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832560 (
+	.A(n_69636),
+	.B(n_68592),
+	.Y(n_69637), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832561 (
+	.A(n_69635),
+	.B(n_52455),
+	.Y(n_69636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832562 (
+	.A(n_53122),
+	.B(n_53121),
+	.Y(n_69635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832563 (
+	.A(n_69635),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_69638), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832567 (
+	.A(n_26459),
+	.B(n_64745),
+	.Y(n_69640), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832568 (
+	.A(n_69649),
+	.Y(n_69650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832569 (
+	.A(n_69644),
+	.B(n_69648),
+	.Y(n_69649), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832571 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_522 ),
+	.B(n_70165),
+	.Y(n_69644), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832573 (
+	.A(n_65952),
+	.B(n_75245),
+	.Y(n_69648), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832575 (
+	.A(n_69644),
+	.B(n_75245),
+	.C(FE_DBTN41_n_55284),
+	.Y(n_69651), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832576 (
+	.A(n_69644),
+	.B(n_55284),
+	.Y(n_69653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832577 (
+	.A(n_69655),
+	.B(n_65405),
+	.Y(n_69656), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832578 (
+	.A(n_69654),
+	.B(n_65765),
+	.Y(n_69655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832579 (
+	.A(FE_DBTN15_n_65952),
+	.B(n_35162),
+	.Y(n_69654), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832580 (
+	.A(FE_DBTN9_n_69283),
+	.B(n_69655),
+	.Y(n_69657), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832581 (
+	.A(n_69659),
+	.B(n_75245),
+	.Y(n_69660), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832582 (
+	.A(n_69658),
+	.B(n_69271),
+	.Y(n_69659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832583 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_831 ),
+	.B(n_54651),
+	.Y(n_69658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832584 (
+	.A(n_69659),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_69661), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832585 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1963 ),
+	.B(n_74600),
+	.Y(n_69665), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832596 (
+	.A(n_69673),
+	.B(n_41158),
+	.Y(n_69674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832597 (
+	.A(n_34496),
+	.B(n_74852),
+	.Y(n_69673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832599 (
+	.A(n_69677),
+	.B(n_66713),
+	.Y(n_69678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832600 (
+	.A(n_69676),
+	.B(n_19051),
+	.Y(n_69677), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832601 (
+	.A(n_36765),
+	.B(n_24401),
+	.Y(n_69676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_1 g832602 (
+	.A0(n_69676),
+	.A1(soc_top_u_top_u_core_rf_wdata_fwd_wb[28]),
+	.S(n_1254),
+	.X(n_69679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g177 (
+	.A(n_69681),
+	.B(n_69682),
+	.Y(n_69683), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g181 (
+	.A(soc_top_data_rdata[7]),
+	.B(n_39015),
+	.Y(n_69681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2111a_1 g178 (
+	.A1(n_48346),
+	.A2(n_23531),
+	.B1(n_19267),
+	.C1(n_19269),
+	.D1(n_20738),
+	.X(n_69682), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g182 (
+	.A(n_56310),
+	.B(n_19106),
+	.Y(n_69684), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832604 (
+	.A(n_69688),
+	.B(n_56309),
+	.Y(n_69689), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832605 (
+	.A(n_69686),
+	.B(n_69687),
+	.Y(n_69688), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832606 (
+	.A(n_73994),
+	.B(n_69397),
+	.Y(n_69686), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g832608 (
+	.A1(n_69681),
+	.A2(n_69682),
+	.B1(n_69684),
+	.Y(n_69687), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832609 (
+	.A(n_38196),
+	.B(n_69682),
+	.Y(n_69690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g205 (
+	.A(soc_top_u_top_u_core_id_stage_i_n_609),
+	.B(n_23177),
+	.Y(n_69521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 g832610 (
+	.A(n_69521),
+	.Y(n_69692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g832613 (
+	.A1(n_69693),
+	.A2(n_69521),
+	.B1(n_72807),
+	.X(n_69695), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g832614 (
+	.A(n_72802),
+	.B(n_19051),
+	.X(n_69693), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g198 (
+	.A(n_50588),
+	.B(n_69698),
+	.Y(n_69699), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g832615 (
+	.A(n_69692),
+	.B(n_19051),
+	.X(n_69698), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832616 (
+	.A(n_69695),
+	.B(n_69699),
+	.Y(n_69701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g832619 (
+	.A1(n_47546),
+	.A2(n_74592),
+	.B1(n_16721),
+	.B2(n_16556),
+	.X(n_69704), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g832620 (
+	.A1(n_69693),
+	.A2(n_34198),
+	.B1(n_15912),
+	.X(n_69705), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 g428 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.A2(n_69717),
+	.B1(n_30173),
+	.Y(n_69718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832621 (
+	.A(n_69716),
+	.Y(n_69717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832622 (
+	.A(n_74049),
+	.B(n_74050),
+	.C(n_74593),
+	.Y(n_69716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g832628 (
+	.A1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[30]),
+	.A2(n_19106),
+	.B1(soc_top_u_top_u_core_load_store_unit_i_rdata_q[14]),
+	.B2(n_19184),
+	.C1(n_19234),
+	.C2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[22]),
+	.Y(n_69710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832634 (
+	.A(n_69727),
+	.Y(n_69728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g832635 (
+	.A(n_69721),
+	.B(n_69726),
+	.Y(n_69727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g168 (
+	.A(n_47027),
+	.Y(n_69721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832636 (
+	.A(n_69723),
+	.B(n_69725),
+	.Y(n_69726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g158 (
+	.A(n_69722),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2775 ),
+	.Y(n_69723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g169 (
+	.A(n_67632),
+	.Y(n_69722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832637 (
+	.A(n_67632),
+	.B(n_69724),
+	.Y(n_69725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832638 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2775 ),
+	.Y(n_69724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832640 (
+	.A_N(n_69733),
+	.B(n_69734),
+	.Y(n_69735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832641 (
+	.A(n_69724),
+	.B(n_69722),
+	.Y(n_69733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832642 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2775 ),
+	.A2(n_67632),
+	.B1(n_47027),
+	.Y(n_69734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832663 (
+	.A(n_69759),
+	.B(n_69760),
+	.Y(n_69761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g245 (
+	.A(n_73286),
+	.B(n_69975),
+	.C(n_52160),
+	.Y(n_69759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g254 (
+	.A(FE_DBTN66_n_35437),
+	.B(n_55282),
+	.Y(n_69760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g241 (
+	.A(n_69759),
+	.B(n_69768),
+	.Y(n_69771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt264 (
+	.A(n_69759),
+	.Y(n_69765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt267 (
+	.A(n_69768),
+	.Y(n_69769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832664 (
+	.A(n_69767),
+	.B(n_73435),
+	.Y(n_69768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832665 (
+	.A(n_69975),
+	.B(n_52160),
+	.Y(n_69767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g832666 (
+	.A(n_69765),
+	.B(n_70049),
+	.Y(n_69772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 g832667 (
+	.A1(n_74804),
+	.A2(addinc_ADD_UNS_OP_2_n_831),
+	.B1(n_69765),
+	.B2(n_74743),
+	.C1(n_69768),
+	.Y(n_69773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g247 (
+	.A(n_55279),
+	.B(n_69760),
+	.Y(n_69774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832668 (
+	.A(n_69775),
+	.B(n_55279),
+	.Y(n_69776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g242 (
+	.A(n_69769),
+	.B(n_69760),
+	.Y(n_69775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g246 (
+	.A(n_69767),
+	.B(n_73286),
+	.Y(n_69778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g243 (
+	.A(n_73435),
+	.B(n_69780),
+	.Y(n_69781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832669 (
+	.A(n_69767),
+	.Y(n_69780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832678 (
+	.A(n_69798),
+	.B(n_69727),
+	.Y(n_69799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832679 (
+	.A(n_69790),
+	.B(n_69793),
+	.C(n_69797),
+	.Y(n_69798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832680 (
+	.A(n_49079),
+	.B(n_49080),
+	.Y(n_69790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832681 (
+	.A(n_69791),
+	.B(n_69792),
+	.Y(n_69793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832682 (
+	.A(n_70548),
+	.B(n_48670),
+	.Y(n_69791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832683 (
+	.A(n_46547),
+	.B(n_17828),
+	.Y(n_69792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832684 (
+	.A(n_74596),
+	.Y(n_69797), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832688 (
+	.A(n_69793),
+	.B(n_69790),
+	.Y(n_69800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832689 (
+	.A(n_69727),
+	.B(n_69797),
+	.Y(n_69801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832690 (
+	.A(n_69800),
+	.B(n_74596),
+	.Y(n_69802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832691 (
+	.A(n_69728),
+	.B(n_74596),
+	.Y(n_69803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832692 (
+	.A(n_74615),
+	.B(n_69815),
+	.Y(n_69816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g832694 (
+	.A1(n_44401),
+	.A2(n_66773),
+	.B1(n_69805),
+	.X(n_69806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g754 (
+	.A(n_69804),
+	.Y(n_69805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g832695 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2983 ),
+	.A2(n_33146),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_702 ),
+	.B2(n_58554),
+	.X(n_69804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g747 (
+	.A(n_44401),
+	.B(n_66773),
+	.C(n_69805),
+	.Y(n_69807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832696 (
+	.A(n_69810),
+	.B(n_69811),
+	.Y(n_69812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_1 g753 (
+	.A1(n_38316),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2905 ),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ),
+	.Y(n_69810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832698 (
+	.A(n_38316),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2905 ),
+	.Y(n_69811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832699 (
+	.A(n_69806),
+	.B(n_69807),
+	.C(n_69812),
+	.Y(n_69815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g730 (
+	.A1(n_69820),
+	.A2(n_68041),
+	.B1(n_69821),
+	.Y(n_68653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g732 (
+	.A(n_69816),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2840 ),
+	.Y(n_69820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g736 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2840 ),
+	.B(n_69816),
+	.Y(n_69821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g743 (
+	.A(n_69824),
+	.B(n_69812),
+	.Y(n_69825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832701 (
+	.A(n_69823),
+	.B(n_69805),
+	.Y(n_69824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g757 (
+	.A(n_44401),
+	.B(n_66773),
+	.Y(n_69823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g832702 (
+	.A_N(n_69831),
+	.B(n_69832),
+	.Y(n_69833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g832703 (
+	.A1(n_69828),
+	.A2(n_69830),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ),
+	.Y(n_69831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832704 (
+	.A(n_69827),
+	.B(n_50465),
+	.Y(n_69828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g745 (
+	.A(n_69826),
+	.Y(n_69827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fahcin_1 g763 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1171 ),
+	.B(n_38316),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2905 ),
+	.COUT(UNCONNECTED322),
+	.SUM(n_69826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832705 (
+	.A(n_69829),
+	.B(n_69826),
+	.Y(n_69830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832706 (
+	.A(n_50465),
+	.Y(n_69829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g832707 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1830 ),
+	.B(n_69828),
+	.C(n_69830),
+	.Y(n_69832), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832708 (
+	.A(n_66773),
+	.B(n_44401),
+	.C(n_69804),
+	.Y(n_69834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832711 (
+	.A(n_68750),
+	.B(n_52455),
+	.Y(n_69835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g251 (
+	.A(FE_DBTN43_n_52455),
+	.B(n_75245),
+	.Y(n_69836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832713 (
+	.A(n_54271),
+	.B(n_52389),
+	.Y(n_69839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832715 (
+	.A(n_69843),
+	.B(n_69846),
+	.Y(n_69847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832716 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1172 ),
+	.B(n_70615),
+	.Y(n_69843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832717 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1173 ),
+	.B(n_68721),
+	.Y(n_69846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g231 (
+	.A(n_69903),
+	.B(n_69904),
+	.Y(n_69905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832745 (
+	.A(n_69902),
+	.B(n_69897),
+	.Y(n_69903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832746 (
+	.A(n_69896),
+	.Y(n_69897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832747 (
+	.A(n_69894),
+	.B(n_69895),
+	.Y(n_69896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832748 (
+	.A(n_53847),
+	.B(n_69893),
+	.Y(n_69894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832750 (
+	.A(n_53851),
+	.Y(n_69893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832751 (
+	.A(n_54226),
+	.B(n_53851),
+	.Y(n_69895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832752 (
+	.A(n_69901),
+	.Y(n_69902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832753 (
+	.A(n_73874),
+	.B(n_69900),
+	.Y(n_69901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832756 (
+	.A(n_54221),
+	.B(n_54779),
+	.Y(n_69900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g233 (
+	.A(n_69901),
+	.B(n_69896),
+	.Y(n_69904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832759 (
+	.A(n_69900),
+	.B(n_73874),
+	.C(n_53847),
+	.Y(n_69908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832765 (
+	.A(n_69912),
+	.Y(n_69913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832766 (
+	.A(n_70603),
+	.B(n_53814),
+	.Y(n_69912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832767 (
+	.A1(n_70209),
+	.A2(n_69917),
+	.B1(n_52131),
+	.Y(n_69918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832769 (
+	.A(n_66920),
+	.Y(n_69917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g187 (
+	.A(n_70205),
+	.B(n_68405),
+	.Y(n_69923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832772 (
+	.A(n_57964),
+	.B(n_57963),
+	.C(n_69928),
+	.Y(n_69929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832773 (
+	.A(n_69926),
+	.B(n_69927),
+	.Y(n_69928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832774 (
+	.A(n_69924),
+	.B(n_69925),
+	.Y(n_69926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832775 (
+	.A(n_68015),
+	.B(n_58060),
+	.Y(n_69924), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832776 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_11),
+	.B(n_58543),
+	.Y(n_69925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832777 (
+	.A(n_33311),
+	.B(n_37970),
+	.Y(n_69927), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832778 (
+	.A(n_43441),
+	.B(n_69928),
+	.Y(n_69930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g107 (
+	.A(n_69928),
+	.B(n_74053),
+	.Y(n_69933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832781 (
+	.A(n_69934),
+	.B(n_69938),
+	.Y(n_69939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832782 (
+	.A(n_67763),
+	.B(n_69090),
+	.Y(n_69934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g94 (
+	.A(n_69936),
+	.B(n_69937),
+	.Y(n_69938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832783 (
+	.A(n_57902),
+	.B(n_57903),
+	.C(n_74011),
+	.Y(n_69936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g832785 (
+	.A(n_68081),
+	.B(n_74725),
+	.C(n_68084),
+	.X(n_69937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g832786 (
+	.A1_N(n_69940),
+	.A2_N(n_67763),
+	.B1(n_69940),
+	.B2(n_67763),
+	.Y(n_69941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g832787 (
+	.A(n_69937),
+	.Y(n_69940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832811 (
+	.A(n_52317),
+	.B(n_54416),
+	.Y(n_69971), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832812 (
+	.A(n_56007),
+	.Y(n_69972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832813 (
+	.A(n_49248),
+	.Y(n_69973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832814 (
+	.A(n_69974),
+	.B(n_67695),
+	.Y(n_69975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832815 (
+	.A(n_69972),
+	.B(n_69971),
+	.C(n_69973),
+	.Y(n_69974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832818 (
+	.A(n_69979),
+	.B(n_68500),
+	.Y(n_69980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832819 (
+	.A(n_73428),
+	.B(n_73429),
+	.C(n_69978),
+	.Y(n_69979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832820 (
+	.A(n_55073),
+	.Y(n_69978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g832821 (
+	.A(n_53998),
+	.Y(n_69981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832822 (
+	.A(n_52314),
+	.B(FE_DBTN64_n_50136),
+	.Y(n_69982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832823 (
+	.A(n_63966),
+	.B(n_53516),
+	.C(n_44490),
+	.Y(n_69983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g832825 (
+	.A_N(n_69981),
+	.B(n_69983),
+	.C(n_69982),
+	.Y(n_69984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g832826 (
+	.A(n_69984),
+	.Y(n_69986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832836 (
+	.A(n_17705),
+	.B(n_74037),
+	.Y(n_69996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g832837 (
+	.A(n_69236),
+	.Y(n_69997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832838 (
+	.A(n_52168),
+	.B(n_69998),
+	.Y(n_69999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832839 (
+	.A(n_69997),
+	.B(n_69996),
+	.Y(n_69998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832840 (
+	.A(n_56033),
+	.B(n_43090),
+	.Y(n_70000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832841 (
+	.A(n_51619),
+	.B(n_54214),
+	.Y(n_70001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832842 (
+	.A(n_70002),
+	.Y(n_70003), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832843 (
+	.A(n_70001),
+	.B(n_70000),
+	.Y(n_70002), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832844 (
+	.A1(n_74887),
+	.A2(n_70091),
+	.B1(n_18050),
+	.Y(n_70004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832845 (
+	.A(n_18671),
+	.B(n_18672),
+	.C(n_70006),
+	.Y(n_70007), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g832846 (
+	.A(n_70005),
+	.B(n_70004),
+	.Y(n_70006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832847 (
+	.A(n_58679),
+	.B(n_17978),
+	.Y(n_70005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt832866 (
+	.A(n_49744),
+	.Y(n_70029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832868 (
+	.A(n_14102),
+	.Y(n_70032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832869 (
+	.A(n_55327),
+	.Y(n_70034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt832873 (
+	.A(n_50874),
+	.Y(n_70038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832875 (
+	.A(n_69908),
+	.B(n_53851),
+	.Y(n_70042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832876 (
+	.A(n_69901),
+	.B(n_54226),
+	.Y(n_70043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832877 (
+	.A(n_52159),
+	.B(n_50771),
+	.Y(n_70044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832878 (
+	.A(n_51785),
+	.B(n_50772),
+	.Y(n_70045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g832879 (
+	.A(n_70046),
+	.B(n_74738),
+	.Y(n_70048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g832881 (
+	.A(n_70042),
+	.B(n_70043),
+	.C(n_70044),
+	.D(n_70045),
+	.Y(n_70046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 addinc_ADD_UNS_OP_2_g832882 (
+	.A(n_55293),
+	.B(n_70046),
+	.Y(n_70049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g832884 (
+	.A(n_56694),
+	.B(n_56695),
+	.Y(n_70051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832889 (
+	.A(n_38764),
+	.B(n_73607),
+	.Y(n_70056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832890 (
+	.A(n_34609),
+	.B(n_73607),
+	.Y(n_70057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g832891 (
+	.A1(n_13722),
+	.A2(n_56699),
+	.B1(n_38402),
+	.C1(n_73607),
+	.Y(n_70058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832892 (
+	.A(n_65116),
+	.B(n_73607),
+	.Y(n_70059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832893 (
+	.A(n_34616),
+	.B(n_73607),
+	.Y(n_70060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832894 (
+	.A(n_73607),
+	.B(n_66712),
+	.Y(n_70061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832895 (
+	.A(n_73607),
+	.B(n_58952),
+	.C(n_59186),
+	.Y(n_70062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832896 (
+	.A(n_73607),
+	.B(n_58691),
+	.C(n_58692),
+	.Y(n_70063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832897 (
+	.A(n_43794),
+	.B(n_73607),
+	.Y(n_70064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832898 (
+	.A(n_73607),
+	.B(n_38418),
+	.Y(n_70065), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832899 (
+	.A(n_35769),
+	.B(n_73607),
+	.Y(n_70066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832900 (
+	.A(n_31096),
+	.B(n_73607),
+	.Y(n_70067), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832901 (
+	.A(n_65115),
+	.B(n_73607),
+	.Y(n_70069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832903 (
+	.A(n_13837),
+	.B(n_73607),
+	.Y(n_70070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832905 (
+	.A(n_13847),
+	.B(n_73607),
+	.Y(n_70072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832906 (
+	.A(n_13858),
+	.B(n_73607),
+	.Y(n_70073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832908 (
+	.A(n_13836),
+	.B(n_73607),
+	.Y(n_70075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832909 (
+	.A(n_13840),
+	.B(n_73607),
+	.Y(n_70076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832912 (
+	.A(n_68626),
+	.B(n_73607),
+	.Y(n_70079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832913 (
+	.A(n_49299),
+	.B(n_73607),
+	.Y(n_70080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832914 (
+	.A(n_54537),
+	.B(n_73607),
+	.Y(n_70081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832915 (
+	.A(n_70783),
+	.B(n_73607),
+	.Y(n_70082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832916 (
+	.A(n_13852),
+	.B(n_73607),
+	.Y(n_70083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g832917 (
+	.A(n_73607),
+	.B(n_34140),
+	.C(n_34144),
+	.Y(n_70084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832918 (
+	.A(n_38251),
+	.B(n_73607),
+	.Y(n_70085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor3_1 g832919 (
+	.A(n_52069),
+	.B(n_46547),
+	.C(n_70089),
+	.Y(n_70091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832921 (
+	.A(n_70086),
+	.B(n_70087),
+	.C(n_70088),
+	.Y(n_70089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832922 (
+	.A(n_17548),
+	.B(n_56874),
+	.Y(n_70086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g832923 (
+	.A(FE_DBTN83_n_69234),
+	.B(FE_DBTN12_n_68911),
+	.C(n_66289),
+	.D(FE_DBTN71_n_69235),
+	.Y(n_70087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832924 (
+	.A(n_17758),
+	.B(FE_DBTN81_n_17561),
+	.Y(n_70088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832926 (
+	.A(FE_DBTN49_n_72075),
+	.B(n_70089),
+	.Y(n_70093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832927 (
+	.A(n_70089),
+	.B(n_58119),
+	.Y(n_70094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832932 (
+	.A(n_69436),
+	.B(FE_DBTN63_n_56699),
+	.Y(n_70096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt832933 (
+	.A(n_59209),
+	.Y(n_70097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g832934  (
+	.A(n_70073),
+	.B(n_73629),
+	.Y(n_70101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g832953 (
+	.A1(FE_DBTN58_n_48855),
+	.A2(n_45712),
+	.B1_N(n_70121),
+	.X(n_70123), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832955 (
+	.A(n_70120),
+	.B(n_71345),
+	.Y(n_70121), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g832956 (
+	.A(n_55875),
+	.B(n_55876),
+	.C(n_55877),
+	.Y(n_70120), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g832958 (
+	.A1(n_70121),
+	.A2(addinc_ADD_UNS_OP_2_n_327),
+	.B1_N(n_39698),
+	.X(n_70125), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g832961 (
+	.A(n_70126),
+	.Y(n_70127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g832962 (
+	.A(n_70972),
+	.B(n_70973),
+	.C(n_70974),
+	.D(n_70975),
+	.Y(n_70126), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832963 (
+	.A(n_70127),
+	.B(n_19051),
+	.Y(n_70130), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832964 (
+	.A(n_70127),
+	.B(n_36838),
+	.Y(n_70131), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g832965 (
+	.A(n_36765),
+	.B(n_70127),
+	.Y(n_70132), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g832972 (
+	.A1(n_16484),
+	.A2(n_16595),
+	.B1(n_16556),
+	.Y(n_70139), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g832973 (
+	.A(n_56063),
+	.B(n_47546),
+	.Y(n_70140), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g832974 (
+	.A(n_70141),
+	.Y(n_70142), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832975 (
+	.A(n_70139),
+	.B(n_70140),
+	.Y(n_70141), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g832976 (
+	.A1(n_40828),
+	.A2(n_70141),
+	.B1(n_57260),
+	.Y(n_70144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832978 (
+	.A(n_70148),
+	.B(n_69409),
+	.Y(n_70149), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g832979 (
+	.A(n_70145),
+	.B(n_70146),
+	.C(n_55164),
+	.Y(n_70148), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832980 (
+	.A(n_52672),
+	.B(n_35444),
+	.Y(n_70145), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832981 (
+	.A(FE_DBTN51_n_52672),
+	.B(n_52671),
+	.Y(n_70146), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g832983 (
+	.A(n_70148),
+	.B(n_58104),
+	.Y(n_70150), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g832989  (
+	.A(n_53439),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_70157), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_2 g832992 (
+	.A1(FE_DBTN93_n_74852),
+	.A2(n_70160),
+	.B1(n_74852),
+	.B2(n_70162),
+	.X(n_70163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g832994 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_845),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_479),
+	.Y(n_70160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 addinc_ADD_UNS_OP_2_g832996 (
+	.A(addinc_ADD_UNS_OP_2_n_603),
+	.B(n_68552),
+	.Y(n_70162), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g832997 (
+	.A(FE_DBTN69_n_65405),
+	.B(n_70163),
+	.Y(n_70165), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g832999  (
+	.A(n_65405),
+	.B(n_70163),
+	.Y(n_70166), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833000  (
+	.A(n_65952),
+	.B(n_70163),
+	.Y(n_70167), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833001  (
+	.A(n_70779),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_70171), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833004  (
+	.A(n_34805),
+	.B(FE_DBTN9_n_69283),
+	.Y(n_70169), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833005  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1173 ),
+	.B(n_70779),
+	.Y(n_70173), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g833008  (
+	.A(n_70177),
+	.B(n_70072),
+	.Y(n_70178), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g833009 (
+	.A(n_73607),
+	.B(n_70175),
+	.C(n_70176),
+	.Y(n_70177), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt833010 (
+	.A(n_33519),
+	.Y(n_70175), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g833011 (
+	.A1(n_12832),
+	.A2(n_68623),
+	.B1(n_69640),
+	.X(n_70176), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g833014 (
+	.A_N(n_70177),
+	.B(n_50476),
+	.Y(n_70181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g833031 (
+	.A(n_70231),
+	.B(n_49833),
+	.C(n_66342),
+	.Y(n_70199), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833038 (
+	.A(n_68403),
+	.B(n_52265),
+	.Y(n_70205), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt833039 (
+	.A(n_70207),
+	.Y(n_70209), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833041 (
+	.A(n_74872),
+	.B(n_70205),
+	.Y(n_70207), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833043 (
+	.A(n_70207),
+	.B(n_69913),
+	.Y(n_70210), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833049 (
+	.A(n_51309),
+	.B(n_54235),
+	.Y(n_70216), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833050 (
+	.A(n_70218),
+	.B(n_75237),
+	.Y(n_70219), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833051 (
+	.A(n_70216),
+	.B(n_70217),
+	.Y(n_70218), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g833052 (
+	.A(n_35732),
+	.Y(n_70217), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g833053 (
+	.A(n_70218),
+	.Y(n_70221), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833055 (
+	.A(n_70218),
+	.B(n_47620),
+	.Y(n_70222), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833056 (
+	.A(n_70218),
+	.B(n_47620),
+	.Y(n_70223), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833057 (
+	.A(n_70224),
+	.B(n_70225),
+	.Y(n_70226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833058 (
+	.A(n_70592),
+	.B(FE_DBTN93_n_74852),
+	.Y(n_70224), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833059 (
+	.A(n_74852),
+	.B(n_49057),
+	.Y(n_70225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g833062 (
+	.A1(n_70231),
+	.A2(n_50852),
+	.B1(n_67907),
+	.X(n_70232), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g833063 (
+	.A(FE_DBTN58_n_48855),
+	.B(n_70230),
+	.Y(n_70231), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833065 (
+	.A(addinc_ADD_UNS_OP_2_n_1098),
+	.B(n_48078),
+	.Y(n_70230), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g833066 (
+	.A1(n_70231),
+	.A2(addinc_ADD_UNS_OP_2_n_1010),
+	.B1(addinc_ADD_UNS_OP_2_n_1152),
+	.X(n_70233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g833067 (
+	.A(n_58647),
+	.B(n_70231),
+	.C(n_46372),
+	.Y(n_70234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g833068 (
+	.A(n_70231),
+	.B(FE_DBTN45_n_51788),
+	.Y(n_70235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833069 (
+	.A(n_66382),
+	.B(n_70231),
+	.Y(n_70236), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833071 (
+	.A(n_70231),
+	.B(n_66342),
+	.Y(n_70238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833072 (
+	.A(n_70231),
+	.B(n_45725),
+	.Y(n_70240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833074 (
+	.A(n_70231),
+	.B(n_51802),
+	.Y(n_70241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g833075 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1028),
+	.B(n_70231),
+	.Y(n_70242), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g833076 (
+	.A_N(n_46470),
+	.B(n_70231),
+	.Y(n_70243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 addinc_ADD_UNS_OP_2_g833077 (
+	.A_N(addinc_ADD_UNS_OP_2_n_1021),
+	.B(n_70231),
+	.Y(n_70244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g833078 (
+	.A1(n_70231),
+	.A2(FE_DBTN105_n_49226),
+	.B1_N(addinc_ADD_UNS_OP_2_n_1069),
+	.X(n_70245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 addinc_ADD_UNS_OP_2_g833079 (
+	.A1(n_70231),
+	.A2(n_48789),
+	.B1(n_48788),
+	.X(n_70246), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g833080 (
+	.A1(n_70231),
+	.A2(n_66607),
+	.B1(addinc_ADD_UNS_OP_2_n_1828),
+	.Y(n_70247), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g833082 (
+	.A1(n_70231),
+	.A2(n_48885),
+	.B1(n_72071),
+	.Y(n_70249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g833084 (
+	.A1(n_70231),
+	.A2(n_56857),
+	.B1_N(n_55883),
+	.X(n_70251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833085  (
+	.A(n_70254),
+	.B(n_52455),
+	.Y(n_70255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833086 (
+	.A(n_70252),
+	.B(n_70253),
+	.Y(n_70254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833087 (
+	.A(n_57420),
+	.B(n_75245),
+	.Y(n_70252), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833088 (
+	.A(n_69422),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_70253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833089  (
+	.A(n_70254),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_70257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833091 (
+	.A(n_49401),
+	.B(n_50725),
+	.Y(n_70258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833092 (
+	.A(n_57383),
+	.B(n_57384),
+	.Y(n_70259), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g833093 (
+	.A(n_71030),
+	.B(n_70260),
+	.Y(n_70261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 addinc_ADD_UNS_OP_2_g833094 (
+	.A(n_70258),
+	.B(n_70259),
+	.Y(n_70260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt833095 (
+	.A(n_70260),
+	.Y(n_70263), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833097 (
+	.A(n_69799),
+	.B(n_69802),
+	.Y(n_70264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g833099 (
+	.A(n_70267),
+	.B(n_59277),
+	.X(n_70268), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833102 (
+	.A(n_70267),
+	.B(n_59277),
+	.Y(n_70269), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833104 (
+	.A(n_55284),
+	.B(n_69011),
+	.Y(n_70272), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833107  (
+	.A(n_55284),
+	.B(n_71352),
+	.Y(n_70274), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833108  (
+	.A(n_55284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.Y(n_70275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833109  (
+	.A(n_55284),
+	.B(n_40079),
+	.Y(n_70276), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833110  (
+	.A(n_55284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [26]),
+	.Y(n_70277), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833111  (
+	.A(n_55284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [40]),
+	.Y(n_70278), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833112  (
+	.A(n_55284),
+	.B(n_74856),
+	.Y(n_70279), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833113  (
+	.A(n_55284),
+	.B(n_74877),
+	.Y(n_70280), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833114  (
+	.A(n_55284),
+	.B(n_69674),
+	.Y(n_70281), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833115  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_825 ),
+	.B(n_55284),
+	.Y(n_70283), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833117  (
+	.A(n_55284),
+	.B(n_33556),
+	.Y(n_70284), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833119 (
+	.A(n_55284),
+	.B(n_47877),
+	.Y(n_70286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833120 (
+	.A(n_55284),
+	.B(n_50467),
+	.Y(n_70287), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833121 (
+	.A(n_55284),
+	.B(n_50938),
+	.Y(n_70288), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833122 (
+	.A(n_55284),
+	.B(n_51401),
+	.Y(n_70289), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833127  (
+	.A(n_65952),
+	.B(n_53274),
+	.Y(n_70294), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833132  (
+	.A(n_65952),
+	.B(n_25029),
+	.Y(n_70299), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833133  (
+	.A(n_65952),
+	.B(n_30591),
+	.Y(n_70300), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833135  (
+	.A(n_65952),
+	.B(n_25025),
+	.Y(n_70302), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833140 (
+	.A(n_61589),
+	.B(n_61591),
+	.Y(n_70305), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g833141 (
+	.A(n_70064),
+	.B(n_73668),
+	.X(n_70309), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g833143  (
+	.A(n_73668),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_160 ),
+	.Y(n_70310), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833146  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_74856),
+	.Y(n_70314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833150  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_41551),
+	.Y(n_70317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833151  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_30591),
+	.Y(n_70318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833152  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_30595),
+	.Y(n_70319), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833153  (
+	.A(FE_DBTN69_n_65405),
+	.B(n_30602),
+	.Y(n_70320), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833154 (
+	.A(n_47830),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_70321), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833157 (
+	.A(n_54971),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_70324), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833359 (
+	.A(n_46961),
+	.B(n_54774),
+	.Y(n_70508), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833364 (
+	.A(n_70508),
+	.B(n_74882),
+	.Y(n_70513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833368  (
+	.A(n_70319),
+	.B(n_70956),
+	.Y(n_70516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g833369 (
+	.A1(n_74597),
+	.A2(n_75245),
+	.B1(n_74470),
+	.B2(FE_DBTN6_n_75245),
+	.X(n_70520), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833373  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1156 ),
+	.A2(n_75245),
+	.B1(n_74597),
+	.B2(FE_DBTN6_n_75245),
+	.Y(n_70521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g833395 (
+	.A1(n_17586),
+	.A2(n_52348),
+	.B1(n_41130),
+	.C1(n_75243),
+	.X(n_70542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g833396 (
+	.A(n_68549),
+	.B(n_29420),
+	.C(n_70543),
+	.Y(n_70544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g833397 (
+	.A(n_74598),
+	.B(n_70542),
+	.X(n_70543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g833400 (
+	.A(n_70548),
+	.B(n_74599),
+	.C(n_69040),
+	.Y(n_70559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g833402 (
+	.A(n_68502),
+	.B(n_57730),
+	.C(n_44490),
+	.D(n_69383),
+	.Y(n_70548), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt833407 (
+	.A(n_70552),
+	.Y(n_70553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g833408 (
+	.A(n_69383),
+	.B(n_68502),
+	.C(n_57730),
+	.Y(n_70552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt833410 (
+	.A(n_69040),
+	.Y(n_69035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833411 (
+	.A(n_51711),
+	.B(n_44490),
+	.Y(n_69040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g833413 (
+	.A(n_70561),
+	.Y(n_70562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833414 (
+	.A(n_54065),
+	.B(n_66395),
+	.Y(n_70561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g833430 (
+	.A(n_46484),
+	.B(n_54908),
+	.C(n_53960),
+	.D(n_53964),
+	.Y(n_70576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt833431 (
+	.A(n_70577),
+	.Y(n_70578), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833432 (
+	.A(n_50798),
+	.B(n_32098),
+	.Y(n_70577), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833434 (
+	.A(n_46484),
+	.B(n_54908),
+	.Y(n_70580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833435 (
+	.A(n_53964),
+	.B(n_53960),
+	.Y(n_70581), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g833437 (
+	.A1_N(n_70585),
+	.A2_N(n_70591),
+	.B1(n_70585),
+	.B2(n_70591),
+	.Y(n_70592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_2 g833438 (
+	.A1_N(n_45253),
+	.A2_N(n_74712),
+	.B1(n_45253),
+	.B2(n_74712),
+	.Y(n_70585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833439 (
+	.A(n_70588),
+	.B(n_70590),
+	.Y(n_70591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833440 (
+	.A(n_70586),
+	.B(n_70587),
+	.Y(n_70588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833441 (
+	.A(n_50422),
+	.B(n_46800),
+	.Y(n_70586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g833442 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_548),
+	.B(n_74807),
+	.C(n_51225),
+	.X(n_70587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g833443 (
+	.A(n_70589),
+	.Y(n_70590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833444 (
+	.A(n_35490),
+	.B(n_66785),
+	.Y(n_70589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833445 (
+	.A(n_70596),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1278 ),
+	.Y(n_70597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g833446 (
+	.A1(n_49813),
+	.A2(n_70594),
+	.B1(n_75245),
+	.Y(n_70596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833447 (
+	.A(n_70593),
+	.B(n_49811),
+	.Y(n_70594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833448 (
+	.A(FE_DBTN17_n_70953),
+	.B(n_30597),
+	.Y(n_70593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g833450 (
+	.A(n_49813),
+	.B(n_70594),
+	.Y(n_70598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g833451 (
+	.A(n_70602),
+	.B(n_53805),
+	.C(n_53802),
+	.Y(n_70603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833452 (
+	.A(n_70601),
+	.Y(n_70602), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833453 (
+	.A(n_70600),
+	.B(n_57332),
+	.Y(n_70601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833454 (
+	.A(n_70599),
+	.B(n_67732),
+	.Y(n_70600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g833455 (
+	.A(n_57329),
+	.B(n_50842),
+	.C(n_69296),
+	.Y(n_70599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g833456 (
+	.A(n_54664),
+	.B(n_70607),
+	.C(n_66813),
+	.Y(n_70608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833457 (
+	.A(n_70606),
+	.B(n_49592),
+	.Y(n_70607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833458 (
+	.A(n_70605),
+	.B(n_35699),
+	.Y(n_70606), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833459 (
+	.A(n_69419),
+	.B(n_70604),
+	.Y(n_70605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt833460 (
+	.A(n_55229),
+	.Y(n_70604), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833466 (
+	.A(n_70618),
+	.B(FE_DBTN60_n_58959),
+	.Y(n_70619), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g833467 (
+	.A(n_69835),
+	.B(n_70617),
+	.C(n_69839),
+	.Y(n_70618), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833468 (
+	.A(n_70615),
+	.B(FE_DBTN7_n_69836),
+	.Y(n_70617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833469 (
+	.A(n_70614),
+	.B(n_41417),
+	.Y(n_70615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833470 (
+	.A(n_35257),
+	.B(FE_DBTN41_n_55284),
+	.Y(n_70614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833472 (
+	.A(n_74054),
+	.B(n_74716),
+	.Y(n_70625), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833474 (
+	.A(n_18520),
+	.B(n_17853),
+	.Y(n_70620), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fah_1 g833476 (
+	.A(n_43312),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1722 ),
+	.CI(n_68088),
+	.COUT(n_70621),
+	.SUM(n_70629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833478 (
+	.A(n_70627),
+	.B(n_70620),
+	.Y(n_55753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833479 (
+	.A(n_70626),
+	.Y(n_70627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833480 (
+	.A(n_50762),
+	.B(n_17859),
+	.Y(n_70626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g833481 (
+	.A(n_73988),
+	.B(n_70635),
+	.C(n_70636),
+	.D(n_74055),
+	.Y(n_70639), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g833483 (
+	.A(n_47280),
+	.B_N(n_47282),
+	.Y(n_70631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833485 (
+	.A(n_70633),
+	.B(n_70634),
+	.Y(n_70635), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833486 (
+	.A(n_47282),
+	.B(n_49833),
+	.Y(n_70633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833487 (
+	.A(n_47464),
+	.B(n_67881),
+	.Y(n_70634), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g833488 (
+	.A(n_48973),
+	.B(n_49833),
+	.C(addinc_ADD_UNS_OP_2_n_532),
+	.Y(n_70636), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833547 (
+	.A(n_69317),
+	.Y(n_70754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833548 (
+	.A(n_50088),
+	.B(n_66883),
+	.Y(n_70755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833549 (
+	.A(n_70756),
+	.Y(n_70757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833550 (
+	.A(n_70755),
+	.B(n_70754),
+	.Y(n_70756), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833551 (
+	.A(n_70761),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_70762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g833552 (
+	.A(n_70758),
+	.B(n_70759),
+	.C(n_70760),
+	.Y(n_70761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833553  (
+	.A(n_53439),
+	.B(n_65952),
+	.Y(n_70758), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833554  (
+	.A(FE_DBTN17_n_70953),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.Y(n_70759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833555  (
+	.A(n_39061),
+	.B(n_53274),
+	.Y(n_70760), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833556 (
+	.A(n_75245),
+	.B(n_70761),
+	.Y(n_70763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833560  (
+	.A(n_70520),
+	.B(n_61720),
+	.Y(n_70765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833561  (
+	.A(n_50944),
+	.B(n_70962),
+	.Y(n_70768), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833563  (
+	.A(n_70770),
+	.B(n_73590),
+	.Y(n_70771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833564  (
+	.A(n_65952),
+	.B(n_70768),
+	.Y(n_70770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833565 (
+	.A(n_70774),
+	.B(n_65405),
+	.Y(n_70775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833566  (
+	.A(n_70772),
+	.B(n_70773),
+	.Y(n_70774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833567  (
+	.A(FE_DBTN41_n_55284),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [22]),
+	.Y(n_70772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833568  (
+	.A(n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [20]),
+	.Y(n_70773), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833570 (
+	.A(n_70778),
+	.B(n_70169),
+	.Y(n_70779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833571 (
+	.A(n_70777),
+	.B(n_65405),
+	.Y(n_70778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833572  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_222 ),
+	.A2(n_65952),
+	.B1(n_35855),
+	.Y(n_70777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g833573 (
+	.A(n_26461),
+	.Y(n_70780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833575 (
+	.A(n_70782),
+	.B(n_59192),
+	.Y(n_70783), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833576 (
+	.A(n_56699),
+	.B(n_70780),
+	.Y(n_70782), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833577  (
+	.A_N(n_75245),
+	.B(n_70786),
+	.Y(n_70787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833578 (
+	.A(n_70784),
+	.B(n_70785),
+	.Y(n_70786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833579  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_802 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_70784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833580 (
+	.A(n_44617),
+	.B(n_65952),
+	.Y(n_70785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833581 (
+	.A(n_70786),
+	.B(n_75245),
+	.Y(n_70788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833583  (
+	.A(n_70789),
+	.B(n_70790),
+	.Y(n_70791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833584  (
+	.A(FE_DBTN34_n_54651),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [12]),
+	.Y(n_70789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833585  (
+	.A(n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [11]),
+	.Y(n_70790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt833606 (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.Y(n_70813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833698  (
+	.A(n_70791),
+	.B(n_65952),
+	.Y(n_70937), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g833701 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_479 ),
+	.A2(n_65952),
+	.B1(n_65759),
+	.Y(n_70938), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833703  (
+	.A(n_65952),
+	.B(n_30593),
+	.Y(n_70940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833705 (
+	.A(n_65952),
+	.B(n_65405),
+	.Y(n_70942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833715  (
+	.A(n_65405),
+	.B(FE_DBTN41_n_55284),
+	.Y(n_70953), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833717 (
+	.A(n_65405),
+	.B(n_47877),
+	.Y(n_70954), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833718  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_742 ),
+	.B(n_65405),
+	.Y(n_70955), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833719  (
+	.A(n_65405),
+	.B(n_30596),
+	.Y(n_70956), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833720  (
+	.A(n_65405),
+	.B(n_30592),
+	.Y(n_70957), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833721  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_755 ),
+	.B(n_65405),
+	.Y(n_70959), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833724  (
+	.A(n_65405),
+	.B(n_30598),
+	.Y(n_70961), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833725  (
+	.A(n_65405),
+	.B(n_74787),
+	.Y(n_70962), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833726  (
+	.A(n_65405),
+	.B(n_33556),
+	.Y(n_70963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833727 (
+	.A(n_65405),
+	.B(n_56938),
+	.Y(n_70964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833735 (
+	.A(n_39692),
+	.B(n_48503),
+	.Y(n_70972), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833736 (
+	.A(n_69690),
+	.B(n_36671),
+	.Y(n_70973), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833737 (
+	.A(n_49750),
+	.B(n_49751),
+	.Y(n_70974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833738 (
+	.A(n_55083),
+	.B(n_55088),
+	.Y(n_70975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g833740 (
+	.A(n_70972),
+	.B(n_70973),
+	.C(n_70974),
+	.D(n_70975),
+	.Y(n_70976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833744 (
+	.A(n_67016),
+	.Y(n_70981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833745  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_749 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(n_45448),
+	.Y(n_70983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g833747 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_600 ),
+	.A2(n_67016),
+	.B1(n_66750),
+	.X(n_70984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833748  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_707 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_501 ),
+	.Y(n_70985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833749  (
+	.A1_N(n_67016),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_573 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_457 ),
+	.B2(n_65239),
+	.Y(n_70986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833750  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_707 ),
+	.Y(n_70987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833751  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_573 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_580 ),
+	.Y(n_70988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g833752 (
+	.A1(n_45448),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(n_45463),
+	.Y(n_70989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g833753 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_724 ),
+	.A2(n_67016),
+	.B1(n_66748),
+	.Y(n_70990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g833754 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_724 ),
+	.A2(n_67016),
+	.B1(n_66748),
+	.X(n_70992), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833756  (
+	.A1(n_45463),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_526 ),
+	.Y(n_70993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833757  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_501 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_745 ),
+	.Y(n_70994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833758  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_724 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_568 ),
+	.Y(n_70995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g833759 (
+	.A1(n_55824),
+	.A2(n_67016),
+	.B1(n_55826),
+	.Y(n_70996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833760  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_770 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_762 ),
+	.Y(n_70997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833761  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_568 ),
+	.A2(n_45458),
+	.B1(n_67016),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_620 ),
+	.Y(n_70998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833764 (
+	.A(n_70999),
+	.B(n_71000),
+	.Y(n_71001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833765 (
+	.A(n_25510),
+	.B(n_19051),
+	.Y(n_70999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g833766 (
+	.A(n_35312),
+	.Y(n_71000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833767 (
+	.A(n_67805),
+	.B(n_71001),
+	.Y(n_71004), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833768 (
+	.A(n_71001),
+	.B(n_69692),
+	.Y(n_71005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833769 (
+	.A(n_71001),
+	.B(n_47546),
+	.Y(n_71006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g833771 (
+	.A_N(FE_DBTN124_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_sum_39),
+	.B(FE_DBTN34_n_54651),
+	.C(n_55284),
+	.Y(n_71010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833780 (
+	.A(n_14467),
+	.B(n_34625),
+	.Y(n_71017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833782  (
+	.A(n_71017),
+	.Y(n_71018), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833783  (
+	.A(n_34627),
+	.B(n_71018),
+	.Y(n_71021), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g833785  (
+	.A(n_71018),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_71022), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g833792 (
+	.A(n_70259),
+	.B(n_70258),
+	.Y(n_71029), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 addinc_ADD_UNS_OP_2_g833793 (
+	.A(n_37967),
+	.B(n_33312),
+	.Y(n_71030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g833794 (
+	.A(n_37967),
+	.B(n_33312),
+	.Y(n_71031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g833797 (
+	.A1(n_71029),
+	.A2(n_71030),
+	.B1(n_71031),
+	.Y(n_71032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 addinc_ADD_UNS_OP_2_g833798 (
+	.A1(n_71032),
+	.A2(n_57432),
+	.B1(addinc_ADD_UNS_OP_2_n_678),
+	.Y(n_71035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 WALLACE_CSA_DUMMY_OP_groupi_g833799 (
+	.A(n_70264),
+	.B(n_71038),
+	.Y(n_70267), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833800 (
+	.A(n_71036),
+	.B(n_71037),
+	.Y(n_71038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833801  (
+	.A_N(n_74595),
+	.B(n_56000),
+	.Y(n_71036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833802 (
+	.A(n_55999),
+	.B(n_74595),
+	.Y(n_71037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833804 (
+	.A(n_71038),
+	.B(n_70264),
+	.Y(n_71041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g833805 (
+	.A(n_70264),
+	.B(n_71038),
+	.Y(n_71043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt833807 (
+	.A(n_71038),
+	.Y(n_71044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g833808 (
+	.A1(n_25322),
+	.A2(n_15624),
+	.B1(n_27438),
+	.B2(n_15362),
+	.Y(n_71045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g833810 (
+	.A_N(n_71047),
+	.B(n_68795),
+	.C(FE_DBTN35_n_54412),
+	.Y(n_71048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833811 (
+	.A(n_71045),
+	.B(n_74748),
+	.Y(n_71047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g833812 (
+	.A(n_71047),
+	.Y(n_71049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g833813 (
+	.A1(n_71047),
+	.A2(n_16092),
+	.B1(n_71051),
+	.X(n_71052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g833815 (
+	.A(n_68795),
+	.B(FE_DBTN28_n_73723),
+	.C(n_54412),
+	.D(n_71047),
+	.Y(n_71051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833816 (
+	.A(n_15826),
+	.B(n_71047),
+	.Y(n_71053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833817 (
+	.A(FE_DBTN45_n_51788),
+	.B(FE_DBTN29_n_55918),
+	.Y(n_71054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g833818 (
+	.A(n_48085),
+	.B(FE_DBTN24_n_71054),
+	.Y(n_71056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g833820 (
+	.A1(addinc_ADD_UNS_OP_2_n_1336),
+	.A2(FE_DBTN24_n_71054),
+	.B1_N(FE_DBTN26_n_69241),
+	.X(n_71058), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_4 g833822 (
+	.A1_N(n_72075),
+	.A2_N(n_18036),
+	.B1(n_72075),
+	.B2(n_70508),
+	.Y(n_71059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833823 (
+	.A(FE_DBTN4_n_71059),
+	.B(n_66409),
+	.Y(n_71061), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833825 (
+	.A(FE_DBTN4_n_71059),
+	.B(n_54005),
+	.Y(n_71063), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g833827 (
+	.A(n_17679),
+	.B(FE_DBTN4_n_71059),
+	.Y(n_71064), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g833830 (
+	.A(n_71066),
+	.B(n_69190),
+	.Y(n_71068), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g833831 (
+	.A1(n_55101),
+	.A2(n_29515),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_61 ),
+	.B2(n_45070),
+	.X(n_71066), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g833832 (
+	.A(n_73051),
+	.Y(n_69190), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833833  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_660 ),
+	.A2(n_71068),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_692 ),
+	.B2(n_69190),
+	.Y(n_71070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833834  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_727 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_502 ),
+	.Y(n_71072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833836  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_701 ),
+	.A2(n_71068),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_727 ),
+	.B2(n_69190),
+	.Y(n_71073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g833837 (
+	.A1(n_69190),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_477 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_527 ),
+	.B2(n_71068),
+	.X(n_71074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833838  (
+	.A1_N(n_73051),
+	.A2_N(n_36704),
+	.B1(n_71068),
+	.B2(n_66331),
+	.Y(n_71075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833839  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_778 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_572 ),
+	.Y(n_71076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833840  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_502 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_527 ),
+	.Y(n_71077), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833841  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_535 ),
+	.A2(n_71068),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_771 ),
+	.B2(n_69190),
+	.Y(n_71078), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833842  (
+	.A1(n_55101),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ),
+	.Y(n_71079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g833843 (
+	.A1(n_71068),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_605 ),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_676 ),
+	.X(n_71080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833844  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ),
+	.A2(n_71068),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_535 ),
+	.B2(n_69190),
+	.Y(n_71081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833845  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_590 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_732 ),
+	.Y(n_71082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833846  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_732 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_639 ),
+	.Y(n_71083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833847  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_771 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_701 ),
+	.X(n_71084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833848  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_760 ),
+	.A2(n_71068),
+	.B1(n_69190),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_590 ),
+	.Y(n_71085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g833849 (
+	.A(n_48028),
+	.B(n_48030),
+	.Y(n_71086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g833850 (
+	.A(FE_DBTN3_n_71086),
+	.B(n_74550),
+	.Y(n_71088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833852  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_694 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_712 ),
+	.Y(n_71090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833854  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_752 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_513 ),
+	.Y(n_71091), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833855  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2985 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_697 ),
+	.Y(n_71092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833856  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_677 ),
+	.A2_N(FE_DBTN3_n_71086),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_528 ),
+	.X(n_71093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833857  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_549 ),
+	.A2(n_71088),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_643 ),
+	.B2(FE_DBTN3_n_71086),
+	.Y(n_71094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g833858 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_546 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_555 ),
+	.X(n_71095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833859  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_598 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_497 ),
+	.Y(n_71096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833860  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_666 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_684 ),
+	.Y(n_71097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833861  (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_690 ),
+	.A2_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_666 ),
+	.B2(FE_DBTN3_n_71086),
+	.Y(n_71098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833862  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_565 ),
+	.A2(n_71088),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_691 ),
+	.B2(FE_DBTN3_n_71086),
+	.Y(n_71099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g833863 (
+	.A1(FE_DBTN3_n_71086),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_598 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_637 ),
+	.B2(n_71088),
+	.Y(n_71100), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833864  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_650 ),
+	.A2(n_71088),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_546 ),
+	.B2(FE_DBTN3_n_71086),
+	.Y(n_71101), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833865  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_555 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_694 ),
+	.Y(n_71102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833866  (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_677 ),
+	.A2(n_71088),
+	.B1(FE_DBTN3_n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2985 ),
+	.Y(n_71103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_0 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g833867  (
+	.A1(FE_DBTN3_n_71086),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_529 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1060 ),
+	.Y(n_71104), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834094 (
+	.A(n_47447),
+	.B(n_51006),
+	.Y(n_71313), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834095 (
+	.A(n_56358),
+	.B(n_56359),
+	.Y(n_71314), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g834096 (
+	.A(n_71315),
+	.B(n_74939),
+	.Y(n_71316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834097 (
+	.A(n_71314),
+	.B(n_71313),
+	.Y(n_71315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt834098 (
+	.A(n_71373),
+	.Y(n_71317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt834105 (
+	.A(n_47976),
+	.Y(n_71328), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834117 (
+	.A(FE_DBTN122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2),
+	.B(n_63702),
+	.Y(n_71340), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834118 (
+	.A(FE_DBTN122_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups_0_i_opgroup_block_gen_parallel_slices_0_active_format_i_fmt_slice_gen_num_lanes_0_active_lane_lane_instance_i_fma_leading_zero_count_2),
+	.B(n_56722),
+	.Y(n_71341), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834119 (
+	.A(n_55870),
+	.B(n_55871),
+	.Y(n_71342), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834120 (
+	.A(n_53798),
+	.B(n_48049),
+	.Y(n_71343), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834121 (
+	.A(n_55879),
+	.B(n_71344),
+	.Y(n_71345), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834122 (
+	.A(n_71342),
+	.B(n_71343),
+	.Y(n_71344), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g834123 (
+	.A1(n_71344),
+	.A2(n_55879),
+	.B1(n_71345),
+	.Y(n_71347), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 WALLACE_CSA_DUMMY_OP_groupi_g834125 (
+	.A(n_71344),
+	.Y(n_71348), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 WALLACE_CSA_DUMMY_OP_groupi_g834126 (
+	.A(n_71344),
+	.B(FE_DBTN70_n_55879),
+	.Y(n_71349), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834127 (
+	.A(n_71350),
+	.B(n_71351),
+	.Y(n_71352), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834128 (
+	.A(FE_DBTN93_n_74852),
+	.B(n_26536),
+	.Y(n_71350), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834129 (
+	.A(n_74852),
+	.B(n_51895),
+	.Y(n_71351), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g834132  (
+	.A(n_57662),
+	.B(n_46364),
+	.Y(n_71355), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g834133  (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2201 ),
+	.B(n_67743),
+	.Y(n_71356), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834134 (
+	.A(n_71357),
+	.B(n_45285),
+	.Y(n_71358), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834135 (
+	.A(n_71355),
+	.B(n_71356),
+	.Y(n_71357), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt834136 (
+	.A(n_71357),
+	.Y(n_71359), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g834137 (
+	.A(n_68881),
+	.B(n_71357),
+	.Y(n_71361), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_4 g834139 (
+	.A1(n_68881),
+	.A2(n_71357),
+	.B1(n_68883),
+	.X(n_71362), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834146 (
+	.A(n_43986),
+	.B(n_66998),
+	.Y(n_71369), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 g834149 (
+	.A(n_71369),
+	.Y(n_71370), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834150 (
+	.A(n_71370),
+	.B(n_16828),
+	.Y(n_71373), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g834525 (
+	.A1(n_58053),
+	.A2(n_70562),
+	.B1(n_69035),
+	.Y(n_71721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g834877 (
+	.A(n_72049),
+	.B(n_56831),
+	.C(n_56832),
+	.Y(n_72050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_4 g834878 (
+	.A1(n_48137),
+	.A2(n_48605),
+	.B1_N(n_48129),
+	.Y(n_72049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt834880 (
+	.A(n_48129),
+	.Y(n_72051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834881 (
+	.A(n_72055),
+	.B(n_43090),
+	.Y(n_72056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834882 (
+	.A(n_72053),
+	.B(n_72054),
+	.Y(n_72055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834883 (
+	.A(n_68423),
+	.B(n_54993),
+	.Y(n_72053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g834884 (
+	.A(n_74923),
+	.B(n_51673),
+	.Y(n_72054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_2 g834887 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_72055),
+	.B1_N(n_52091),
+	.Y(n_72059), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834888 (
+	.A(n_72055),
+	.B(n_63953),
+	.Y(n_72060), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g834899 (
+	.A(n_72069),
+	.B(n_72070),
+	.Y(n_72071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834900 (
+	.A(n_70121),
+	.B(FE_DBTN44_n_52217),
+	.Y(n_72069), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt834901 (
+	.A(n_58037),
+	.Y(n_72070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g834902 (
+	.A(n_72071),
+	.B(FE_DBTN23_n_57443),
+	.Y(n_72074), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834903 (
+	.A(n_50214),
+	.B(n_54159),
+	.Y(n_72075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g834908 (
+	.A(FE_DBTN80_n_39663),
+	.B(FE_DBTN81_n_17561),
+	.C(FE_DBTN49_n_72075),
+	.Y(n_72080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g834909 (
+	.A_N(n_51057),
+	.B(FE_DBTN49_n_72075),
+	.C(FE_DBTN39_n_53218),
+	.Y(n_72081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834910 (
+	.A(n_69913),
+	.B(n_73646),
+	.Y(n_72084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834912 (
+	.A(n_68405),
+	.B(n_54912),
+	.Y(n_72082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g834915 (
+	.A(n_57487),
+	.B(n_72088),
+	.Y(n_72089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g834916 (
+	.A(n_72087),
+	.Y(n_72088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g834917 (
+	.A(n_57944),
+	.B(n_58668),
+	.Y(n_72087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g835645 (
+	.A(soc_top_u_top_u_core_rf_wdata_fwd_wb[0]),
+	.Y(n_72802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_4 g835646 (
+	.A_N(n_19631),
+	.B(n_23175),
+	.X(n_72803), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g835647 (
+	.A1(n_23598),
+	.A2(n_23316),
+	.B1(n_72806),
+	.B2(n_18842),
+	.Y(n_72807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_4 g835648 (
+	.A0(n_72802),
+	.A1(n_72804),
+	.S(n_23272),
+	.Y(n_72806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g835649 (
+	.A(n_23415),
+	.B(n_23344),
+	.Y(n_72804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g835651 (
+	.A1(n_72806),
+	.A2(n_6655),
+	.B1(\soc_top_u_top_u_core_imd_val_q_ex[1] [0]),
+	.B2(n_5880),
+	.C1(n_6654),
+	.C2(soc_top_u_top_u_core_alu_adder_result_ex[0]),
+	.Y(n_72808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g835652 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.B1(n_6649),
+	.B2(n_72806),
+	.Y(n_72809), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g835653 (
+	.A1(n_8959),
+	.A2(n_72806),
+	.B1(n_4738),
+	.B2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [0]),
+	.C1(n_11107),
+	.Y(n_72810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g835654 (
+	.A1(n_9639),
+	.A2(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B1(n_6651),
+	.B2(n_72806),
+	.Y(n_72811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g835655 (
+	.A(soc_top_u_top_u_core_id_stage_i_bt_a_mux_sel[1]),
+	.B_N(n_72806),
+	.Y(n_72812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2ai_1 g835656 (
+	.A1_N(n_72806),
+	.A2_N(n_22222),
+	.B1(n_18867),
+	.B2(n_74088),
+	.Y(n_72813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22ai_1 g835657 (
+	.A1(n_72806),
+	.A2(n_20713),
+	.B1(\soc_top_u_top_u_core_ex_block_i_gen_multdiv_slow.multdiv_i_op_a_shift_q [1]),
+	.B2(n_19611),
+	.Y(n_72814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt835677 (
+	.A(n_74798),
+	.Y(n_72834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g835683 (
+	.A(n_72843),
+	.B(n_72844),
+	.Y(n_72845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g835684 (
+	.A1(n_43799),
+	.A2(n_68623),
+	.B1(n_72842),
+	.Y(n_72843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835685 (
+	.A(n_69665),
+	.B(n_64745),
+	.Y(n_72842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g835686 (
+	.A(n_54632),
+	.B(n_56699),
+	.Y(n_72844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_4 g835708 (
+	.A_N(n_15476),
+	.B(n_30431),
+	.C(n_49744),
+	.D(n_73723),
+	.Y(n_72869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g835712 (
+	.A(n_49744),
+	.B(n_15648),
+	.Y(n_72872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835713 (
+	.A(n_74792),
+	.B(n_74048),
+	.Y(n_72874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835738 (
+	.A(n_72898),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_72900), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835739 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1639 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1474 ),
+	.Y(n_72898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g835745  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_52 ),
+	.Y(n_72905), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g835749 (
+	.A(n_72910),
+	.B(n_70060),
+	.X(n_72911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g835750  (
+	.A(n_72909),
+	.Y(n_72910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g835751  (
+	.A(n_72905),
+	.B(n_73668),
+	.Y(n_72909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835752 (
+	.A(n_35618),
+	.B(n_72910),
+	.Y(n_72912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g835753 (
+	.A_N(n_70060),
+	.B(n_35618),
+	.C(n_72910),
+	.Y(n_72913), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g835754  (
+	.A(n_72914),
+	.Y(n_72915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g835755 (
+	.A(n_70060),
+	.B(n_70072),
+	.C(n_72905),
+	.D(n_73668),
+	.Y(n_72914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835781  (
+	.A(n_72944),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_72945), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835782 (
+	.A(n_72942),
+	.B(n_72943),
+	.Y(n_72944), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835783  (
+	.A(n_53635),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_72942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835784 (
+	.A(n_54752),
+	.B(n_75245),
+	.Y(n_72943), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835785 (
+	.A(n_72944),
+	.B(n_52455),
+	.Y(n_72946), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g835814 (
+	.A(n_72976),
+	.B(n_13306),
+	.Y(n_72977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g835815 (
+	.A(n_72974),
+	.B(n_72975),
+	.Y(n_72976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g835816 (
+	.A1(n_44347),
+	.A2(n_35529),
+	.B1(n_73965),
+	.Y(n_72974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835817  (
+	.A(n_73965),
+	.B(n_49356),
+	.Y(n_72975), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835823 (
+	.A(n_72987),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_72988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g835824 (
+	.A(n_72983),
+	.B(n_72984),
+	.C(n_72985),
+	.D(n_72986),
+	.Y(n_72987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835825  (
+	.A(n_50600),
+	.B(n_44189),
+	.Y(n_72983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835826  (
+	.A(n_35097),
+	.B(n_25025),
+	.Y(n_72984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835827  (
+	.A(FE_DBTN17_n_70953),
+	.B(n_69674),
+	.Y(n_72985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835828 (
+	.A(n_39061),
+	.B(n_44202),
+	.Y(n_72986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835829 (
+	.A(n_75245),
+	.B(n_72987),
+	.Y(n_72989), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g835853  (
+	.A(n_73016),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_235 ),
+	.Y(n_73017), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g835854 (
+	.A(n_73013),
+	.B(n_74603),
+	.C(n_73884),
+	.D(n_73015),
+	.X(n_73016), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g835855  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_138 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_128 ),
+	.Y(n_73013), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g835857  (
+	.A(n_68926),
+	.B(n_67778),
+	.Y(n_73015), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835883 (
+	.A(n_54690),
+	.B(n_68681),
+	.Y(n_73041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g835885 (
+	.A(n_73044),
+	.B(n_45592),
+	.Y(n_73045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g835886 (
+	.A(n_73041),
+	.B(n_73043),
+	.C(FE_DBTN95_n_63318),
+	.Y(n_73044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g835887 (
+	.A(n_53418),
+	.B(n_68678),
+	.C(n_47133),
+	.Y(n_73043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g835888 (
+	.A(n_13731),
+	.B(n_73044),
+	.C(n_38427),
+	.D(n_35270),
+	.Y(n_73047), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt835890 (
+	.A(n_71068),
+	.Y(n_73048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g835891 (
+	.A(n_55101),
+	.B(n_33473),
+	.Y(n_73049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g835892  (
+	.A(n_55101),
+	.B(n_66445),
+	.Y(n_73050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g835893 (
+	.A(n_69187),
+	.B(n_69188),
+	.Y(n_73051), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g835894  (
+	.A(n_73054),
+	.Y(n_73055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835895 (
+	.A(n_73052),
+	.B(n_73053),
+	.Y(n_73054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835896 (
+	.A(n_73048),
+	.B(n_73049),
+	.Y(n_73052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835897 (
+	.A(n_73050),
+	.B(n_73051),
+	.Y(n_73053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g835898  (
+	.A(n_73054),
+	.B(n_73855),
+	.CIN(n_71102),
+	.COUT(n_73056),
+	.SUM(n_73057), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g835924 (
+	.A(n_73082),
+	.B(n_35387),
+	.Y(n_73083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g835925 (
+	.A1(n_74076),
+	.A2(FE_DBTN5_n_70976),
+	.B1(FE_DBTN79_n_19051),
+	.X(n_73082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g835927  (
+	.A(n_73521),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_223 ),
+	.Y(n_73086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g835929  (
+	.A(n_44566),
+	.B_N(n_73521),
+	.Y(n_73087), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g835930  (
+	.A_N(n_73521),
+	.B(n_44566),
+	.Y(n_73088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g835931 (
+	.A1(n_16725),
+	.A2(n_16556),
+	.B1(n_73521),
+	.B2(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.X(n_73089), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt835932 (
+	.A(n_73521),
+	.Y(n_73090), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g835933 (
+	.A1(n_44566),
+	.A2(n_10035),
+	.B1(n_73521),
+	.B2(n_10548),
+	.C1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_info_q[0][is_subnormal] ),
+	.C2(n_4983),
+	.Y(n_73092), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g835935 (
+	.A1(n_73521),
+	.A2(n_1918),
+	.B1(soc_top_u_top_u_core_rf_wdata_fwd_wb[5]),
+	.B2(n_1255),
+	.C1(n_2381),
+	.C2(n_10327),
+	.Y(n_73093), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g835936 (
+	.A(n_30147),
+	.B_N(n_73521),
+	.Y(n_73094), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g835937 (
+	.A(n_73521),
+	.B(n_44566),
+	.X(n_73095), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o221ai_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g835938  (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_531 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_Mant_leadingOne_a [3]),
+	.B1(n_73521),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_2 ),
+	.C1(n_25328),
+	.Y(n_73096), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g835939  (
+	.A1_N(n_25088),
+	.A2_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_12 ),
+	.B1(n_73521),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_6 ),
+	.Y(n_73097), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_g835940  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_preprocess_U0_sll_337_60_n_9 ),
+	.B(n_73521),
+	.Y(n_73098), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_g835941  (
+	.A(\soc_top_u_top_u_core_fp_operands[0] [4]),
+	.B(n_73521),
+	.Y(n_73099), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g835942 (
+	.A(n_55284),
+	.B(FE_DBTN34_n_54651),
+	.Y(n_73102), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g835945 (
+	.A_N(FE_DBTN34_n_54651),
+	.B(n_49403),
+	.Y(n_73103), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g835946  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_30583),
+	.Y(n_73105), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g835956 (
+	.A(n_73114),
+	.B(n_73115),
+	.Y(n_73116), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835957 (
+	.A(n_64689),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_138 ),
+	.Y(n_73114), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835958 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_664 ),
+	.B(n_26985),
+	.Y(n_73115), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835969 (
+	.A(n_73127),
+	.B(n_73128),
+	.Y(n_73129), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835970 (
+	.A(n_65952),
+	.B(n_41155),
+	.Y(n_73127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835971 (
+	.A(FE_DBTN15_n_65952),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [4]),
+	.Y(n_73128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g835985 (
+	.A(n_73143),
+	.Y(n_73144), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g835986 (
+	.A(n_74479),
+	.B(n_52520),
+	.Y(n_73143), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836024 (
+	.A(n_56685),
+	.B(n_13485),
+	.Y(n_73185), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21bai_4 g836026 (
+	.A1(n_57336),
+	.A2(n_73187),
+	.B1_N(n_68603),
+	.Y(n_73188), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836027 (
+	.A(n_73185),
+	.B(n_68393),
+	.Y(n_73187), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836028 (
+	.A(n_73187),
+	.B(n_13128),
+	.Y(n_73189), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836062  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1755 ),
+	.B(n_51941),
+	.Y(n_73225), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836063 (
+	.A(n_26368),
+	.B(n_73227),
+	.Y(n_73228), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836064 (
+	.A(n_73965),
+	.B(n_73226),
+	.Y(n_73227), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g836065 (
+	.A(n_73225),
+	.Y(n_73226), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt836086 (
+	.A(n_48520),
+	.Y(n_73240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g836106 (
+	.A(n_23327),
+	.Y(n_73273), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836107 (
+	.A(n_36698),
+	.B(n_36695),
+	.Y(n_73275), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt836118 (
+	.A(n_73435),
+	.Y(n_73286), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836147 (
+	.A(n_40538),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_73315), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836148 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1104 ),
+	.B(n_75245),
+	.Y(n_73316), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g836149 (
+	.A(n_73317),
+	.B(n_68982),
+	.C(n_68983),
+	.Y(n_73318), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836150 (
+	.A(n_73315),
+	.B(n_52455),
+	.C(n_73316),
+	.Y(n_73317), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836218 (
+	.A(n_73387),
+	.B(n_73388),
+	.Y(n_73389), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836219 (
+	.A(n_65405),
+	.B(n_41155),
+	.Y(n_73387), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836221 (
+	.A(FE_DBTN9_n_69283),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sum [3]),
+	.Y(n_73388), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt836259 (
+	.A(n_55985),
+	.Y(n_73428), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836260 (
+	.A(n_55241),
+	.B(n_50695),
+	.C(n_44490),
+	.Y(n_73429), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836261 (
+	.A(n_73433),
+	.B(n_73434),
+	.Y(n_73435), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836262 (
+	.A(n_55072),
+	.B(n_73432),
+	.Y(n_73433), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836263 (
+	.A(n_73430),
+	.B(n_73431),
+	.Y(n_73432), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836264 (
+	.A_N(n_55073),
+	.B(n_73501),
+	.Y(n_73430), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836265 (
+	.A(n_55073),
+	.B(n_68500),
+	.Y(n_73431), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g836266 (
+	.A(n_73428),
+	.B(n_73429),
+	.C(n_73430),
+	.D(n_73431),
+	.Y(n_73434), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g836320 (
+	.A1(n_69596),
+	.A2(n_68296),
+	.B1(n_73965),
+	.X(n_73487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836323 (
+	.A(n_73490),
+	.B(n_73491),
+	.C(n_73492),
+	.Y(n_73493), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836324 (
+	.A(n_68310),
+	.B(n_50450),
+	.Y(n_73490), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g59 (
+	.A(n_68335),
+	.Y(n_73491), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g836325 (
+	.A1(n_51940),
+	.A2(FE_DBTN57_n_73965),
+	.B1_N(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1728 ),
+	.Y(n_73492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g7 (
+	.A(n_66741),
+	.B(n_43161),
+	.Y(n_68500), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g836332 (
+	.A(n_68500),
+	.Y(n_73501), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g836333 (
+	.A1(n_52746),
+	.A2(n_52747),
+	.B1(n_52745),
+	.X(n_73502), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836334 (
+	.A(n_45237),
+	.B(n_45239),
+	.Y(n_73503), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g836335 (
+	.A(n_47902),
+	.B(n_67901),
+	.C(n_66786),
+	.D(n_73505),
+	.Y(n_73506), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836336 (
+	.A(n_73504),
+	.B(n_73503),
+	.Y(n_73505), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g836337 (
+	.A(n_73502),
+	.Y(n_73504), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836339 (
+	.A(n_51494),
+	.B(n_74605),
+	.Y(n_73511), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g836343 (
+	.A(n_36698),
+	.B(n_73273),
+	.C(n_36695),
+	.Y(n_73509), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836352 (
+	.A(n_36768),
+	.B(n_73521),
+	.X(n_73522), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836353 (
+	.A(n_73519),
+	.B(n_74613),
+	.Y(n_73521), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g836354 (
+	.A1(n_27483),
+	.A2(n_18842),
+	.B1(n_23609),
+	.B2(n_23316),
+	.Y(n_73519), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836357 (
+	.A(n_73521),
+	.B(n_69523),
+	.Y(n_73524), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836376 (
+	.A(n_73544),
+	.B(n_73545),
+	.Y(n_73546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836377 (
+	.A(n_45689),
+	.B(n_74755),
+	.Y(n_73544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g836378 (
+	.A(n_34157),
+	.B(n_52365),
+	.X(n_73545), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836383 (
+	.A(n_73551),
+	.B(n_75245),
+	.Y(n_73553), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836384 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1008 ),
+	.B(n_65909),
+	.Y(n_73551), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836391 (
+	.A(n_69540),
+	.B(n_54840),
+	.C(n_57260),
+	.Y(n_73559), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836395 (
+	.A(n_73559),
+	.B(n_15483),
+	.Y(n_73561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836396 (
+	.A(n_73561),
+	.B(n_41562),
+	.Y(n_73564), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836399  (
+	.A(n_33984),
+	.B(FE_DBTN69_n_65405),
+	.Y(n_73567), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836400 (
+	.A(n_70938),
+	.B(n_65405),
+	.Y(n_73568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836401 (
+	.A(n_73570),
+	.B(n_68980),
+	.Y(n_73571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836402 (
+	.A(n_73568),
+	.B(n_73567),
+	.C(FE_DBTN7_n_69836),
+	.Y(n_73570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt836408 (
+	.A(n_69761),
+	.Y(n_73576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836410 (
+	.A(n_74741),
+	.B(n_54352),
+	.Y(n_73579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836414  (
+	.A(FE_DBTN15_n_65952),
+	.B(n_70791),
+	.Y(n_73583), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836417 (
+	.A(n_50993),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_73585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836419  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_794 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_73588), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836421  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_782 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_73589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836422  (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_786 ),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_73590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836423 (
+	.A(n_68719),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_73591), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_16 fopt836437 (
+	.A(n_70051),
+	.Y(n_73607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g836438 (
+	.A(n_73610),
+	.Y(n_73612), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836440 (
+	.A(n_73607),
+	.B(n_73886),
+	.C(n_73609),
+	.Y(n_73610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g836442 (
+	.A(n_33511),
+	.Y(n_73609), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836443 (
+	.A(n_73610),
+	.B(n_70080),
+	.Y(n_73613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g836459 (
+	.A(n_73607),
+	.B(n_70096),
+	.C(n_70097),
+	.Y(n_73629), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g836460 (
+	.A_N(n_73629),
+	.B_N(n_70070),
+	.C(FE_DBTN100_n_70076),
+	.D(n_32900),
+	.X(n_73631), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g836462  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_165 ),
+	.B(n_73629),
+	.Y(n_73632), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g836463  (
+	.A(n_73629),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_184 ),
+	.Y(n_73633), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt836477 (
+	.A(n_72082),
+	.Y(n_73646), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836479 (
+	.A(n_50478),
+	.B(n_39046),
+	.Y(n_73647), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836481 (
+	.A(n_73650),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_73652), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836482 (
+	.A(n_50983),
+	.B(n_23877),
+	.Y(n_73650), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836484 (
+	.A(n_73653),
+	.B(FE_DBTN53_n_49347),
+	.Y(n_73655), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836485 (
+	.A(n_55306),
+	.B(n_73714),
+	.Y(n_73653), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836497 (
+	.A(n_73668),
+	.B(n_73669),
+	.Y(n_73670), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g30 (
+	.A(n_70305),
+	.B(n_73607),
+	.Y(n_73668), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836498 (
+	.A(n_73607),
+	.B(n_72845),
+	.Y(n_73669), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836499 (
+	.A(n_73647),
+	.B(n_73675),
+	.Y(n_73676), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g836500 (
+	.A(n_73671),
+	.B(n_73672),
+	.C(n_73673),
+	.D(n_73674),
+	.Y(n_73675), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g836501 (
+	.A(n_66993),
+	.Y(n_73671), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g836502 (
+	.A_N(n_74780),
+	.B(n_41539),
+	.C(n_44490),
+	.X(n_73672), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836503 (
+	.A(n_49321),
+	.B(n_70083),
+	.Y(n_73673), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836504 (
+	.A(n_70080),
+	.B(n_70063),
+	.Y(n_73674), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836507 (
+	.A(n_15474),
+	.B(n_56887),
+	.Y(n_73678), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836508 (
+	.A(n_48576),
+	.B(n_73680),
+	.Y(n_73681), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836509 (
+	.A(n_73678),
+	.B(n_73679),
+	.Y(n_73680), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836510 (
+	.A(n_70142),
+	.B(n_73652),
+	.Y(n_73679), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836518 (
+	.A(n_73690),
+	.B(FE_DBTN61_n_44740),
+	.Y(n_73692), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836519 (
+	.A(n_53090),
+	.B(n_72059),
+	.Y(n_73690), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836537 (
+	.A(n_73711),
+	.B(FE_DBTN11_n_68980),
+	.Y(n_73713), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836539  (
+	.A(n_73709),
+	.B(n_73710),
+	.Y(n_73711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836540 (
+	.A(n_56683),
+	.B(n_52455),
+	.Y(n_73709), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836541 (
+	.A(n_52907),
+	.B(FE_DBTN43_n_52455),
+	.Y(n_73710), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g836542  (
+	.A(n_73711),
+	.B(n_68980),
+	.Y(n_73714), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2b_1 g836547 (
+	.A_N(n_48346),
+	.B(n_23738),
+	.X(n_73719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g783400_dup836549 (
+	.A(n_61171),
+	.B(n_61102),
+	.X(n_73721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_92_g836550 (
+	.A(soc_top_u_top_u_core_ex_block_i_alu_i_sra_319_132_n_0),
+	.B(n_25708),
+	.Y(n_73722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836551 (
+	.A(n_47552),
+	.B(n_23879),
+	.Y(n_73723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836553 (
+	.A(n_66774),
+	.B(n_66829),
+	.Y(n_73725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836556 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2847 ),
+	.B(n_55336),
+	.Y(n_73728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g836557 (
+	.A(n_68737),
+	.B(n_44518),
+	.Y(n_73729), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836560 (
+	.A(n_44368),
+	.B(FE_DBTN71_n_69235),
+	.X(n_73732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836561 (
+	.A(FE_DBTN67_n_44490),
+	.B(n_35732),
+	.Y(n_73733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836562 (
+	.A(n_57397),
+	.B(n_43951),
+	.Y(n_73734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_2 g836563 (
+	.A(n_26723),
+	.B(n_32045),
+	.Y(n_73735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g836564 (
+	.A(n_30939),
+	.B(n_32041),
+	.Y(n_73736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836565 (
+	.A(n_48675),
+	.B(n_51722),
+	.Y(n_73737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836567 (
+	.A(n_74837),
+	.B(n_74838),
+	.Y(n_73739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836715 (
+	.A(n_18858),
+	.B(soc_top_u_top_u_core_rf_raddr_b[3]),
+	.X(n_73740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g836722 (
+	.A1(n_68800),
+	.A2(n_68805),
+	.B1(n_68810),
+	.X(n_73747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g836727 (
+	.A(n_34288),
+	.B(n_49069),
+	.X(n_73752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g836728 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_47 ),
+	.A2(n_30956),
+	.B1(FE_DBTN94_n_30956),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_sll_284_36_n_51 ),
+	.Y(n_73753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836745 (
+	.A(n_23869),
+	.B(n_41582),
+	.X(n_73770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g836747 (
+	.A1(n_78),
+	.A2(n_13617),
+	.B1_N(n_66572),
+	.X(n_73772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_2 g836752 (
+	.A1(n_49830),
+	.A2(n_38258),
+	.B1(n_38257),
+	.X(n_73777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g836771 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1191 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.X(n_73796), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g836773 (
+	.A1(FE_DBTN14_n_66542),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1094 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1230 ),
+	.X(n_73798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g836785 (
+	.A1(n_23168),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[0] [25]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [25]),
+	.C1(n_23570),
+	.Y(n_73810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g836786 (
+	.A1(n_23169),
+	.A2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[1] [26]),
+	.B1(n_23166),
+	.B2(\soc_top_dccm_adapter_data_mem_u_rspfifo_gen_normal_fifo.storage[2] [26]),
+	.C1(n_23580),
+	.Y(n_73811), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g836787 (
+	.A(n_19051),
+	.B_N(soc_top_u_top_u_core_rf_wdata_fwd_wb[20]),
+	.Y(n_73812), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g836790 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1908 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1755 ),
+	.C(n_38222),
+	.Y(n_73815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g836796 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_486 ),
+	.A2_N(n_49893),
+	.B1(n_48265),
+	.B2(n_48253),
+	.X(n_73821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836797 (
+	.A(n_67913),
+	.B(n_67889),
+	.X(n_73822), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g836798 (
+	.A_N(n_49021),
+	.B(n_49022),
+	.Y(n_73823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836799 (
+	.A(n_55208),
+	.B(n_50142),
+	.X(n_73824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836800 (
+	.A(n_73964),
+	.B(n_52389),
+	.X(n_73825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g836802 (
+	.A_N(n_70552),
+	.B(n_44509),
+	.C(n_52681),
+	.X(n_73827), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 g836803 (
+	.A(n_54068),
+	.B(n_54069),
+	.X(n_73828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g836804 (
+	.A1(n_54460),
+	.A2(n_67777),
+	.B1(n_66443),
+	.X(n_73829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_4 g836806 (
+	.A1(n_48137),
+	.A2(n_48605),
+	.B1(n_72051),
+	.X(n_73831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g836821 (
+	.A(n_46470),
+	.B_N(n_53695),
+	.Y(n_73846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g836822 (
+	.A(n_29703),
+	.B(n_29420),
+	.C(n_70542),
+	.D(n_74598),
+	.X(n_73847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g836824 (
+	.A1(n_56675),
+	.A2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[16]),
+	.B1(n_19155),
+	.B2(soc_top_u_top_u_core_load_store_unit_i_rdata_q[24]),
+	.X(n_73849), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836826 (
+	.A(FE_DBTN41_n_55284),
+	.B(FE_DBTN9_n_69283),
+	.X(n_73851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836827 (
+	.A_N(FE_DBTN93_n_74852),
+	.B(n_26653),
+	.Y(n_73852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g836828 (
+	.A1(n_68438),
+	.A2(n_68430),
+	.B1(n_68439),
+	.X(n_73853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836829 (
+	.A_N(n_74026),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1408 ),
+	.Y(n_73854), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g836830 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_560 ),
+	.A2(n_46411),
+	.B1(n_74028),
+	.Y(n_73855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g836833 (
+	.A(n_35728),
+	.B(n_31641),
+	.X(n_73858), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g836836 (
+	.A(n_42905),
+	.B(n_67587),
+	.C(n_42915),
+	.Y(n_73861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g836837 (
+	.A1(n_50676),
+	.A2(n_42920),
+	.B1(n_42921),
+	.C1(n_42924),
+	.X(n_73862), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836839 (
+	.A(n_45307),
+	.B(n_66086),
+	.Y(n_73864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836840 (
+	.A(n_51387),
+	.B(n_69040),
+	.X(n_73865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o31a_1 g836841 (
+	.A1(n_16160),
+	.A2(n_16159),
+	.A3(n_16600),
+	.B1(n_16556),
+	.X(n_73866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g836842 (
+	.A1(n_27485),
+	.A2(n_18842),
+	.B1(n_23612),
+	.B2(n_23316),
+	.X(n_73867), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g836849 (
+	.A(n_54215),
+	.B(n_70513),
+	.X(n_73874), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g836851 (
+	.A(n_74598),
+	.B(n_70542),
+	.Y(n_73876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_2 g836859 (
+	.A(FE_DBTN35_n_54412),
+	.B(n_70029),
+	.X(n_73884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g836861 (
+	.A1(n_54632),
+	.A2(n_68623),
+	.B1(n_13025),
+	.X(n_73886), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836865 (
+	.A_N(n_19051),
+	.B(soc_top_u_top_u_core_rf_wdata_fwd_wb[21]),
+	.Y(n_73890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g836878 (
+	.A(n_15190),
+	.B(n_15115),
+	.Y(n_73903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836883 (
+	.A_N(n_14815),
+	.B(n_14904),
+	.Y(n_73908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836884 (
+	.A_N(n_14697),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_lzc_gen_lzc.sel_nodes [1]),
+	.Y(n_73909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g836892 (
+	.A_N(n_26914),
+	.B(n_14172),
+	.C(n_14120),
+	.Y(n_73917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836897 (
+	.A_N(n_33192),
+	.B(n_65193),
+	.Y(n_73922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_1 g836915 (
+	.A(n_25868),
+	.B(n_26100),
+	.X(n_73940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor3_1 g836916 (
+	.A(n_25976),
+	.B(n_73772),
+	.C(\addinc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_genblk4[2].iteration_div_sqrt_add_59_42_n_493 ),
+	.X(n_73941), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836917 (
+	.A_N(n_47866),
+	.B(n_50869),
+	.Y(n_73942), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g836938 (
+	.A(n_58961),
+	.B_N(n_70597),
+	.Y(n_73963), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_2 g836939 (
+	.A(n_68377),
+	.B_N(n_41165),
+	.Y(n_73964), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g836940 (
+	.A_N(n_34627),
+	.B(n_71018),
+	.Y(n_73965), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836949 (
+	.A_N(n_29518),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3140 ),
+	.Y(n_73974), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g836951 (
+	.A(FE_DBTN52_n_55103),
+	.B(n_51101),
+	.X(n_73976), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836952 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1920 ),
+	.B(n_42911),
+	.Y(n_73977), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g836953 (
+	.A(n_43138),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1957 ),
+	.X(n_73978), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836954 (
+	.A_N(n_55020),
+	.B(n_68567),
+	.Y(n_73979), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g836955 (
+	.A(n_68018),
+	.B(n_67600),
+	.X(n_73980), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g836956 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1803 ),
+	.B(n_66771),
+	.C(n_44234),
+	.Y(n_73981), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_4 g836957 (
+	.A(n_44315),
+	.B_N(n_24101),
+	.Y(n_73982), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g836958 (
+	.A(n_47970),
+	.B(n_30838),
+	.Y(n_73983), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836959 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1879 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1940 ),
+	.Y(n_73984), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g836960 (
+	.A_N(n_46142),
+	.B(n_58946),
+	.C(FE_DBTN46_n_66808),
+	.Y(n_73985), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g836961 (
+	.A_N(n_58036),
+	.B(n_57427),
+	.C(n_57428),
+	.Y(n_73986), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836962 (
+	.A_N(n_53870),
+	.B(n_73725),
+	.Y(n_73987), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836963 (
+	.A_N(n_48973),
+	.B(n_70631),
+	.Y(n_73988), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g836965 (
+	.A(n_50627),
+	.B_N(FE_DBTN56_n_49767),
+	.Y(n_73990), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g836968 (
+	.A(n_36743),
+	.B(n_67674),
+	.X(n_73993), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836969 (
+	.A_N(n_48491),
+	.B(n_23712),
+	.Y(n_73994), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836970 (
+	.A_N(n_48638),
+	.B(n_55753),
+	.Y(n_73995), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_2 g836971 (
+	.A(FE_DBTN71_n_69235),
+	.B(n_50085),
+	.X(n_73996), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836972 (
+	.A_N(n_39749),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1718),
+	.Y(n_73997), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836973 (
+	.A_N(n_71101),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1085 ),
+	.Y(n_73998), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836974 (
+	.A_N(n_35734),
+	.B(n_51673),
+	.Y(n_73999), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g836975 (
+	.A_N(n_52137),
+	.B(n_45722),
+	.Y(n_74000), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836976 (
+	.A_N(n_52405),
+	.B(n_74005),
+	.Y(n_74001), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_2 g836980 (
+	.A_N(n_32034),
+	.B(n_54380),
+	.C(n_18491),
+	.Y(n_74005), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g836981 (
+	.A_N(n_54563),
+	.B(n_74594),
+	.Y(n_74006), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836983 (
+	.A_N(n_48642),
+	.B(n_49678),
+	.Y(n_74008), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836984 (
+	.A_N(n_55753),
+	.B(n_48638),
+	.Y(n_74009), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836985 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2392 ),
+	.B(n_43584),
+	.Y(n_74010), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g836986 (
+	.A(n_67763),
+	.B_N(n_48670),
+	.Y(n_74011), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g836998 (
+	.A_N(n_68430),
+	.B(n_68429),
+	.Y(n_74023), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837000 (
+	.A_N(n_68455),
+	.B(n_43504),
+	.C(n_66756),
+	.Y(n_74025), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g837001 (
+	.A1(n_67879),
+	.A2(n_68472),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2878 ),
+	.Y(n_74026), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837003 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_599 ),
+	.B(n_38303),
+	.C(n_46411),
+	.Y(n_74028), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837005 (
+	.A1(n_68647),
+	.A2(n_66292),
+	.B1_N(n_47546),
+	.X(n_74030), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g837006 (
+	.A_N(n_68665),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2757 ),
+	.Y(n_74031), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837007 (
+	.A_N(n_68662),
+	.B(n_67733),
+	.Y(n_74032), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837008 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ),
+	.B(n_74582),
+	.Y(n_74033), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837009 (
+	.A_N(n_74582),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1849 ),
+	.Y(n_74034), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837010 (
+	.A_N(n_68841),
+	.B(n_68836),
+	.Y(n_74035), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g837011 (
+	.A_N(n_51164),
+	.B(n_30104),
+	.Y(n_74036), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g837012 (
+	.A_N(n_68913),
+	.B(FE_DBTN12_n_68911),
+	.Y(n_74037), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837013 (
+	.A(n_69066),
+	.B(n_69067),
+	.Y(n_74038), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837015 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1701),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1640),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_1641),
+	.Y(n_74040), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837016 (
+	.A_N(n_74541),
+	.B(n_35688),
+	.Y(n_74041), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_2 g837017 (
+	.A(n_54114),
+	.B(n_45398),
+	.X(n_74042), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837018 (
+	.A_N(n_74042),
+	.B(n_68247),
+	.Y(n_74043), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837019 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1854 ),
+	.B(n_43185),
+	.Y(n_74044), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837020 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_506 ),
+	.B(n_67794),
+	.C(n_34352),
+	.Y(n_74045), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837021 (
+	.A1(n_69519),
+	.A2(n_67805),
+	.B1_N(n_53324),
+	.X(n_74046), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g837023 (
+	.A(n_69588),
+	.B(n_70070),
+	.X(n_74048), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837024 (
+	.A_N(n_19235),
+	.B(n_36877),
+	.Y(n_74049), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837025 (
+	.A1(n_50488),
+	.A2(n_47028),
+	.B1_N(n_69710),
+	.Y(n_74050), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_2 g837027 (
+	.A_N(n_69847),
+	.B(n_53115),
+	.C(n_53116),
+	.D(n_53117),
+	.Y(n_74052), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837028 (
+	.A_N(n_69927),
+	.B(n_69924),
+	.C(n_69925),
+	.Y(n_74053), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g837029 (
+	.A_N(n_70621),
+	.B(n_50762),
+	.C(n_70620),
+	.D(n_17859),
+	.Y(n_74054), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837030 (
+	.A_N(n_70634),
+	.B(n_47288),
+	.Y(n_74055), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837031 (
+	.A_N(FE_DBTN34_n_54651),
+	.B(n_35041),
+	.Y(n_74056), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g837037 (
+	.A1(n_69676),
+	.A2(n_19051),
+	.B1_N(n_16551),
+	.Y(n_74062), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g837045 (
+	.A1(n_68225),
+	.A2(n_68227),
+	.B1_N(n_68223),
+	.Y(n_74070), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g837046 (
+	.A1(n_68535),
+	.A2(FE_DBTN57_n_73965),
+	.B1(n_39318),
+	.Y(n_74071), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837047 (
+	.A1(n_38438),
+	.A2(n_45645),
+	.B1_N(n_44490),
+	.Y(n_74072), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837048 (
+	.A1(n_56036),
+	.A2(n_70562),
+	.B1_N(n_69040),
+	.Y(n_74073), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837050 (
+	.A1(n_74589),
+	.A2(n_61720),
+	.B1_N(n_69581),
+	.Y(n_74075), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g837051 (
+	.A1(n_24035),
+	.A2(n_53263),
+	.B1(n_47490),
+	.C1(n_24209),
+	.X(n_74076), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837054 (
+	.A1(n_20153),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [22]),
+	.B1(n_20209),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[13] [22]),
+	.C1(n_21803),
+	.Y(n_74079), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837055 (
+	.A1(n_19462),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[20] [3]),
+	.B1(n_20642),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[19] [3]),
+	.C1(n_21422),
+	.Y(n_74080), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837056 (
+	.A1(n_29401),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [8]),
+	.B1(n_19570),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[15] [8]),
+	.C1(n_21393),
+	.Y(n_74081), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g837057 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [7]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [7]),
+	.C1(n_21357),
+	.Y(n_74082), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837058 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [7]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [7]),
+	.C1(n_21354),
+	.Y(n_74083), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837059 (
+	.A1(n_19871),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[14] [2]),
+	.B1(n_20708),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[12] [2]),
+	.C1(n_21297),
+	.Y(n_74084), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_2 g837060 (
+	.A1(n_29399),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[30] [5]),
+	.B1(n_19350),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[31] [5]),
+	.C1(n_21287),
+	.Y(n_74085), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a221oi_1 g837061 (
+	.A1(n_29394),
+	.A2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[26] [5]),
+	.B1(n_20401),
+	.B2(\soc_top_u_top_u_core_gen_regfile_ff.register_file_i_rf_reg_q[27] [5]),
+	.C1(n_21285),
+	.Y(n_74086), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_2 g837063 (
+	.A_N(soc_top_u_top_u_core_id_stage_i_imm_a_mux_sel),
+	.B(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[1]),
+	.C(soc_top_u_top_u_core_id_stage_i_alu_op_a_mux_sel_dec[0]),
+	.D(n_20805),
+	.Y(n_74088), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837102 (
+	.A1(n_14484),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [7]),
+	.B1_N(n_14396),
+	.X(n_74127), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837103 (
+	.A1(n_14473),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[1].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_divsqrt_multi_i_divsqrt_lei_nrbd_nrsc_U0_control_U0_Quotient_DP [17]),
+	.B1_N(n_14389),
+	.X(n_74128), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g837135 (
+	.A1(n_12836),
+	.A2(n_56699),
+	.B1(n_68307),
+	.C1(n_47240),
+	.X(n_74160), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g837156 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1897 ),
+	.A2(FE_DBTN57_n_73965),
+	.B1(n_54713),
+	.Y(n_74181), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837175 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1339),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_769),
+	.B1_N(WALLACE_CSA_DUMMY_OP_groupi_n_615),
+	.X(n_74200), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2o_2 g837176 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1199 ),
+	.A2_N(n_38312),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1427 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1424 ),
+	.X(n_74201), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837178 (
+	.A1(n_73240),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_671 ),
+	.B1(n_36375),
+	.B2(n_33132),
+	.X(n_74203), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837216 (
+	.A_N(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_63 ),
+	.B(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_i_fpnew_rounding_add_63_38_n_99 ),
+	.C(n_30553),
+	.Y(n_74241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g837233 (
+	.A1(n_49576),
+	.A2(n_49578),
+	.B1(n_37545),
+	.Y(n_74258), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837273 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_946 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_956 ),
+	.B2(n_26986),
+	.X(n_74298), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837278 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_945 ),
+	.A2(n_26986),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_940 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_0 ),
+	.X(n_74303), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837411 (
+	.A1(FE_DBTN75_n_26984),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_427 ),
+	.B1_N(n_64680),
+	.X(n_74436), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g837413 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1123 ),
+	.A2(FE_DBTN14_n_66542),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1105 ),
+	.B2(n_66542),
+	.Y(n_74438), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837419 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1193 ),
+	.A2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_225 ),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_1017 ),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_srl_468_43_n_492 ),
+	.X(n_74444), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 g837423 (
+	.A(n_36744),
+	.B(n_36745),
+	.C(n_36747),
+	.X(n_74448), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837424 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1884 ),
+	.A2(n_42937),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2848 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1568 ),
+	.X(n_74449), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g837425 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1775 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1948 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1919 ),
+	.X(n_74450), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837426 (
+	.A1(n_42992),
+	.A2(n_42990),
+	.B1_N(n_42989),
+	.X(n_74451), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837427 (
+	.A1(n_73978),
+	.A2(n_67702),
+	.B1(n_43124),
+	.Y(n_74452), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837429 (
+	.A1(n_43574),
+	.A2(n_43552),
+	.B1_N(n_43529),
+	.X(n_74454), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g837430 (
+	.A1(n_45451),
+	.A2(n_45468),
+	.B1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_3174 ),
+	.X(n_74455), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837432 (
+	.A_N(n_45823),
+	.B(n_45811),
+	.C(n_45824),
+	.Y(n_74457), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g837434 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2840 ),
+	.B(n_69816),
+	.X(n_74459), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g837435 (
+	.A(n_48669),
+	.B(n_48670),
+	.C(n_47925),
+	.D(n_67682),
+	.Y(n_74460), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837436 (
+	.A_N(n_47994),
+	.B(n_70242),
+	.C(addinc_ADD_UNS_OP_2_n_1837),
+	.Y(n_74461), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g837438 (
+	.A(n_48412),
+	.B(n_66853),
+	.X(n_74463), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g837439 (
+	.A0(n_74463),
+	.A1(n_48418),
+	.S(n_48453),
+	.X(n_74464), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837440 (
+	.A_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_971 ),
+	.B(n_48544),
+	.C(n_48536),
+	.Y(n_74465), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837441 (
+	.A1(WALLACE_CSA_DUMMY_OP_groupi_n_1722),
+	.A2(WALLACE_CSA_DUMMY_OP_groupi_n_1751),
+	.B1_N(n_68223),
+	.X(n_74466), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g837442 (
+	.A(n_49128),
+	.B(n_49129),
+	.Y(n_74467), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_4 g837443 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_954 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2955 ),
+	.B1(n_71086),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2957 ),
+	.X(n_74468), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837445 (
+	.A1(n_36322),
+	.A2(n_65952),
+	.B1(FE_DBTN41_n_55284),
+	.B2(n_36319),
+	.X(n_74470), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837446 (
+	.A1(n_73824),
+	.A2(n_18631),
+	.B1_N(n_67922),
+	.X(n_74471), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g837447 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2894 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1158 ),
+	.B1(n_67905),
+	.Y(n_74472), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837448 (
+	.A1(n_50308),
+	.A2(n_71101),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2926 ),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1085 ),
+	.X(n_74473), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g837449 (
+	.A1(n_50305),
+	.A2(n_71101),
+	.B1_N(n_73998),
+	.X(n_74474), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837451 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1248 ),
+	.A2(n_51528),
+	.B1(n_51504),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_843 ),
+	.X(n_74476), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2i_1 g837452 (
+	.A0(n_49835),
+	.A1(n_49836),
+	.S(n_52094),
+	.Y(n_74477), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837454 (
+	.A1(n_52517),
+	.A2(n_52528),
+	.B1(n_52526),
+	.X(n_74479), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g837455 (
+	.A(n_53275),
+	.B(n_38974),
+	.C(n_70937),
+	.D(FE_DBTN6_n_75245),
+	.Y(n_74480), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837456 (
+	.A1(n_51876),
+	.A2(n_68328),
+	.B1(FE_DBTN57_n_73965),
+	.Y(n_74481), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4b_1 g837457 (
+	.A_N(n_67748),
+	.B(n_52640),
+	.C(n_69040),
+	.D(n_69983),
+	.Y(n_74482), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g837460 (
+	.A(n_40201),
+	.B(n_54173),
+	.C(n_18242),
+	.Y(n_74485), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a222oi_1 g837462 (
+	.A1(n_67805),
+	.A2(n_55026),
+	.B1(n_25131),
+	.B2(n_15624),
+	.C1(n_27424),
+	.C2(n_15362),
+	.Y(n_74487), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837463 (
+	.A1(n_15343),
+	.A2(n_74489),
+	.B1(n_67805),
+	.Y(n_74488), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_4 g837464 (
+	.A1(n_18772),
+	.A2(n_19051),
+	.B1(n_56022),
+	.Y(n_74489), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_1 g837467 (
+	.A1(n_61172),
+	.A2(n_9734),
+	.B1_N(n_61621),
+	.X(n_74492), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g837470 (
+	.A(n_35503),
+	.B(n_39065),
+	.C(n_62431),
+	.D(n_62432),
+	.Y(n_74495), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g837472 (
+	.A1(n_67230),
+	.A2(n_10594),
+	.B1(n_63241),
+	.C1(n_62617),
+	.Y(n_74497), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_1 g837473 (
+	.A1(n_67242),
+	.A2(n_10594),
+	.B1(n_62643),
+	.C1(n_62645),
+	.Y(n_74498), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a211oi_2 g837488 (
+	.A1(n_25427),
+	.A2(n_311795_BAR),
+	.B1(n_24571),
+	.C1(n_25595),
+	.Y(n_74513), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837491 (
+	.A1(n_15478),
+	.A2(n_67929),
+	.B1_N(FE_DBTN90_n_15569),
+	.X(n_74516), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4bb_1 g837503 (
+	.A_N(n_49646),
+	.B_N(\soc_top_u_top_u_core_fp_operands[0] [29]),
+	.C(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_124 ),
+	.D(n_73884),
+	.X(n_74528), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g837511 (
+	.A1(n_38299),
+	.A2(n_50600),
+	.B1(n_39061),
+	.B2(n_47877),
+	.Y(n_74536), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837515 (
+	.A1(n_70231),
+	.A2(addinc_ADD_UNS_OP_2_n_1024),
+	.B1(addinc_ADD_UNS_OP_2_n_1826),
+	.X(n_74540), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837516 (
+	.A1(n_33123),
+	.A2(n_73240),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_493 ),
+	.B2(n_36375),
+	.X(n_74541), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837517 (
+	.A1(n_48868),
+	.A2(addinc_ADD_UNS_OP_2_n_652),
+	.B1(n_74904),
+	.X(n_74542), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g837518 (
+	.A1(n_52270),
+	.A2(n_52273),
+	.B1(n_45279),
+	.X(n_74543), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837519 (
+	.A1(n_52717),
+	.A2(n_52718),
+	.B1(n_67751),
+	.X(n_74544), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837521 (
+	.A1(n_37607),
+	.A2(FE_DBTN6_n_75245),
+	.B1(n_40538),
+	.B2(n_75245),
+	.X(n_74546), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_2 g837524 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1821 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1630 ),
+	.C(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1665 ),
+	.X(n_74549), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g837525 (
+	.A(n_48020),
+	.B(n_48019),
+	.Y(n_74550), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837527 (
+	.A1(n_55785),
+	.A2(n_55843),
+	.B1(n_67796),
+	.B2(n_67791),
+	.X(n_74552), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_2 g837532 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1649 ),
+	.A2(n_45934),
+	.B1(n_45935),
+	.B2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1513 ),
+	.Y(n_74557), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837535 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [2]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [2]),
+	.B2(n_10291),
+	.Y(n_74560), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837536 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [3]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [3]),
+	.B2(n_10291),
+	.Y(n_74561), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837537 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [13]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [13]),
+	.B2(n_10291),
+	.Y(n_74562), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837538 (
+	.A1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[1] [15]),
+	.A2(n_61568),
+	.B1(\soc_top_u_top_u_core_if_stage_i_ifu_prefetch_buffer_i_fifo_i_rdata_q[0] [15]),
+	.B2(n_10291),
+	.Y(n_74563), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor3_1 g837543 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1983 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1900 ),
+	.C(n_74552),
+	.X(n_74568), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837545 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1686 ),
+	.A2(n_35524),
+	.B1_N(FE_DBTN53_n_49347),
+	.X(n_74570), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_1 g837546 (
+	.A1_N(n_48316),
+	.A2_N(n_47052),
+	.B1(n_24035),
+	.B2(n_19165),
+	.X(n_74571), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_2 g837547 (
+	.A1(n_68417),
+	.A2(n_68418),
+	.B1_N(n_68420),
+	.X(n_74572), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g837548 (
+	.A(n_68434),
+	.B(n_43046),
+	.Y(n_74573), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g837549 (
+	.A1(n_43025),
+	.A2(n_43026),
+	.B1(n_68442),
+	.Y(n_74574), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o2bb2a_2 g837550 (
+	.A1_N(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1894 ),
+	.A2_N(n_68456),
+	.B1(n_43499),
+	.B2(n_68455),
+	.X(n_74575), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__mux2_4 g837551 (
+	.A0(n_68472),
+	.A1(n_68471),
+	.S(n_67879),
+	.X(n_74576), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g837554 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_548),
+	.B(n_68645),
+	.C(n_51225),
+	.D(n_74807),
+	.Y(n_74579), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g837555 (
+	.A1(n_68762),
+	.A2(n_68763),
+	.B1(n_68765),
+	.Y(n_74580), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837557 (
+	.A1(n_68763),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1715 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1711 ),
+	.B2(n_68762),
+	.X(n_74582), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g837559 (
+	.A(FE_DBTN86_n_47526),
+	.B(n_29466),
+	.C(FE_DBTN67_n_44490),
+	.Y(n_74584), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 g837560 (
+	.A1(n_34198),
+	.A2(n_53317),
+	.B1(n_15969),
+	.X(n_74585), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837561 (
+	.A(n_74480),
+	.B(n_52993),
+	.C(FE_DBTN43_n_52455),
+	.Y(n_74586), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g837562 (
+	.A(n_68246),
+	.B(n_74042),
+	.C(n_52419),
+	.D(n_52416),
+	.Y(n_74587), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837564 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1272 ),
+	.A2(FE_DBTN6_n_75245),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1334 ),
+	.Y(n_74589), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837565 (
+	.A(n_36768),
+	.B(n_69695),
+	.C(n_69699),
+	.Y(n_74590), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_4 g837567 (
+	.A1(n_19051),
+	.A2(n_50588),
+	.B1_N(n_69693),
+	.X(n_74592), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837568 (
+	.A(n_23321),
+	.B(n_49022),
+	.C(n_25395),
+	.Y(n_74593), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_1 g837569 (
+	.A_N(soc_top_u_top_u_core_load_store_unit_i_data_type_q[1]),
+	.B(n_19051),
+	.C(n_69716),
+	.Y(n_74594), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g837570 (
+	.A(n_47014),
+	.B(n_69735),
+	.Y(n_74595), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837571 (
+	.A1(n_51819),
+	.A2(n_46053),
+	.B1(n_51815),
+	.B2(n_74568),
+	.X(n_74596), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837572 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_814 ),
+	.A2(FE_DBTN15_n_65952),
+	.B1(n_55284),
+	.B2(n_70516),
+	.X(n_74597), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837573 (
+	.A1(n_35516),
+	.A2(n_52318),
+	.B1_N(n_18384),
+	.X(n_74598), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g837574 (
+	.A(n_66395),
+	.B(n_54065),
+	.C(n_70552),
+	.Y(n_74599), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211a_1 g837575 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_2214 ),
+	.A2(n_58961),
+	.B1(n_70765),
+	.C1(n_44167),
+	.X(n_74600), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g837576 (
+	.A(n_55322),
+	.B(FE_DBTN49_n_72075),
+	.C(FE_DBTN39_n_53218),
+	.D(n_48874),
+	.Y(n_74601), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g837578 (
+	.A(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_27 ),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_minus_240_46_n_524 ),
+	.C(FE_DBTN28_n_73723),
+	.D(n_51648),
+	.X(n_74603), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o211ai_2 g837580 (
+	.A1(n_44098),
+	.A2(n_23564),
+	.B1(n_21126),
+	.C1(n_73509),
+	.Y(n_74605), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a32o_2 g837582 (
+	.A1(n_67794),
+	.A2(n_55810),
+	.A3(n_34352),
+	.B1(n_67795),
+	.B2(n_55815),
+	.X(n_74607), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837583 (
+	.A1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2925 ),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_979 ),
+	.B1(n_67761),
+	.X(n_74608), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837585 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1606 ),
+	.A2(FE_DBTN60_n_58959),
+	.B1(n_54749),
+	.B2(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_771 ),
+	.Y(n_74610), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_1 g837586 (
+	.A1(n_45961),
+	.A2(n_73646),
+	.B1_N(n_70209),
+	.Y(n_74611), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837588 (
+	.A1(n_68366),
+	.A2(n_25113),
+	.B1(n_69521),
+	.X(n_74613), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 g837589 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1638),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_477),
+	.C(WALLACE_CSA_DUMMY_OP_groupi_n_1640),
+	.D(WALLACE_CSA_DUMMY_OP_groupi_n_1639),
+	.X(n_74614), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837590 (
+	.A1(n_69806),
+	.A2(n_69807),
+	.B1(n_69812),
+	.X(n_74615), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22oi_1 g837592 (
+	.A1(n_52107),
+	.A2(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1922 ),
+	.B1(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1995 ),
+	.B2(n_52108),
+	.Y(n_74617), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837601 (
+	.A(n_74626),
+	.B(FE_DBTN95_n_63318),
+	.Y(n_74627), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g837602 (
+	.A(n_47151),
+	.B(n_36340),
+	.Y(n_74626), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837633 (
+	.A(n_74658),
+	.B(n_13091),
+	.Y(n_74659), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_4 g837634 (
+	.A_N(n_26867),
+	.B(n_65193),
+	.Y(n_74658), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g837675 (
+	.A(n_74700),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2061 ),
+	.Y(n_74701), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g837676 (
+	.A(n_66069),
+	.B(n_46070),
+	.X(n_74700), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g837677 (
+	.A(n_74702),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2866 ),
+	.Y(n_74703), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g837678 (
+	.A(n_52560),
+	.B(n_48428),
+	.X(n_74702), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837686 (
+	.A(n_74711),
+	.B(n_68643),
+	.Y(n_74712), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_1 g837687 (
+	.A_N(n_69032),
+	.B(n_69020),
+	.Y(n_74711), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_2 g837690 (
+	.A(n_74715),
+	.B(n_43304),
+	.Y(n_74716), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g837691 (
+	.A(n_66749),
+	.B(n_43280),
+	.X(n_74715), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837692 (
+	.A1(n_74717),
+	.A2(n_69475),
+	.B1(n_69481),
+	.X(n_74718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g837693 (
+	.A(n_43997),
+	.B(n_69465),
+	.X(n_74717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__fa_1 g837697 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1611 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1538 ),
+	.CIN(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_1726 ),
+	.COUT(n_74726),
+	.SUM(n_74725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 g837706 (
+	.A(addinc_ADD_UNS_OP_2_n_610),
+	.B(n_55218),
+	.X(n_66163), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837715 (
+	.A(n_58094),
+	.B(n_69027),
+	.Y(n_74730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837716 (
+	.A(n_75257),
+	.Y(n_74731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_2 g837717 (
+	.A1(n_74733),
+	.A2(addinc_ADD_UNS_OP_2_n_824),
+	.B1(n_44305),
+	.Y(n_74734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 addinc_ADD_UNS_OP_2_g837718 (
+	.A1(n_74730),
+	.A2(n_74731),
+	.B1(n_74732),
+	.Y(n_74733), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g837719 (
+	.A1(n_69022),
+	.A2(n_58076),
+	.B1(n_69019),
+	.X(n_74732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g837722 (
+	.A(n_49871),
+	.B(n_45885),
+	.Y(n_74738), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837723 (
+	.A(n_74740),
+	.B(n_73576),
+	.Y(n_74741), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837724 (
+	.A1(n_74739),
+	.A2(n_74897),
+	.B1(n_74738),
+	.Y(n_74740), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt837725 (
+	.A(n_70046),
+	.Y(n_74739), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 addinc_ADD_UNS_OP_2_fopt837726 (
+	.A(n_74740),
+	.Y(n_74743), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837728 (
+	.A(n_74746),
+	.B(n_47546),
+	.Y(n_74747), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837729 (
+	.A(n_74744),
+	.B(n_74745),
+	.Y(n_74746), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837730 (
+	.A(n_70132),
+	.B(n_19051),
+	.Y(n_74744), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837731 (
+	.A(n_67724),
+	.Y(n_74745), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837732 (
+	.A(n_74746),
+	.B(n_67805),
+	.Y(n_74748), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837733 (
+	.A(n_74746),
+	.B(n_69692),
+	.Y(n_74749), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837736 (
+	.A(n_17087),
+	.B(n_71369),
+	.Y(n_74752), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837737 (
+	.A(n_73987),
+	.B(n_66364),
+	.Y(n_74753), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837738 (
+	.A(n_45060),
+	.Y(n_74754), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g837740 (
+	.A_N(n_74752),
+	.B(n_74753),
+	.C(n_74754),
+	.Y(n_74755), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837741 (
+	.A(n_45064),
+	.B(n_74755),
+	.Y(n_74757), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g837742 (
+	.A(n_45689),
+	.B(n_50178),
+	.C(n_74755),
+	.Y(n_74759), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837745 (
+	.A1(n_68796),
+	.A2(n_15648),
+	.B1(n_74763),
+	.Y(n_74764), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_4 g837746 (
+	.A1(n_74761),
+	.A2(n_67805),
+	.B1(n_74762),
+	.Y(n_74763), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt837747 (
+	.A(n_51438),
+	.Y(n_74761), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_1 g837748 (
+	.A1(n_25304),
+	.A2(n_34198),
+	.B1(n_15961),
+	.Y(n_74762), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837749 (
+	.A(n_51164),
+	.B(n_74763),
+	.Y(n_74765), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3b_1 g837750 (
+	.A_N(n_74763),
+	.B(n_51105),
+	.C(n_16134),
+	.X(n_74766), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g837751 (
+	.A(n_74763),
+	.Y(n_74767), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__maj3_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_g837752  (
+	.A(n_68796),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[2].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_noncomp_lt_166_41_n_74 ),
+	.C(n_74763),
+	.X(n_74769), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g837754 (
+	.A1(n_74772),
+	.A2(n_65952),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_800 ),
+	.B2(FE_DBTN41_n_55284),
+	.X(n_74774), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837756 (
+	.A(n_74770),
+	.B(n_74771),
+	.Y(n_74772), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g837757  (
+	.A(n_65405),
+	.B(n_30590),
+	.Y(n_74770), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g837758  (
+	.A(FE_DBTN34_n_54651),
+	.B(n_30589),
+	.Y(n_74771), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837759 (
+	.A(n_74772),
+	.B(FE_DBTN15_n_65952),
+	.Y(n_74775), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837760 (
+	.A(n_74780),
+	.B(n_56709),
+	.Y(n_74781), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_4 g837761 (
+	.A(n_74776),
+	.B(n_74777),
+	.C(n_74778),
+	.D(n_74779),
+	.Y(n_74780), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g837762 (
+	.A(n_13435),
+	.B(n_54708),
+	.Y(n_74776), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837763 (
+	.A(n_13436),
+	.Y(n_74777), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837764 (
+	.A(n_56698),
+	.B(n_56699),
+	.Y(n_74778), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g837765 (
+	.A(n_72977),
+	.B(n_49600),
+	.Y(n_74779), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837768 (
+	.A(n_74780),
+	.B(n_44137),
+	.C(n_27098),
+	.Y(n_74784), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837769 (
+	.A(n_74785),
+	.B(n_74786),
+	.Y(n_74787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837770 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_34684),
+	.Y(n_74785), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837771 (
+	.A(n_74852),
+	.B(n_48116),
+	.Y(n_74786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g837774  (
+	.A(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_124 ),
+	.B(n_74792),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_132 ),
+	.Y(n_74793), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837775 (
+	.A(n_74790),
+	.B(n_74791),
+	.Y(n_74792), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837776 (
+	.A(n_56712),
+	.Y(n_74790), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g837777 (
+	.A(n_68629),
+	.B(n_69444),
+	.Y(n_74791), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and4_1 \inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_g837778  (
+	.A(n_74792),
+	.B(n_38258),
+	.C(\inc_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_i_fpnew_rounding_add_63_38_n_108 ),
+	.D(n_49830),
+	.X(n_74794), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837782 (
+	.A(n_69590),
+	.B(n_74792),
+	.Y(n_74798), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837783 (
+	.A(n_74801),
+	.B(n_48846),
+	.Y(n_74802), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837784 (
+	.A(n_74799),
+	.B(n_74800),
+	.Y(n_74801), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837785 (
+	.A(n_57976),
+	.B(n_54825),
+	.Y(n_74799), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837786 (
+	.A(n_54901),
+	.Y(n_74800), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g837787 (
+	.A(n_74801),
+	.Y(n_74804), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837789 (
+	.A(n_74807),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_307),
+	.C(n_66390),
+	.Y(n_74808), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837790 (
+	.A(n_74805),
+	.B(n_74806),
+	.Y(n_74807), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837791 (
+	.A(n_53161),
+	.B(n_53425),
+	.Y(n_74805), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837792 (
+	.A(n_45521),
+	.B(n_46011),
+	.Y(n_74806), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 WALLACE_CSA_DUMMY_OP_groupi_g837793 (
+	.A(n_74807),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_548),
+	.X(n_74810), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837797 (
+	.A(n_51202),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2015 ),
+	.Y(n_74813), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837798 (
+	.A(n_69986),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2142 ),
+	.Y(n_74814), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837799 (
+	.A(n_45891),
+	.B(n_69984),
+	.Y(n_74815), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837800 (
+	.A(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2024 ),
+	.B(\csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_n_2010 ),
+	.Y(n_74816), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 g837801 (
+	.A1(n_52671),
+	.A2(n_52672),
+	.B1_N(n_74817),
+	.X(n_74819), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_2 g837803 (
+	.A(n_74813),
+	.B(n_74814),
+	.C(n_74815),
+	.D(n_74816),
+	.Y(n_74817), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837804 (
+	.A(addinc_ADD_UNS_OP_2_n_422),
+	.B(n_74817),
+	.Y(n_74820), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837805 (
+	.A(n_74817),
+	.B(n_57402),
+	.Y(n_74821), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g837807  (
+	.A(addinc_ADD_UNS_OP_2_n_64),
+	.Y(n_74823), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21bo_1 addinc_ADD_UNS_OP_2_g837808 (
+	.A1(n_67853),
+	.A2(addinc_ADD_UNS_OP_2_n_135),
+	.B1_N(n_74824),
+	.X(n_74825), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g837809 (
+	.A(addinc_ADD_UNS_OP_2_n_18),
+	.B(n_74823),
+	.Y(n_74824), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21a_1 addinc_ADD_UNS_OP_2_g837810 (
+	.A1(n_74824),
+	.A2(addinc_ADD_UNS_OP_2_n_449),
+	.B1(addinc_ADD_UNS_OP_2_n_478),
+	.X(n_74826), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g837811 (
+	.A(addinc_ADD_UNS_OP_2_n_135),
+	.B(n_74824),
+	.Y(n_74828), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g837813 (
+	.A(n_69939),
+	.B(n_74831),
+	.Y(n_74833), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837815 (
+	.A(n_74829),
+	.B(n_74830),
+	.Y(n_74831), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a31o_1 g837816 (
+	.A1(n_54780),
+	.A2(n_54030),
+	.A3(n_48670),
+	.B1(n_54036),
+	.X(n_74829), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand4_1 g837817 (
+	.A(n_54780),
+	.B(n_54030),
+	.C(n_54036),
+	.D(n_48670),
+	.Y(n_74830), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837818 (
+	.A(n_74831),
+	.Y(n_74834), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g837819 (
+	.A(n_74831),
+	.B(n_69939),
+	.Y(n_74835), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837820 (
+	.A(n_69939),
+	.B(n_74831),
+	.Y(n_74836), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 addinc_ADD_UNS_OP_2_g837821 (
+	.A(n_46200),
+	.Y(n_74837), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt837822 (
+	.A(n_46204),
+	.Y(n_74838), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21boi_2 g837823 (
+	.A1(n_74839),
+	.A2(addinc_ADD_UNS_OP_2_n_378),
+	.B1_N(addinc_ADD_UNS_OP_2_n_485),
+	.Y(n_74840), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837824 (
+	.A(n_74837),
+	.B(n_74838),
+	.Y(n_74839), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g837825 (
+	.A(n_74839),
+	.B(addinc_ADD_UNS_OP_2_n_485),
+	.Y(n_74842), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 addinc_ADD_UNS_OP_2_g837827 (
+	.A(addinc_ADD_UNS_OP_2_n_553),
+	.B(addinc_ADD_UNS_OP_2_n_357),
+	.C(n_74839),
+	.Y(n_74843), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837828 (
+	.A(n_74839),
+	.B(addinc_ADD_UNS_OP_2_n_357),
+	.Y(n_74844), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 g837829 (
+	.A(n_48868),
+	.Y(n_74845), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g837832 (
+	.A(n_74846),
+	.B(n_74847),
+	.C(n_74845),
+	.Y(n_74848), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837833 (
+	.A(n_57303),
+	.B(n_49858),
+	.Y(n_74846), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837834 (
+	.A(n_57365),
+	.B(n_53910),
+	.Y(n_74847), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_1 g837835 (
+	.A(n_47897),
+	.B(n_74848),
+	.C(n_44490),
+	.Y(n_74851), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_4 g837836 (
+	.A(n_47897),
+	.B(n_74848),
+	.C(n_44490),
+	.Y(n_74852), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g837837 (
+	.A1(n_74853),
+	.A2(n_74852),
+	.B1(n_74855),
+	.Y(n_74856), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g837838 (
+	.A(WALLACE_CSA_DUMMY_OP_groupi_n_1545),
+	.B(n_67648),
+	.Y(n_74853), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837840 (
+	.A(n_74852),
+	.B(n_26623),
+	.Y(n_74855), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837843 (
+	.A(n_74859),
+	.B(n_74860),
+	.Y(n_74861), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837844 (
+	.A(n_74851),
+	.B(n_26608),
+	.Y(n_74859), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837845 (
+	.A(FE_DBTN68_n_74851),
+	.B(n_50378),
+	.Y(n_74860), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_2 g837848 (
+	.A1(n_74866),
+	.A2(FE_DBTN6_n_75245),
+	.B1(n_52943),
+	.X(n_74868), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837850 (
+	.A(n_74864),
+	.B(n_74865),
+	.Y(n_74866), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837851 (
+	.A(n_65405),
+	.B(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_735 ),
+	.Y(n_74864), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837852 (
+	.A(FE_DBTN69_n_65405),
+	.B(n_52977),
+	.Y(n_74865), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_g837853  (
+	.A(n_74866),
+	.B(n_75245),
+	.Y(n_74869), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837854 (
+	.A(n_74871),
+	.B(n_68405),
+	.Y(n_74872), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 fopt837855 (
+	.A(n_74870),
+	.Y(n_74871), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837856 (
+	.A(n_69414),
+	.B(n_52698),
+	.Y(n_74870), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837859 (
+	.A(n_74875),
+	.B(n_74876),
+	.Y(n_74877), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2b_2 g837860 (
+	.A_N(n_74852),
+	.B(n_48783),
+	.Y(n_74875), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837861 (
+	.A(n_74851),
+	.B(n_26614),
+	.Y(n_74876), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837864 (
+	.A(n_72075),
+	.B(n_31374),
+	.Y(n_74880), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 g837865 (
+	.A(n_54235),
+	.B(n_74881),
+	.C(FE_DBTN67_n_44490),
+	.X(n_74882), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_6 fopt837866 (
+	.A(n_74880),
+	.Y(n_74881), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 g837867 (
+	.A(n_51709),
+	.B_N(n_74881),
+	.Y(n_74883), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3_2 g837868 (
+	.A(n_54235),
+	.B(n_74881),
+	.C(FE_DBTN67_n_44490),
+	.Y(n_74884), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837869 (
+	.A(n_54235),
+	.B(n_74881),
+	.Y(n_74885), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837870 (
+	.A(n_74881),
+	.B(FE_DBTN37_n_54235),
+	.Y(n_74887), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837872 (
+	.A(n_54666),
+	.B(n_74881),
+	.Y(n_74888), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837874 (
+	.A(n_51199),
+	.B(n_74881),
+	.Y(n_74890), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837875 (
+	.A(n_57361),
+	.B(n_74881),
+	.Y(n_74891), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837876 (
+	.A(n_46939),
+	.B(n_74881),
+	.Y(n_74892), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837877 (
+	.A(n_56051),
+	.B(n_74881),
+	.Y(n_74893), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837878 (
+	.A(n_74896),
+	.B(n_69905),
+	.Y(n_74897), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837879 (
+	.A(n_74894),
+	.B(n_74895),
+	.Y(n_74896), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837880 (
+	.A(n_66965),
+	.B(n_69735),
+	.Y(n_74894), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837881 (
+	.A(n_55999),
+	.B(n_47014),
+	.Y(n_74895), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o22a_1 g837882 (
+	.A1(n_70264),
+	.A2(n_71038),
+	.B1(n_58080),
+	.B2(n_74896),
+	.X(n_74898), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837883 (
+	.A(n_74896),
+	.Y(n_74899), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837884 (
+	.A(n_74896),
+	.B(n_58080),
+	.Y(n_74901), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837886 (
+	.A(n_70580),
+	.B(n_70581),
+	.Y(n_74902), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837889 (
+	.A(n_74903),
+	.B(n_74902),
+	.Y(n_74904), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837890 (
+	.A(n_70576),
+	.B(n_70578),
+	.Y(n_74903), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837891 (
+	.A(n_74904),
+	.B(n_71362),
+	.Y(n_74907), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_2 fopt837892 (
+	.A(n_73864),
+	.Y(n_74908), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xor2_1 WALLACE_CSA_DUMMY_OP_groupi_g837893 (
+	.A(n_74911),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1787),
+	.X(n_74912), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand3b_4 g837894 (
+	.A_N(n_74909),
+	.B(n_74908),
+	.C(n_74910),
+	.Y(n_74911), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 g837895 (
+	.A(FE_DBTN67_n_44490),
+	.B(n_54997),
+	.Y(n_74909), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837896 (
+	.A(n_54997),
+	.B(n_48690),
+	.Y(n_74910), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_1 WALLACE_CSA_DUMMY_OP_groupi_g837897 (
+	.A(n_74911),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1787),
+	.Y(n_74914), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g837899  (
+	.A(n_74911),
+	.Y(n_74915), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__or2_4 addinc_ADD_UNS_OP_2_g837900 (
+	.A(n_74911),
+	.B(WALLACE_CSA_DUMMY_OP_groupi_n_1787),
+	.X(n_74916), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 addinc_ADD_UNS_OP_2_g837901 (
+	.A(n_74911),
+	.B(addinc_ADD_UNS_OP_2_n_100),
+	.Y(n_74917), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_4 fopt837904 (
+	.A(n_74918),
+	.Y(n_74919), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837905 (
+	.A(n_70210),
+	.B(n_66467),
+	.Y(n_74918), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837906 (
+	.A(n_49036),
+	.B(n_74919),
+	.Y(n_74922), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837907 (
+	.A(n_46970),
+	.B(n_17801),
+	.Y(n_74923), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_2 g837909 (
+	.A1_N(n_31374),
+	.A2_N(n_74925),
+	.B1(n_74881),
+	.B2(n_57367),
+	.Y(n_74926), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837910 (
+	.A(n_74923),
+	.B(FE_DBTN19_n_58978),
+	.Y(n_74925), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g837911 (
+	.A(n_74925),
+	.Y(n_74928), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a2bb2oi_1 g837913 (
+	.A1_N(n_38426),
+	.A2_N(n_52923),
+	.B1(n_64744),
+	.B2(n_74930),
+	.Y(n_74932), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__clkinv_1 g837915 (
+	.A(n_74929),
+	.Y(n_74930), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g837916 (
+	.A(n_70756),
+	.B(n_38430),
+	.Y(n_74929), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837917 (
+	.A(n_74930),
+	.B(n_52039),
+	.Y(n_74933), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837920 (
+	.A(n_74934),
+	.B(n_74935),
+	.Y(n_74936), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g837921 (
+	.A(n_73723),
+	.B(soc_top_u_top_u_core_id_stage_i_fp_swap_oprnds),
+	.Y(n_74934), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g837922 (
+	.A(n_68650),
+	.Y(n_74935), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g837923 (
+	.A(n_16837),
+	.B(n_74936),
+	.Y(n_74939), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2_4 g837924 (
+	.A(n_71313),
+	.B(n_74936),
+	.Y(n_74940), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g838241 (
+	.A(n_44205),
+	.B(n_18084),
+	.Y(n_75233), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g838242 (
+	.A(n_75234),
+	.B(n_44490),
+	.Y(n_75235), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_4 fopt838243 (
+	.A(n_75233),
+	.Y(n_75234), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838244 (
+	.A(n_56321),
+	.B(n_75234),
+	.Y(n_75237), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g838246 (
+	.A(n_57323),
+	.B(n_72075),
+	.Y(n_75238), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g838247 (
+	.A(n_18035),
+	.B(FE_DBTN49_n_72075),
+	.Y(n_75239), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838248 (
+	.A(n_75240),
+	.B(n_48690),
+	.Y(n_75241), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838249 (
+	.A(n_75238),
+	.B(n_75239),
+	.Y(n_75240), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838250 (
+	.A(n_75240),
+	.B(n_31641),
+	.Y(n_75243), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ba_2 g838252 (
+	.A1(FE_DBTN67_n_44490),
+	.A2(n_75240),
+	.B1_N(n_73864),
+	.X(n_75244), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_8 g838253 (
+	.A(n_43800),
+	.B(n_43808),
+	.Y(n_75245), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g838257 (
+	.A(FE_DBTN43_n_52455),
+	.B(FE_DBTN6_n_75245),
+	.Y(n_75249), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838258 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_66004),
+	.Y(n_75250), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838259 (
+	.A(FE_DBTN6_n_75245),
+	.B(n_44338),
+	.Y(n_75251), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a22o_1 g838260 (
+	.A1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1117 ),
+	.A2(n_75245),
+	.B1(\soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_sll_527_36_n_1180 ),
+	.B2(FE_DBTN6_n_75245),
+	.X(n_75253), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g838262 (
+	.A(n_54423),
+	.B(n_73942),
+	.Y(n_75254), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 \csa_tree_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[0].i_opgroup_block_gen_parallel_slices[0].active_format.i_fmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fma_add_365_53_g838263  (
+	.A(n_53422),
+	.B(n_53423),
+	.Y(n_75255), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__o21ai_2 g838264 (
+	.A1(n_75256),
+	.A2(n_49246),
+	.B1(n_33432),
+	.Y(n_75257), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 addinc_ADD_UNS_OP_2_g838265 (
+	.A(n_75254),
+	.B(n_75255),
+	.Y(n_75256), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_4 g838268 (
+	.A(n_54429),
+	.B(n_34853),
+	.X(n_75260), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838269 (
+	.A(n_68224),
+	.B(n_68226),
+	.Y(n_75261), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838270 (
+	.A(n_68236),
+	.B(n_75262),
+	.Y(n_75264), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g838272 (
+	.A(n_75260),
+	.B(n_75261),
+	.Y(n_75262), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g838273 (
+	.A(n_74070),
+	.B(n_75262),
+	.Y(n_75265), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__conb_1 tie_0_cell (
+	.HI(io_oeb[0]),
+	.LO(io_oeb[26]), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g839787 (
+	.A1(n_76719),
+	.A2(n_23953),
+	.B1(n_24273),
+	.Y(n_76721), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_4 g839789 (
+	.A(n_76717),
+	.B(n_76718),
+	.Y(n_76719), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g839790 (
+	.A(n_23187),
+	.B(n_158),
+	.Y(n_76717), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21o_1 g839791 (
+	.A1(soc_top_u_top_u_core_ex_block_i_alu_i_n_15),
+	.A2(soc_top_u_top_u_core_alu_operator_ex[2]),
+	.B1(n_29687),
+	.X(n_76718), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g839792 (
+	.A1(n_76719),
+	.A2(n_24113),
+	.B1(n_24261),
+	.Y(n_76722), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g839793 (
+	.A1(n_76719),
+	.A2(n_30185),
+	.B1(n_24253),
+	.Y(n_76723), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g839794 (
+	.A1(n_76719),
+	.A2(n_24223),
+	.B1(n_24251),
+	.Y(n_76724), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__a21oi_1 g839795 (
+	.A1(n_76719),
+	.A2(n_24224),
+	.B1(n_24252),
+	.Y(n_76725), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_8 g839796 (
+	.A(n_76719),
+	.Y(n_76726), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_2 g839797 (
+	.A(n_73922),
+	.B(n_13022),
+	.Y(n_76727), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__xnor2_1 g839798 (
+	.A(n_61826),
+	.B(n_33199),
+	.Y(n_76728), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g839799  (
+	.A(n_32995),
+	.B(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_126 ),
+	.COUT(n_76729),
+	.SUM(n_76730), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and2_1 g839800 (
+	.A(n_76731),
+	.B(n_67657),
+	.X(n_76732), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__and3_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g839801  (
+	.A(n_76729),
+	.B(n_76728),
+	.C(n_76727),
+	.X(n_76731), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__ha_2 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g839802  (
+	.A(n_26827),
+	.B(n_76731),
+	.COUT(n_76733),
+	.SUM(n_76734), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nor2b_1 \final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_g839803  (
+	.A(\final_adder_soc_top_u_top_u_core_i_fpnew_top_gen_operation_groups[3].i_opgroup_block_gen_merged_slice.i_multifmt_slice_gen_num_lanes[0].active_lane.lane_instance.i_fpnew_cast_multi_mux_582_33_n_7 ),
+	.B_N(n_76731),
+	.Y(n_76735), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 g839804 (
+	.A(n_76731),
+	.Y(n_76736), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_1 fopt839805 (
+	.A(n_2451),
+	.Y(n_76737), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__inv_2 g839851 (
+	.A(n_76788),
+	.Y(n_76789), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g839852 (
+	.A(n_76786),
+	.B(n_76787),
+	.Y(n_76788), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g839853 (
+	.A(n_16896),
+	.B(n_16816),
+	.Y(n_76786), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+   sky130_fd_sc_hd__nand2_1 g839854 (
+	.A(n_16892),
+	.B(n_32953),
+	.Y(n_76787), 
+	.VPWR(VPWR), 
+	.VPB(VPWR), 
+	.VNB(VGND), 
+	.VGND(VGND));
+endmodule
+
diff --git a/verilog/gl/user_project_wrapper.v b/verilog/gl/user_project_wrapper.v
index ecae883..9a1c5f1 100644
--- a/verilog/gl/user_project_wrapper.v
+++ b/verilog/gl/user_project_wrapper.v
@@ -54,14 +54,44 @@
  input [3:0] wbs_sel_i;
 
 
- user_proj_example mprj (.vccd1(vccd1),
-    .vssd1(vssd1),
-    .wb_clk_i(wb_clk_i),
+ azadi_soc_top_caravel mprj (.wb_clk_i(wb_clk_i),
     .wb_rst_i(wb_rst_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_cyc_i(wbs_cyc_i),
     .wbs_stb_i(wbs_stb_i),
+    .wbs_cyc_i(wbs_cyc_i),
     .wbs_we_i(wbs_we_i),
+    .wbs_ack_o(wbs_ack_o),
+    .user_clock2(user_clock2),
+    .VPWR(vccd1),
+    .VGND(vssd1),
+    .analog_io({analog_io[28],
+    analog_io[27],
+    analog_io[26],
+    analog_io[25],
+    analog_io[24],
+    analog_io[23],
+    analog_io[22],
+    analog_io[21],
+    analog_io[20],
+    analog_io[19],
+    analog_io[18],
+    analog_io[17],
+    analog_io[16],
+    analog_io[15],
+    analog_io[14],
+    analog_io[13],
+    analog_io[12],
+    analog_io[11],
+    analog_io[10],
+    analog_io[9],
+    analog_io[8],
+    analog_io[7],
+    analog_io[6],
+    analog_io[5],
+    analog_io[4],
+    analog_io[3],
+    analog_io[2],
+    analog_io[1],
+    analog_io[0]}),
     .io_in({io_in[37],
     io_in[36],
     io_in[35],
@@ -176,9 +206,6 @@
     io_out[2],
     io_out[1],
     io_out[0]}),
-    .irq({user_irq[2],
-    user_irq[1],
-    user_irq[0]}),
     .la_data_in({la_data_in[127],
     la_data_in[126],
     la_data_in[125],
@@ -563,6 +590,9 @@
     la_oenb[2],
     la_oenb[1],
     la_oenb[0]}),
+    .user_irq({user_irq[2],
+    user_irq[1],
+    user_irq[0]}),
     .wbs_adr_i({wbs_adr_i[31],
     wbs_adr_i[30],
     wbs_adr_i[29],
diff --git a/verilog/rtl/azadi_soc_top_caravel.sv b/verilog/rtl/azadi_soc_top_caravel.sv
new file mode 100644
index 0000000..a3760d1
--- /dev/null
+++ b/verilog/rtl/azadi_soc_top_caravel.sv
@@ -0,0 +1,235 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+// 
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+// 
+//      http://www.apache.org/licenses/LICENSE-2.0 
+// 
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// 
+// SPDX-License-Identifier: Apache-2.0
+   
+// Designed by a Team at Micro Electronics Research Lab, Usman Institute of Technology.
+// https://www.merledupk.org
+`default_nettype wire
+`define MPRJ_IO_PADS 38
+module azadi_soc_top_caravel (
+  `ifdef USE_POWER_PINS
+      inout vdda1,	// User area 1 3.3V supply
+      inout vdda2,	// User area 2 3.3V supply
+      inout vssa1,	// User area 1 analog ground
+      inout vssa2,	// User area 2 analog ground
+      inout vccd1,	// User area 1 1.8V supply
+      inout vccd2,	// User area 2 1.8v supply
+      inout vssd1,	// User area 1 digital ground
+      inout vssd2,	// User area 2 digital ground
+	inout VPWR,
+	inout VGND,
+  `endif
+
+    // Wishbone Slave ports (WB MI A)
+    input         wb_clk_i,
+    input         wb_rst_i,
+    input         wbs_stb_i,
+    input         wbs_cyc_i,
+    input         wbs_we_i,
+    input [3:0]   wbs_sel_i,
+    input [31:0]  wbs_dat_i,
+    input [31:0]  wbs_adr_i,
+    output        wbs_ack_o,
+    output [31:0] wbs_dat_o,
+
+    // Logic Analyzer Signals
+    input  [127:0] la_data_in,
+    output [127:0] la_data_out,
+    input  [127:0] la_oenb,
+
+    // IOs, MPRJ_IO_PADS = 38
+    input  [`MPRJ_IO_PADS-1:0] io_in,  
+    output [`MPRJ_IO_PADS-1:0] io_out,
+    output [`MPRJ_IO_PADS-1:0] io_oeb,
+
+    // Analog (direct connection to GPIO pad---use with caution)
+    // Note that analog I/O is not available on the 7 lowest-numbered
+    // GPIO pads, and so the analog_io indexing is offset from the
+    // GPIO indexing by 7 (also upper 2 GPIOs do not have analog_io).
+    inout [`MPRJ_IO_PADS-10:0] analog_io,
+
+    // Independent clock (on independent integer divider)
+    input   user_clock2,
+
+    // User maskable interrupt signals
+    output [2:0] user_irq
+);
+
+  wire clk_i;  
+  wire rst_ni; 
+  wire prog;
+  
+  // Clocks per bit
+  wire [15:0] clks_per_bit;  
+
+  // gpios interface
+  wire [31:0] gpio_i;
+  wire [31:0] gpio_o;
+  wire [31:0] gpio_oe;
+
+  // jtag interface 
+  wire jtag_tck;   
+  wire jtag_tms;   
+  wire jtag_trst; 
+  wire jtag_tdi;   
+  wire jtag_tdo;   
+  wire jtag_tdo_oe;
+
+  // uart-periph interface
+  wire uart_tx;
+  wire uart_rx;
+
+  // PWM interface  
+  wire pwm_o_1;
+  wire pwm_o_2;
+  wire pwm1_oe;
+  wire pwm2_oe;
+
+  // SPI interface
+  wire [3:0] ss_o;        
+  wire       sclk_o;      
+  wire       sd_o;
+  wire       sd_oe;       
+  wire       sd_i;
+
+  // Note: Output enable is active low for IO pads
+  assign io_oeb[0]    =  ~jtag_tdo_oe;
+  assign jtag_tdi     =   io_in[0];
+  assign io_out[0]    =   jtag_tdo;
+
+  // SPI 0
+  assign io_oeb[1]     = ~(sd_oe | gpio_oe[30]);
+  assign io_out[1]     =  sd_oe ? sd_o : gpio_o[30];
+  assign gpio_i[30]    =  io_in[1];
+
+  assign io_oeb[2]     =  1'b1;
+  assign io_out[2]     =  1'b0; 
+  assign sd_i          =  io_in[2];
+
+  assign io_oeb[3]     = ~(sd_oe | gpio_oe[31]);
+  assign io_out[3]     =  sd_oe ? ss_o[0] : gpio_o[31];
+  assign gpio_i[31]    =  io_in[3];
+
+  assign io_oeb[4]     =  1'b0;
+  assign io_out[4]     =  sclk_o;
+
+  // UART 
+  assign io_oeb[5]     =  1'b1;
+  assign io_out[2]     =  1'b0;
+  assign uart_rx       =  io_in[5];
+
+  assign io_oeb[6]     =  1'b0;
+  assign io_out[6]     =  uart_tx;
+    
+  // Programming Button 
+  assign io_oeb[7]     =  1'b1;
+  assign io_out[2]     =  1'b0;
+  assign prog          =  io_in[7];
+
+  // GPIO 0-18
+  assign io_oeb[25:8]  = ~gpio_oe[17:0];
+  assign gpio_i[17:0]  =  io_in  [25:8];
+  assign io_out[25:8]  =  gpio_o [17:0];
+  assign gpio_i[18]    = 1'b0;
+  // GPIO 19-21, SPI SS
+  assign io_oeb[27]    = ~(sd_oe | gpio_oe[19]);
+  assign io_out[27]    =  sd_oe ?  ss_o[1] :  gpio_o [19];  // SPI slave sel[1]
+  assign gpio_i[19]    =  io_in[27];
+
+  assign io_oeb[28]    = ~(sd_oe | gpio_oe[20]);
+  assign io_out[28]    =  sd_oe ?  ss_o[2] :  gpio_o [20];  // SPI slave sel[2]
+  assign gpio_i[20]    =  io_in[28];
+
+  assign io_oeb[29]    = ~(sd_oe | gpio_oe[21]);
+  assign io_out[29]    =  sd_oe ?  ss_o[3] :  gpio_o [21];  // SPI slave sel[3]
+  assign gpio_i[21]    =  io_in[29];
+
+  // GPIO 22-24, JTAG in
+  assign io_oeb[30]    =  ~gpio_oe[22];
+  assign io_out[30]    =   gpio_o [22];  
+  assign gpio_i[22]    =   io_in[30];
+  assign jtag_tck      =   io_in[30];  // JTAG TCK
+
+  assign io_oeb[31]    =  ~gpio_oe[23];
+  assign io_out[31]    =   gpio_o [23];  
+  assign gpio_i[23]    =   io_in[31];
+  assign jtag_tms      =   io_in[31];  // JTAG TMS
+
+  assign io_oeb[32]    =  ~gpio_oe[24];
+  assign io_out[32]    =   gpio_o [24];  
+  assign gpio_i[24]    =   io_in[32];
+  assign jtag_trst     =   io_in[32];  // JTAG TRST
+  
+  // GPIO 25-26, PWM 1, 2
+  assign io_oeb[33]     = ~(pwm1_oe | gpio_oe[25]);  // PWM1 
+  assign io_out[33]     =   pwm1_oe ?  pwm_o_1 : gpio_o [25];
+  assign gpio_i[25]     =   io_in[33];
+
+  assign io_oeb[34]     = ~(pwm2_oe | gpio_oe[26]);  // PWM2 
+  assign io_out[34]     =  pwm2_oe  ?  pwm_o_2 :  gpio_o [26];
+  assign gpio_i[26]     =  io_in[34];
+
+  // GPIO 27-29
+  assign io_oeb[37:35]  = ~gpio_oe[29:27];
+  assign gpio_i[29:27]  =  io_in  [37:35];
+  assign io_out[37:35]  =  gpio_o [29:27];
+
+  // Logic Analyzer ports
+  assign clks_per_bit  = la_data_in[15:0];
+
+  azadi_soc_top soc_top(
+  `ifdef USE_POWER_PINS
+    .VPWR(vccd1),
+    .VGND(vssd1),
+  `endif
+    .clk_i(wb_clk_i),
+    .rst_ni(wb_rst_i),
+    .prog(prog),
+
+    // Clocks per bits
+    .clks_per_bit(clks_per_bit), 
+
+    // gpios interface
+    .gpio_i(gpio_i),
+    .gpio_o(gpio_o),
+    .gpio_oe(gpio_oe),
+
+    // jtag interface 
+   /* .jtag_tck_i(jtag_tck),
+    .jtag_tms_i(jtag_tms),
+    .jtag_trst_ni(jtag_trst),
+    .jtag_tdi_i(jtag_tdi),
+    .jtag_tdo_o(jtag_tdo),
+    .jtag_tdo_oe_o(jtag_tdo_oe),*/
+
+    // uart-periph interface
+    .uart_tx(uart_tx), // output
+    .uart_rx(uart_rx), // input
+
+    // PWM interface  
+    .pwm_o(pwm_o_1),
+    .pwm_o_2(pwm_o_2),
+    .pwm1_oe(pwm1_oe),
+    .pwm2_oe(pwm2_oe),
+
+    // SPI interface
+    .ss_o(ss_o),        // [3:0] 
+    .sclk_o(sclk_o),      
+    .sd_o(sd_o),
+    .sd_oe(sd_oe),       
+    .sd_i(sd_i)
+  );
+
+endmodule
diff --git a/verilog/rtl/user_project_wrapper.v b/verilog/rtl/user_project_wrapper.v
index 5ee1cee..15d0d8e 100644
--- a/verilog/rtl/user_project_wrapper.v
+++ b/verilog/rtl/user_project_wrapper.v
@@ -28,31 +28,29 @@
  *
  *-------------------------------------------------------------
  */
-
-module user_project_wrapper #(
-    parameter BITS = 32
-) (
-`ifdef USE_POWER_PINS
-    inout vdda1,	// User area 1 3.3V supply
-    inout vdda2,	// User area 2 3.3V supply
-    inout vssa1,	// User area 1 analog ground
-    inout vssa2,	// User area 2 analog ground
-    inout vccd1,	// User area 1 1.8V supply
-    inout vccd2,	// User area 2 1.8v supply
-    inout vssd1,	// User area 1 digital ground
-    inout vssd2,	// User area 2 digital ground
-`endif
+`define MPRJ_IO_PADS 38
+module user_project_wrapper (
+  `ifdef USE_POWER_PINS
+      inout vdda1,	// User area 1 3.3V supply
+      inout vdda2,	// User area 2 3.3V supply
+      inout vssa1,	// User area 1 analog ground
+      inout vssa2,	// User area 2 analog ground
+      inout vccd1,	// User area 1 1.8V supply
+      inout vccd2,	// User area 2 1.8v supply
+      inout vssd1,	// User area 1 digital ground
+      inout vssd2,	// User area 2 digital ground
+  `endif
 
     // Wishbone Slave ports (WB MI A)
-    input wb_clk_i,
-    input wb_rst_i,
-    input wbs_stb_i,
-    input wbs_cyc_i,
-    input wbs_we_i,
-    input [3:0] wbs_sel_i,
-    input [31:0] wbs_dat_i,
-    input [31:0] wbs_adr_i,
-    output wbs_ack_o,
+    input         wb_clk_i,
+    input         wb_rst_i,
+    input         wbs_stb_i,
+    input         wbs_cyc_i,
+    input         wbs_we_i,
+    input [3:0]   wbs_sel_i,
+    input [31:0]  wbs_dat_i,
+    input [31:0]  wbs_adr_i,
+    output        wbs_ack_o,
     output [31:0] wbs_dat_o,
 
     // Logic Analyzer Signals
@@ -60,8 +58,8 @@
     output [127:0] la_data_out,
     input  [127:0] la_oenb,
 
-    // IOs
-    input  [`MPRJ_IO_PADS-1:0] io_in,
+    // IOs, MPRJ_IO_PADS = 38
+    input  [`MPRJ_IO_PADS-1:0] io_in,  
     output [`MPRJ_IO_PADS-1:0] io_out,
     output [`MPRJ_IO_PADS-1:0] io_oeb,
 
@@ -78,46 +76,30 @@
     output [2:0] user_irq
 );
 
-/*--------------------------------------*/
-/* User project is instantiated  here   */
-/*--------------------------------------*/
-
-user_proj_example mprj (
-`ifdef USE_POWER_PINS
-	.vccd1(vccd1),	// User area 1 1.8V power
-	.vssd1(vssd1),	// User area 1 digital ground
-`endif
-
-    .wb_clk_i(wb_clk_i),
-    .wb_rst_i(wb_rst_i),
-
-    // MGMT SoC Wishbone Slave
-
-    .wbs_cyc_i(wbs_cyc_i),
-    .wbs_stb_i(wbs_stb_i),
-    .wbs_we_i(wbs_we_i),
-    .wbs_sel_i(wbs_sel_i),
-    .wbs_adr_i(wbs_adr_i),
-    .wbs_dat_i(wbs_dat_i),
-    .wbs_ack_o(wbs_ack_o),
-    .wbs_dat_o(wbs_dat_o),
-
-    // Logic Analyzer
-
-    .la_data_in(la_data_in),
-    .la_data_out(la_data_out),
-    .la_oenb (la_oenb),
-
-    // IO Pads
-
-    .io_in (io_in),
-    .io_out(io_out),
-    .io_oeb(io_oeb),
-
-    // IRQ
-    .irq(user_irq)
+azadi_soc_top_caravel
+ mprj (
+  `ifdef USE_POWER_PINS	
+      .VPWR(vccd1),	 // User area 1 1.8V power
+      .VGND(vssd1),  // User area 1 digital ground		
+  `endif
+    .wb_clk_i    (wb_clk_i),
+    .wb_rst_i    (wb_rst_i),
+    .wbs_stb_i   (wbs_stb_i),
+    .wbs_cyc_i   (wbs_cyc_i),
+    .wbs_we_i    (wbs_we_i),
+    .wbs_sel_i   (wbs_sel_i),
+    .wbs_dat_i   (wbs_dat_i),
+    .wbs_adr_i   (wbs_adr_i),
+    .wbs_ack_o   (wbs_ack_o),
+    .wbs_dat_o   (wbs_dat_o),
+    .la_data_in  (la_data_in),
+    .la_data_out (la_data_out),
+    .la_oenb     (la_oenb),
+    .io_in       (io_in),  
+    .io_out      (io_out),
+    .io_oeb      (io_oeb),
+    .analog_io   (analog_io),
+    .user_clock2 (user_clock2),
+    .user_irq    (user_irq)
 );
-
-endmodule	// user_project_wrapper
-
-`default_nettype wire
+endmodule